CN103298235B - 基于状态的功率和频率调节 - Google Patents

基于状态的功率和频率调节 Download PDF

Info

Publication number
CN103298235B
CN103298235B CN201310057220.3A CN201310057220A CN103298235B CN 103298235 B CN103298235 B CN 103298235B CN 201310057220 A CN201310057220 A CN 201310057220A CN 103298235 B CN103298235 B CN 103298235B
Authority
CN
China
Prior art keywords
state
power
frequency
pulse signal
main
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310057220.3A
Other languages
English (en)
Other versions
CN103298235A (zh
Inventor
约翰·C·小瓦尔考
布拉德福德·J·林达克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/531,491 external-priority patent/US9114666B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN103298235A publication Critical patent/CN103298235A/zh
Application granted granted Critical
Publication of CN103298235B publication Critical patent/CN103298235B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02JCIRCUIT ARRANGEMENTS OR SYSTEMS FOR SUPPLYING OR DISTRIBUTING ELECTRIC POWER; SYSTEMS FOR STORING ELECTRIC ENERGY
    • H02J3/00Circuit arrangements for ac mains or ac distribution networks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03JTUNING RESONANT CIRCUITS; SELECTING RESONANT CIRCUITS
    • H03J7/00Automatic frequency control; Automatic scanning over a band of frequencies
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)

Abstract

本发明描述了用于基于状态的功率和频率调节的系统和方法。系统的主发生器包括用于将主射频(RF)信号提供给电极的主功率供应器。主发生器进一步包括自动频率控制单元(AFC)以在脉冲信号处于第一状态时将第一频率输入提供给主功率供应器。该系统的从发生器包括用于将从RF信号提供给电极的从功率供应器。从发生器还包括AFC以在脉冲信号处于第一状态时将第二频率输入提供给从功率供应器。从发生器包括AFC以在脉冲信号处于第二状态时将第三频率输入提供给从功率供应器。该系统包括用于产生脉冲信号的数字脉冲源。

Description

基于状态的功率和频率调节
技术领域
本发明的实施方式涉及改善对等离子体阻抗的改变的响应时间,更具体地涉及用于基于状态的功率和频率调节的装置、方法和计算机程序。
背景技术
在一些等离子体处理系统中,多个射频(RF)信号被提供给等离子体室中的一或多个电极。RF信号帮助在等离子体室内生成等离子体。该等离子体被用于各种操作,例如,清洁位于下电极上的衬底、蚀刻该衬底,等等。
在产生RF信号的RF供应器和等离子体室之间,通常设置了阻抗匹配电路。阻抗匹配电路使负载(例如等离子体室内的等离子体)的阻抗与源(例如RF功率供应器)的阻抗相匹配。但是,在某些情形下,阻抗匹配对响应等离子体的阻抗的改变而言不够快。
在这一背景下,出现了本公开中所描述的实施方式。
发明内容
本公开的实施方式提供了用于基于状态的功率和频率调节的装置、方法和计算机程序。应当知道的是,这些实施方式可被实现为多种形式,例如,工序、装置、系统、设备或计算机可读介质上的方法。下面将描述若干实施方式。
在一实施方式中,随着数字脉冲信号的状态的改变,由多个RF源产生的RF信号的功率和/或频率被改变。举例来说,当数字脉冲信号的状态是S1时,第一RF源产生具有第一功率值和第一频率的功率信号,而第二RF源产生具有第二功率值和第二频率的功率信号。状态S1的接收触发具有第一功率值且具有第一频率的功率信号的产生和具有第二功率值且具有第二频率的功率信号的产生。在该实施例中,当数字脉冲信号的状态是S0时,第一RF源产生具有第三功率值和第三频率的功率信号,第三频率可以与第一频率相同也可以不同。此外,在该实施例中,当数字脉冲信号的状态是S0时,第二RF源产生具有第四功率值和第四频率的功率信号,第四频率可以与第二频率相同也可以不同。状态S0的接收触发具有第三功率值且具有第三频率的功率信号的产生和具有第四功率值且具有第四频率的功率信号的产生。所述触发导致较短的用于响应等离子体室内的等离子体阻抗的改变的响应时间。在一实施方式中,第二功率值和第四功率值相同。
在一实施方式中,一种系统包括耦合到电极的主发生器。所述主发生器包括用于将主射频(RF)信号提供给所述电极的主功率供应器。所述主发生器进一步包括自动频率控制单元(AFC)以在脉冲信号处于第一状态时将第一频率输入提供给所述主功率供应器。所述系统还包括耦合到所述电极的从发生器。所述从发生器包括用于将从RF信号提供给所述电极的从功率供应器。所述从发生器还包括AFC以在所述脉冲信号处于所述第一状态时将第二频率输入提供给所述从功率供应器。所述从发生器包括AFC以在所述脉冲信号处于第二状态时将第三频率输入提供给所述从功率供应器。所述系统包括用于产生所述脉冲信号的数字脉冲源。
在一实施方式中,一种系统包括等离子体室,所述等离子体室进一步包括具有用于支撑衬底的表面的下电极。所述等离子体室包括位于所述下电极上方的上电极。所述上电极被电气接地。所述系统包括耦合到所述下电极的主发生器。所述主发生器包括用于将主射频(RF)信号提供给所述下电极的主功率供应器。所述系统还包括耦合到所述下电极的从发生器。所述从发生器包括用于将从RF信号提供给所述下电极的从功率供应器。所述系统包括用于产生脉冲信号的数字脉冲源。所述数字脉冲源被耦合到所述主发生器和所述从发生器。所述主发生器包括第一自动频率控制单元(AFC)以在所述脉冲信号处于第一状态时将第一频率输入提供给所述主功率供应器。所述从发生器包括第二AFC以在所述脉冲信号处于所述第一状态时将第二频率输入提供给所述从功率供应器。此外,所述从发生器包括第三AFC以在所述脉冲信号处于第二状态时将第三频率输入提供给所述从功率供应器。
在一实施方式中,一种系统包括用于产生脉冲信号的数字脉冲源。所述系统进一步包括主发生器。所述主发生器包括耦合到电极用于将主射频(RF)信号提供给所述电极的主功率供应器。所述主发生器包括耦合到所述脉冲源用于接收所述脉冲信号的主处理器。所述主处理器用于识别所述脉冲信号的两个状态中的第一状态和所述两个状态中的第二状态。所述主发生器还包括耦合到所述主处理器以基于所述脉冲信号是处于所述第一状态还是所述第二状态确定是否将主功率值提供给所述主功率供应器的功率控制器。所述主发生器包括耦合到所述主处理器以从所述主处理器接收状态识别信息的自动频率控制单元(AFC)。所述AFC被配置来在所述脉冲信号处于所述第一状态时提供所述主RF信号的频率。
在该实施方式中,所述系统进一步包括从发生器。所述从发生器包括耦合到所述电极用于将从RF信号提供给所述电极的从功率供应器。所述从发生器包括耦合到所述脉冲源用于接收所述脉冲信号以识别所述脉冲信号是处于所述第一状态还是所述第二状态的从处理器。所述从发生器还包括耦合到所述从处理器以在所述脉冲信号处于所述第一状态时将第一从功率值提供给所述从功率供应器的第一功率控制器。所述从发生器包括耦合到所述从处理器以在所述脉冲信号处于所述第二状态时将第二从功率值提供给所述从功率供应器的第二功率控制器。所述从发生器进一步包括耦合到所述从处理器以从所述从处理器接收状态识别信息的第一AFC。所述第一AFC被配置来在所述脉冲信号处于所述第一状态时提供所述从RF信号的第一频率输入。所述从发生器包括耦合到所述从处理器以从所述从处理器接收状态识别信息的第二AFC。所述第二AFC被配置来在所述脉冲信号处于所述第二状态时提供所述从RF信号的第二频率输入。
在一实施方式中,一种系统包括等离子体室,所述等离子体室进一步包括具有用于支撑衬底的表面的下电极。所述等离子体室包括位于所述下电极上方的上电极。所述上电极被电气接地。所述系统包括用于产生在两个状态之间转变的脉冲信号的数字脉冲源。所述系统进一步包括主发生器。所述主发生器包括耦合到所述下电极用于将主射频(RF)信号提供给所述下电极的主功率供应器。所述主发生器进一步包括耦合到所述脉冲源用于接收所述脉冲信号的主处理器。所述主处理器用于识别所述脉冲信号的所述两个状态中的第一状态和所述两个状态中的第二状态。所述主发生器还包括耦合到所述主处理器以基于所述脉冲信号是处于所述第一状态还是所述第二状态确定是否将主功率值提供给所述主功率供应器的功率控制器。所述主发生器包括耦合到所述主处理器以从所述主处理器接收状态识别信息的自动频率控制单元(AFC)。所述AFC被配置来在所述脉冲信号处于所述第一状态时提供所述主RF信号的频率。
在该实施方式中,所述系统进一步包括从发生器。所述从发生器包括耦合到所述下电极用于将从RF信号提供给所述下电极的从功率供应器。所述从发生器包括耦合到所述脉冲源用于接收所述脉冲信号以识别所述脉冲信号是处于所述第一状态还是所述第二状态的从处理器。所述从发生器进一步包括耦合到所述从处理器以在所述脉冲信号处于所述第一状态时将第一从功率值提供给所述从功率供应器的第一功率控制器。所述从发生器还包括耦合到所述从处理器以在所述脉冲信号处于所述第二状态时将第二从功率值提供给所述从功率供应器的第二功率控制器。所述从发生器包括耦合到所述从处理器以从所述从处理器接收状态识别信息的第一AFC。所述第一AFC被配置来在所述脉冲信号处于所述第一状态时提供所述从RF信号的频率。所述从发生器包括耦合到所述从处理器以从所述从处理器接收状态识别信息的第二AFC。所述第二AFC被配置来在所述脉冲信号处于所述第二状态时提供所述从RF信号的频率。
在一实施方式中,一种系统包括用于产生脉冲信号的数字脉冲源。所述系统包括主发生器。所述主发生器还包括耦合到电极用于将主射频(RF)信号提供给所述电极的主功率供应器。所述主发生器包括耦合到所述脉冲源用于接收所述脉冲信号的主处理器。所述主处理器用于识别所述脉冲信号的两个状态中的第一状态和所述两个状态中的第二状态。所述主发生器包括耦合到所述主处理器以在所述脉冲信号处于所述第一状态时将第一主功率值提供给所述主功率供应器的第一主功率控制器。所述主发生器还包括耦合到所述主处理器以在所述脉冲信号处于所述第二状态时将第二主功率值提供给所述主功率供应器的第二主功率控制器。所述主发生器包括耦合到所述主处理器以从所述主处理器接收状态识别信息的第一主自动频率控制单元(AFC)。所述第一主AFC被配置来在所述脉冲信号处于所述第一状态时将第一主频率输入提供给所述主RF信号。所述主发生器包括耦合到所述主处理器以从所述主处理器接收状态识别信息的第二主AFC。所述第二主AFC被配置来在所述脉冲信号处于所述第二状态时将第二主频率输入提供给所述主RF信号。
在该实施方式中,所述系统包括从发生器。所述从发生器包括耦合到所述电极用于将从RF信号提供给所述电极的从功率供应器。所述从发生器进一步包括耦合到所述脉冲源用于接收所述脉冲信号以识别所述脉冲信号是处于所述第一状态还是所述第二状态的从处理器。所述从发生器包括耦合到所述从处理器以在所述脉冲信号处于所述第一状态时将第一从功率值提供给所述从功率供应器的第一从功率控制器。所述从发生器包括耦合到所述从处理器以在所述脉冲信号处于所述第二状态时将第二从功率值提供给所述从功率供应器的第二从功率控制器。所述从发生器包括耦合到所述从处理器以从所述从处理器接收状态识别信息的第一从AFC。所述第一从AFC被配置来在所述脉冲信号处于所述第一状态时将第一从频率输入提供给所述从RF信号。所述从发生器包括耦合到所述从处理器以从所述从处理器接收状态识别信息的第二从AFC。所述第二从AFC被配置来在所述脉冲信号处于所述第二状态时将第二从频率输入提供给所述从RF信号。
在一实施方式中,一种系统包括等离子体室,所述等离子体室包括具有用于支撑衬底的表面的下电极。所述等离子体室包括位于所述下电极上方的上电极。所述上电极被电气接地。所述系统包括用于产生脉冲信号的数字脉冲源。所述脉冲信号在两个状态之间转变。所述系统包括主发生器。所述主发生器包括耦合到所述下电极用于将主射频(RF)信号提供给所述下电极的主功率供应器。所述主发生器进一步包括耦合到所述脉冲源用于接收所述脉冲信号的主处理器。所述主处理器用于识别所述脉冲信号的所述两个状态中的第一状态和所述两个状态中的第二状态。所述主发生器包括耦合到所述主处理器以在所述脉冲信号处于所述第一状态时将第一主功率值提供给所述主功率供应器的第一主功率控制器。所述主发生器进一步包括耦合到所述主处理器以在所述脉冲信号处于所述第二状态时将第二主功率值提供给所述主功率供应器的第二主功率控制器。所述主发生器还包括耦合到所述主处理器以从所述主处理器接收状态识别信息的第一主自动频率控制单元(AFC)。所述第一主AFC被配置来在所述脉冲信号处于所述第一状态时提供所述主RF信号的频率。所述主发生器包括耦合到所述主处理器以从所述主处理器接收状态识别信息的第二主AFC。所述第二主AFC被配置来在所述脉冲信号处于所述第二状态时提供所述主RF信号的频率。
在该实施方式中,所述系统包括从发生器,所述从发生器进一步包括耦合到所述下电极用于将从RF信号提供给所述下电极的从功率供应器。所述从发生器包括耦合到所述脉冲源用于接收所述脉冲信号以识别所述脉冲信号是处于所述第一状态还是所述第二状态的从处理器。所述从发生器进一步包括耦合到所述从处理器以在所述脉冲信号处于所述第一状态时将第一从功率值提供给所述从功率供应器的第一从功率控制器。所述从发生器包括耦合到所述从处理器以在所述脉冲信号处于所述第二状态时将第二从功率值提供给所述从功率供应器的第二从功率控制器。所述从发生器进一步包括耦合到所述从处理器以从所述从处理器接收状态识别信息的第一从AFC。所述第一从AFC被配置来在所述脉冲信号处于所述第一状态时提供所述从RF信号的频率。所述从发生器包括耦合到所述从处理器以从所述从处理器接收状态识别信息的第二从AFC,所述第二从AFC被配置来在所述脉冲信号处于所述第二状态时提供所述从RF信号的频率。
在一实施方式中,一种方法包括接收数字脉冲信号。所述数字脉冲信号具有两个状态。所述方法进一步包括从在所述数字脉冲信号处于所述两个状态中的第一状态时将第一频率输入施加于主RF功率供应器转换到在所述数字脉冲信号处于所述两个状态中的第二状态时将第二频率输入施加到所述主RF功率供应器。所述方法包括确定所述数字脉冲信号处于所述第一状态时施加于从RF功率供应器的第三频率输入。
上述实施方式的一些优点包括减少对等离子体室内的等离子体阻抗的改变的响应的响应时间。举例来说,当状态信号(例如,晶体管-晶体管逻辑电路(TTL)信号,等等)被用于控制由多个RF功率供应器提供的频率和/或功率时,所述RF供应器中的第一个并不需要时间来响应所述RF供应器中的第二个的功率和/或频率的改变。一般而言,当给第一RF供应器的频率和/或功率输入被改变时,等离子体阻抗会有改变且所述第一RF供应器对所述阻抗的改变作出反应。这种反应需要时间,从而对发生在等离子体室内的例如蚀刻、沉积、清洁等工艺产生负面影响。当RF供应器用预定频率和/或预定功率对状态信号的状态的改变作出反应时,对等离子体阻抗的改变作出反应所需的时间减少。这种时间上的减少导致对工艺产生负面影响的时间的减少。
从接下来的结合附图进行的详细描述中,本发明的其他方面会变得显而易见。
附图说明
参考下面结合附图进行的描述,可以最好地理解本发明的实施方式。
图1是根据本公开中所记载的实施方式的用于根据等离子体阻抗的改变减少调谐功率控制器和/或频率调谐器的时间量的系统的框图。
图2是根据本公开中所记载的实施方式的示出射频(RF)功率信号的状态改变以及晶体管-晶体管逻辑电路(TTL)信号的状态改变并示出基于伽玛(Γ)的变化的功率信号的频率和/或功率值的调节的表格的实例。
图3是根据本公开中所记载的实施方式的用于减少根据等离子体阻抗的变化来调谐功率控制器和/或频率调谐器的时间量的系统的示意图,其中功率控制器和/或频率调谐器提供非零值。
图4A示出了根据本公开中所记载的实施方式的两个RF信号情况下的图形,其中所述RF信号中的一个具有恒定值或可变值。
图4B示出了根据本公开中所记载的实施方式的有两个RF信号情况下的图形,其中所述RF信号中的两个都具有可变值。
图5A示出了根据本公开中所记载的实施方式的有三个RF信号情况下的图形,其中所述RF信号中的一个具有恒定值而所述RF信号中的另外一个具有恒定值或可变值。
图5B示出了根据本公开中所记载的实施方式的有三个RF信号情况下的图形,其中所述RF信号中的一个具有恒定值而余下两个RF信号具有可变值。
图5C示出了根据本公开中所记载的实施方式的有三个RF信号情况下的图形,其中所述RF信号中的一个具有恒定值或可变值而余下两个RF信号具有可变值。
图5D示出了根据本公开中所记载的实施方式的三个RF信号均具有可变值的情况下的图形。
图5E示出了根据本公开中所记载的实施方式的有三个RF信号情况下的图形,其中所述RF信号中的一个具有恒定值或可变值而余下的RF信号具有可变值。
图5F示出了根据本公开中所记载的实施方式的三个RF信号均具有可变值的情况下的图形。
图6是根据本公开中所记载的实施方式的用于基于TTL信号的状态在自动频率调谐器(AFT)之间进行选择的系统的框图。
图7是根据本公开中所记载的实施方式的用于确定是产生具有第一组功率值和第一组频率的RF信号还是产生具有第二组功率值和第二组频率的RF信号的方法的流程图。
具体实施方式
下面的实施方式中描述了用于功率和频率的基于状态的调节的系统和方法。显而易见,在没有一些或所有这些具体细节的情况下也可以实施本实施方式。在其他示例中,没有详细描述公知的处理操作,以便不会不必要地混淆本发明的实施方式。
图1是用于减少根据等离子体的阻抗的变化来调节功率控制器和/或频率调谐器所需的时间量的系统180的实施方式的框图。2兆赫(MHz)的射频(RF)功率供应器将RF功率经由阻抗匹配电路182提供给等离子体室102的下电极104。同样地,60MHz的功率供应器将RF功率经由阻抗匹配电路186提供给下电极104。应当指出在一个实施方式中,替代60MHz的源,27MHz的源用于将RF功率提供给下电极104。此外,应该指出,提供值2MHz、27MHz、60MHz作为示例而不是作为限制。例如,替代2MHz的功率供应器,可以使用2.5MHz的功率供应器,替代60MHz的功率供应器,可以使用65MHz的功率供应器。在另一个实施方式中,除了2MHz的源和60MHz的源,27MHz的源用于给下电极104提供RF功率。
阻抗匹配电路包括电路元件以将与该阻抗匹配电路的功率源耦合的阻抗和与该阻抗匹配电路的负载耦合的阻抗相匹配,该电路元件如电感器、电容器等。例如,阻抗匹配电路182使2MHz的功率供应器的阻抗与等离子体室102内产生的等离子体的阻抗相匹配。作为另一示例,阻抗匹配电路186使60MHz的功率供应器的阻抗与等离子体室102内产生的等离子体的阻抗相匹配。作为又一个示例,阻抗匹配电路182将2MHz的功率供应器的阻抗与等离子体室102的部分的阻抗相匹配,该部分例如等离子体和下电极104。在一个实施方式中,将阻抗匹配电路进行调谐以促进与该阻抗匹配电路耦合的RF功率供应器的阻抗与第一负载的阻抗之间的匹配。功率源和负载之间的阻抗的匹配减少了功率从负载向功率源反射的几率。
等离子体室102包括下电极104、上电极110和其它组件(未示出),例如,围绕上电极110的上部介电环、围绕上部介电环的下电极延伸部、围绕该下电极的下部介电环、围绕该下部介电环的下电极延伸部、上部等离子体排除区(PEZ)环、下部PEZ环等。上电极110位于下电极104的相对侧并朝向下电极104。下电极104的上表面106支承衬底108,例如,半导体晶片。在衬底108中开发集成电路,例如,特定应用集成电路(ASIC)、可编程逻辑器件(PLD)等,且该集成电路中使用于各种设备,例如,蜂窝电话、平板电脑、智能手机、计算机、笔记本电脑、网络设备等。下电极104由例如阳极化铝、铝合金等金属制成。此外,上电极110由例如铝、铝合金等金属制成。
在一个实施方式中,上电极110包括连通到中央气体进给器(未示出)的孔。该中央气体进给器从气体供给器(未示出)接收一个或多个处理气体。处理气体的实例包括诸如O2等含氧气体。其他的处理气体的实例包括例如四氟化碳(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)等含氟气体。上电极110接地。将下电极104经由阻抗匹配电路182与2MHz的RF功率供应器耦合并经由阻抗匹配电路186与60MHz的RF功率供应器耦合。
当在上电极110和下电极104之间提供处理气体时,且当功率供应器(例如,2MHz的功率供应器和/或60兆赫的功率供应器)将功率经由相应的阻抗匹配电路提供给下电极104时,点燃处理气体以在等离子体室102内产生等离子体。例如,2MHz的功率供应器经由阻抗匹配电路182供应功率以点燃处理气体,从而产生等离子体。
计算机(未示出)上的工具用户界面(UI)190用于产生晶体管-晶体管逻辑(TTL)信号112,信号112是数字脉冲信号。在一个实施方式中,计算机包括TTL电路。如本文所使用的,使用处理器、控制器、ASIC、或PLD替代计算机,并且这些术语在本文中可互换使用。TTL信号112包括状态S1和S0。TTL信号112有50%的工作循环。在一个实施方式中,TTL信号112具有范围从5%到95%的工作循环。状态S1的示例包括导通状态、具有值1的状态,或者高状态。状态S0的示例包括关断状态、具有值0的状态,或低状态。该高值大于该低值。
在另一个实施方式中,替代计算机,时钟振荡器(例如,晶体振荡器)用于产生模拟时钟信号,该模拟时钟信号由模拟-数字转换器转换成类似于TTL信号112的数字信号。例如,通过将电压施加到晶体振荡器上或晶体振荡器附近的电极上,使晶体振荡器在电场中振荡。
将TTL信号112发送到数字信号处理器(DSP)140和另一DSP150。每个DSP140和150接收TTL信号112并识别TTL信号112的状态S0和S1。例如,DSP140在状态S0和S1之间辨别。作为另一示例,DSP140确定TTL信号112在第一组时间段期间具有第一幅值并在第二组时间段期间具有第二幅值。DSP140确定TTL信号112在第一组时间段期间具有状态S1并在第二组时间段期间具有状态S0。作为又一示例,DSP140将TTL信号112的幅值与预先存储的值进行比较,以确定在第一组时间段期间TTL信号112的幅值大于预先存储的值,并且在第二组时间段期间,TTL信号112在状态S0期间的幅值不大于预先存储的值。在使用时钟振荡器的实施方式中,每个DSP140和150从时钟振荡器接收模拟时钟信号,将模拟信号转换成数字形式,然后识别该两种状态S0和S1。
每个DSP140和150将状态S0和S1存储在DSP内的一个或多个存储器设备的存储位置中。存储设备的示例包括随机存取存储器(RAM)和只读存储器(ROM)。存储设备可以是闪存存储器、硬盘、存储装置、计算机可读介质等。
每个DSP140和150从相应的存储位置将所确定的状态S0和S1提供给相应的自动频率调谐器(AFT)114、118和120,以及相应的功率控制器142、152和154。例如,DSP140对AFT114和功率控制器142指示TTL信号112在工作循环的时间t1和t2之间处于状态S1。作为另一个示例,DSP150给AFT118和功率控制器152指示TTL信号112在工作循环的时间t1和t2之间处于状态S1。作为又一示例,DSP150对AFT120和功率控制器154指示TTL信号112在工作循环的时间t2和t3之间处于状态S0。在本文中可互换使用术语调谐器和控制器。AFT的一种示例在美国专利No.6,020,794中公开,其全部内容通过引用并入本文。
每个AFT114、118和120根据TTL信号112的状态来确定频率值,且每个功率控制器142、152和154根据TTL信号112的状态来确定的功率值。例如,AFT114确定当TTL信号112的状态是S1时将频率值F11提供给2MHz的功率供应器,且功率控制器142确定当TTL信号112的状态是S1时将功率值P11提供给2MHz的功率供应器。作为另一个示例,AFT118确定当TTL信号112的状态是S1时将频率值F21提供给60MHz的功率供应器,且确定当TTL信号112的状态是S0时将频率值F20提供给60MHz的功率供应器。作为又一个示例,功率控制器150确定当TTL信号112的状态是S0时将功率值P20提供给60MHz的功率供应器,并且确定当TTL信号112的状态是S1时将功率值P21提供给60MHz的功率供应器。
在一个实施方式中,根据训练例程产生频率值F21和功率值P21。在训练例程期间,当2MHz的功率供应器将其RF功率信号从低功率值改变到高功率值时,等离子体室102内的一个或多个部分和60MHz的功率供应器之间存在阻抗失配。该高功率值高于该低功率值。当供应给2MHz RF功率供应器的TTL信号112或时钟信号的状态从S0变化到S1时,2MHz的功率供应器改变其RF功率信号。在这种情况下,当2MHz的功率供应器开始以高功率值供应功率时,60MHz的功率供应器已调谐其频率和功率。为了降低阻抗失配,60MHz的功率供应器开始调谐(例如,会聚(converge))至频率值和功率值。可根据标准偏差或其他工艺由DSP150确定该会聚。为了使60MHz的功率供应器能用更多的时间会聚至该频率值和该功率值,将2MHz的功率供应器在高功率值保持比通常的时间段较长的时间段。该通常时间段是在其中阻抗不匹配还没有减少(例如,移除)的时间量。该通常时间段的示例等于TTL信号112的半个周期。当60MHz的功率供应器会聚至该频率值和该功率值时,将该会聚的频率值作为频率值F21存储在AFT118内,且将该会聚的功率值作为功率值P21存储在功率控制器152内。同样地,在训练例程期间,产生频率值F20和F11以及功率值P11和P20。将频率值F20存储在AFT120中,将频率值F11存储在AFT114内,将功率值P11存储在功率控制器142中,以及将功率值P20存储在功率控制器154中。
当TTL信号112的状态是S1时,功率控制器142将功率值P11提供给2MHz的功率供应器,且功率控制器152将功率值P21提供给60MHz的控制器。在状态S1期间,AFT114将频率值F11提供给2MHz的功率供应器,且AFT118将频率值F21提供给60MHz的功率供应器。
此外,在一个实施方式中,当TTL信号112的状态是S1时,功率控制器154限制其自身将功率值P20提供给60MHz的功率供应器。另外,在本实施方式中,AFT120限制其自身将频率值F20提供给60MHz的功率供应器。
在一个实施方式中,在状态S1期间,将功率值P11和频率值F11提供给2MHz的功率供应器,同时将功率值P21和频率值F21提供给60MHz的功率供应器。例如,在状态S1中,在TTL信号112的与将功率值P21和频率值F21提供给60MHz的功率供应器的时钟脉冲边沿期间相同的时钟脉冲沿期间,将P11功率值和F11频率值提供给2MHz的功率供应器。
在一个实施方式中,在状态S1期间,几乎在将功率值P21和频率值F21提供给60MHz的功率供应器的同时,将功率值P11和频率值F11提供给2MHz的功率供应器。例如,在状态S1中,在TTL信号112的时钟脉冲边沿的发生之前或之后的几分之一秒内(如,几微秒、几毫秒、几纳秒等之后),将功率值P11和频率值F11提供给2MHz的功率供应器。在这个示例中,在时钟脉冲边沿的发生期间,将功率值P21和频率值F21提供给60MHz的功率供应器。
在状态S1期间,2MHz的功率供应器接收频率值F11和功率值P11。当接收到值F11和P11时,2MHz的功率供应器产生在频率F11的RF功率,且RF功率具有功率值P11。此外,在状态S1期间,60MHz的功率供应器接收频率值F21和功率值P21。当接收到值F21和P21时,60MHz的功率供应器产生在频率F21的RF功率且该RF功率具有功率值P21。
在一个实施方式中,RF功率供应器包括驱动器,接着是放大器。该放大器将正向功率经由传输线提供给等离子体室102。例如,2MHz的功率供应器的放大器将正向功率经由传输线230和阻抗匹配电路182提供给等离子体室102,该正向功率具有与功率值P11成比例的(例如,相同的、成倍数的等)功率值并具有频率值F11。作为另一个示例,60MHz的功率供应器的放大器将正向功率经由传输线232和阻抗匹配电路186提供给等离子体室102,该正向功率具有与功率值P21成比例的功率值,并具有频率值F21。
当TTL信号112的状态是S0时,不提供功率值给2MHz的功率供应器,并且功率控制器154将P20的功率值提供给60MHz的控制器。在状态S0期间,不提供频率值给2MHz的功率供应器,并且AFT120将F20的频率值提供给60MHz的功率供应器。
此外,在一个实施方式中,当TTL信号112的状态是S0时,功率控制器142限制其自身将功率值P11提供给2MHz的功率供应器,且功率控制器152限制其自身将功率值P21提供给60MHz的功率供应器。另外,在本实施方式中,AFT114限制其自身将频率值F11提供给2MHz的功率供应器,且AFT118限制其自身将频率值F21提供给60MHz的功率供应器。
在一个实施方式中,在状态S0期间,在将功率值P20和频率值F20提供给60MHz的功率供应器的同时,不将功率值和频率值提供给2MHz的功率供应器。例如,在状态S1中,在TTL信号112的与将功率值P20和频率值F20提供给60MHz的功率供应器的时钟脉冲边沿期间相同的时钟脉冲沿期间,将功率值0和频率值0提供给2MHz的功率供应器。
在状态S0期间,2MHz的功率供应器没有接收任何频率和功率值,例如,接收0的频率值和0的功率值。当不接收功率值和频率值时,2MHz的功率供应器产生功率值为零的RF功率,且该RF功率具有零功率值。此外,在状态S0期间,60MHz的功率供应器接收F20的频率值和P20的功率值。当接收到值F20和P20时,60MHz的功率供应器产生频率F20的RF功率,且该RF功率具有P20的功率值。
2MHz的功率供应器的放大器不经由传输线230和阻抗匹配电路182提供正向功率(例如,供给具有零的功率值和具有零的频率值的正向功率)给等离子体室102。60MHz的功率供应器的放大器将正向功率经由传输线232和匹配电路186提供给等离子体室102,该正向功率具有与功率值P20成比例的功率值以及具有频率值F20。
在一个实施方式中,在状态S1和S0中的一个或两个期间,传感器210在传输线路230上感测反射功率,该反射功率是从等离子体室102的等离子体反射的RF功率。此外,在状态S1和S0的一个或两个期间,当正向功率从2MHz的RF功率供应器经由传输线230发送给等离子体室102时,传感器210感测传输线230上的正向功率。同样地,在状态S1和S0中的一个或两个期间,传感器212感测来自等离子体室102的等离子体反射功率。由传感器212检测的反射功率是在传输线232上的从等离子体室102的等离子体反射的。此外,在状态S1和S0中的一个或两个期间,当该正向功率从60MHzRF功率供应器经由传输线232发送到等离子体室102时,传感器212感测传输线232上的正向功率。
模拟-数字转换器(ADC)220将由传感器210所感测的反射功率信号和正向功率信号从模拟形式转换成数字形式,且ADC222将由传感器212所感测的反射功率信号和正向功率信号从模拟形式转换成数字形式。在状态S1和S0中的一者或两者期间,DSP140接收由传感器210所感测的反射功率信号和正向功率信号的数字值,且DSP150接收由传感器212所感测的反射功率信号和正向功率信号的数字值。在状态S1和S0中的一个或两个期间,DSP140计算关系以产生Γ值,该关系例如数字的反射功率信号和数字的正向功率信号的比率、电压驻波比(VSWR)等。Γ值1表示源阻抗和负载阻抗之间的高度不匹配,且Γ值0表示源阻抗和负载阻抗之间的低度不匹配。类似地,在状态S1和S0中的一个或两个期间,DSP150计算数字反射功率信号和数字正向功率信号之间的关系以产生Γ值。将VSWR计算成等于RC-1与RC+1的比率,其中RC是反射系数。
在状态S1期间将Γ值从DSP140发送到AFT114且在状态S1期间将Γ值从DSP150发送到AFT118。在状态S1期间,AFT114根据从DSP140接收的Γ值来确定频率值,且AFT118根据从DSP150接收的Γ值来确定频率值。在状态S1期间,AFT114根据基于Γ值产生的频率值来调节频率值F11,并将已调节的频率值提供给2MHz的功率供应器。此外,在状态S1期间,AFT118根据基于Γ值产生的频率值来调节频率值F21,并将已调节的频率值提供给60MHz的功率供应器。
此外,在状态S1期间,功率控制器142根据从DSP140接收的Γ值来确定功率值,且功率控制器152根据从DSP150接收的Γ值来确定功率值。在状态S1期间,功率控制器142根据基于Γ值产生的功率值来调节功率值P11,并将已调节的功率值提供给2MHz的功率供应器。此外,在状态S1期间,功率控制器152根据基于Γ值产生的功率值来调节P21功率值,并将已调节的功率值提供给60MHz的功率供应器。
在状态S1期间,2MHz的功率供应器产生具有从AFT114接收到的已调节的频率值并具有从功率控制器142接收的已调节的功率值的功率信号,并将该功率信号经由阻抗匹配电路182提供至等离子体室102。同样,在状态S1期间,60MHz的功率供应器产生具有从AFT118接收到的该已调节的频率值并具有从功率控制器152接收的该已调节的功率值的功率信号,并将该功率信号经由阻抗匹配电路186提供至等离子体室102。
此外,在状态S0期间,没有功率值和频率值提供给2MHz的功率供应器,且不使用在状态S0期间所产生的Γ值来调节2MHz的功率供应器的零频率值和零功率值。在状态S0期间,AFT120根据从DSP150接收的Γ值来确定频率值。在状态S0期间,AFT120根据从Γ值产生的频率值来调节频率值F20,并将已调节的频率值提供给60MHz的功率供应器。此外,在状态S0期间,功率控制器154根据从DSP150接收的Γ值来确定功率值。在状态S0期间,功率控制器154根据基于该Γ值产生的功率值来调节功率值P20,并将该已调节的功率值提供给60MHz的功率供应器。在状态S0期间,60MHz的功率供应器产生具有从AFT120接收的该已调节的频率值并具有从功率控制器154接收的该已调节的功率值的功率信号,并将该功率信号经由阻抗匹配电路186提供给等离子体室102。
功率控制器142、AFT114和DSP140是发生器控制器270的组成部分。发生器控制器270、ADC220、传感器210和2MHz的功率供应器是2MHz发生器274的组成部分。同样地,功率控制器152、功率控制器154、AFT118和120、和DSP150是发生器控制器272的组成部分。发生器控制器27、ADC222、传感器212、和60MHz的功率供应器是60MHz发生器276的组成部分。
在一个实施方式中,系统180不包括阻抗匹配电路182和/或186。在一个实施方式中,单个控制器用于替代功率控制器142和AFT114,单个控制器用于替代功率控制器152和AFT118,以及单个控制器用于替代功率控制器154和AFT120。
在一个实施方式中,除了使用2MHz和60MHz的功率供应器,还使用了27MHz的功率供应器,27MHz的发生器类似于60MHz的发生器276,不同的是27MHz的发生器包括27MHz的功率供应器而不是60MHz的功率供应器。27MHz的发生器经由阻抗匹配电路(未示出)和传输线(未示出)与等离子体室102的下电极104耦合。此外,27MHz的功率供应器与工具UI190耦合以接收TTL信号112。27MHz的发生器包括两个功率控制器、两个AFT、DSP、ADC、传感器、和27MHz的功率供应器。
图2是表250的实施方式,其示出了随着TTL信号112的状态的变化RF功率信号的状态的变化,并示出了基于Γ变化的功率信号的频率值和/或功率值的调节。当TTL信号112处于状态S1时,由2MHz和60MHz的功率供应器提供的功率信号也有状态S1。例如,当TTL信号112处于状态S1时,与TTL信号112的状态的变化同步,由2MHz的功率供应器提供的功率信号将其状态从S1改变到S0或从S0改变到S1。另外,在这个示例中,与TTL信号112的状态的变化同步,由60MHz的功率供应器提供的功率信号将其状态从S1到S0或从S0改变到S1。作为另一示例,与TTL信号112的状态的变化发生几乎同时,由2MHz的功率供应器提供的功率信号将其状态从S1改变到S0或从S0改变到S1。另外,在这个示例中,与TTL信号112的状态的变化发生几乎同时,由60MHz的功率供应器提供的功率信号将其状态从S1改变到S0或从S0改变到S1。
此外,如在图2中所示的,在状态S1期间,根据在状态S1期间和在状态S0期间产生的Γ值来调节频率值F11和F21以及功率值P21,根据在状态S0期间产生的Γ值来调节频率值F20和功率值P20。
图3是系统262的一实施方式的框图,系统262用于减少根据等离子体阻抗的变化来调谐功率控制器和/或频率调谐器所需的时间量,其中该功率控制器和/或频率调谐器提供非零值。系统262与图1的系统180相似,除了系统262包括每一个都提供非零值的功率控制器172和AFT264之外。
DSP140将来自相应的存储位置的确定的状态S0提供给AFT264并提供给功率控制器172。作为示例,DSP140对AFT264和功率控制器172指示在工作循环的时间t2和t3之间TTL信号112处于状态S0。AFT264根据TTL信号112的状态来确定频率值,且功率控制器172根据TTL信号112的状态来确定功率值。例如,AFT264确定当TTL信号112的状态是S0时将频率值F10提供给2MHz的功率供应器,且功率控制器172确定当TTL信号112的状态是S0时将功率值P10提供给2MHz的功率供应器。在一个实施方式中,F10和P10的值是正值。
在训练例程期间,以与上面所描述的类似的方式产生频率值F10和功率值P10。将频率值F10存储在AFT264中,且将功率值P10存储在功率控制器172中。当TTL信号112的状态是S0时,功率控制器172将P10的功率值提供给2MHz的功率供应器,且AFT264将F10的频率值提供给2MHz的功率供应器。
此外,在一个实施方式中,当TTL信号112的状态是S1时,功率控制器172限制其自身将P10的功率值提供给2MHz的功率供应器。另外,在本实施方式中,AFT264限制其自身将F10的频率值提供给2MHz的功率供应器。
在一个实施方式中,在状态S0期间,在将P20的功率值和F20的频率值提供给60MHz的功率供应器的同时,将P10的功率值和F10的频率值提供给2MHz的功率供应器。例如,在状态S0期间,在TTL信号112的与将P20的功率值和F20的频率值提供给60MHz的功率供应器的时钟脉冲边沿期间相同的时钟脉冲边沿期间,将P10的功率值和F10的频率值提供到2MHz的功率供应器。
在一个实施方式中,在状态S0期间,在与将P20的功率值和F20的频率值提供给60MHz的功率供应器的时间几乎相同的时间,将P10的功率值和F10的频率值提供给2MHz的功率供应器。例如,在状态S0中,在TTL信号112的时钟脉冲边沿的发生之前或之后的几分之一秒的时间内,将P10的功率值和F10的频率值提供给2MHz的功率供应器。在这个示例中,在该时钟脉冲边沿的发生期间,将P20的功率值和F20的频率值提供给60MHz的功率供应器。
在状态S0期间,2MHz的功率供应器接收F10的频率值和P10的功率值。当接收到值F10和P10时,2MHz的功率供应器产生在频率F10的RF功率,且该RF功率具有P10的功率值。2MHz的功率供应器的放大器将正向功率经由传输线230和阻抗匹配电路182提供给等离子体室102,该正向功率具有与P10的功率值成比例的功率值并具有频率值F10。
在一个实施方式中,在状态S0期间,AFT264根据从DSP140接收的Γ值来确定频率值。在状态S0期间,AFT264根据基于Γ值产生的频率值来调节F10的频率值,并将已调节的频率值提供给2MHz的功率供应器。此外,在状态S0,功率控制器172根据从DSP140接收的Γ值确定功率值。在状态S0期间,功率控制器172根据基于Γ值产生的功率值来调节功率值P10,并将已调节的功率值提供给2MHz的功率供应器。另外,在状态S0期间,2MHz的功率供应器产生具有从AFT264接收的该已调节的频率值并具有从功率控制器172接收的该已调节的功率值的功率信号,并将该功率信号经由阻抗匹配电路182提供给等离子体室102。
功率控制器142和172、AFT114和264、以及DSP140是发生器控制器290的组成部分。发生器控制器290、ADC220、传感器210、和2MHz的功率供应器是2MHz发生器292的组成部分。
图4A示出了曲线图302、304、306和308的实施方式。每个曲线图302、304、306和308描绘以千瓦(kW)为单位的功率值作为时间t的函数。正如曲线图302所表示的,2MHz的功率信号是由2MHz的功率供应器提供的功率信号,2MHz的功率信号在状态S1期间具有a1的功率值,并在状态S0期间具有0的功率值。功率值a1是功率值P11的示例。此外,60MHz的功率信号是由60MHz的功率供应器提供的功率信号,60MHz的功率信号在状态S1期间具有a2的功率值,并在状态S0期间具有a3的功率值。a2的功率值是功率值P21的示例,且a3的功率值是功率值P20的示例。
如在曲线图304所示的,60MHz的功率信号在状态S1和S0期间具有功率值a2。此外,如曲线图306所示,2MHz的信号在状态S0期间具有a4的功率值。功率值a4为功率值P10的示例。如曲线图308所示,当2MHz的信号具有a4的功率值时,60MHz的信号具有a2的功率值。
图4B示出曲线图310、312、314和316的实施方式。每一个曲线图310、312、314和316描绘以千瓦(kW)为单位的功率值作为时间t的函数。如曲线图310所示,替代60MHz的信号从功率值a2转换到a3的功率值(图4A),60MHz的信号从a2的功率值转换为零功率值。
此外,如曲线图312所示,60MHz的信号从a2的功率值转换为a5的功率值,a5的功率值是功率值P20的示例。如曲线图314所示,当2MHz的信号具有a4的非零的功率值时,60MHz的信号在状态S0期间具有为零的功率值。如曲线图316所示,当2MHz的信号具有a4的非零的功率值时,60MHz的功率信号在状态S0期间具有a5的非零的功率值。
图5A示出了曲线图318、320、322和324的实施方式。每一个曲线图318、320、322和324描绘了单位为千瓦的功率值作为时间t的函数。除了曲线图318、320、322和324包括27MHz的信号的曲线外,曲线图318类似于曲线图302(图4A),曲线图320类似于曲线304(图4A),曲线图320类似于曲线图306(图4A),以及曲线图322类似于图308(图4A)。27MHz的信号从27MHz发生器的27MHz的功率供应器(未示出)产生。27MHz的信号是在状态S1和S0中的两个期间具有a6的功率值的RF信号。
图5B示出了曲线图326、328、330和332的实施方式。每一个曲线图326、328、330和332描绘了单位为千瓦的功率值作为时间t的函数。除了曲线图326、328、330和332包括具有a6的功率值的27MHz的信号的曲线外,曲线图326类似于曲线图310(图4B),曲线图328类似于图312(图4B),曲线图330类似于曲线图314(图4B),并且曲线图332类似于曲线图316(图4B)。
图5C示出了曲线图334、336、338和340的实施方式。每一个曲线图334、336、338和340描绘了单位为千瓦的功率值作为时间t的函数。除了曲线图334、336、338和340包括27MHz信号的曲线外,曲线图334类似于曲线图302(图4A),曲线图336类似于曲线图304(图4A),曲线图338类似于曲线图306(图4A),以及曲线图340类似于曲线图308(图4A)。27MHz的信号从在状态S1期间具有a7的功率值转变为在状态S0期间具有a8的功率值。该功率值a7中小于该功率值a8。
图5D示出曲线图342、344、346和348的实施方式。每一个曲线图342、344、346和348描绘了单位为千瓦的功率值作为时间t的函数。除了曲线图342、344、346和348包括具有a7和a8的功率值的27MHz信号的曲线外,曲线图342类似于曲线图310(图4B),曲线图344类似于图312(图4B),曲线图346类似于曲线图314(图4B),并且曲线图348类似于曲线图316(图4B)。
图5E示出了曲线图350、352、354和356的实施方式。每一个曲线图350、352、354和356描绘了单位为千瓦的功率值作为时间t的函数。除了曲线图350、352、354和356包括27MHz信号的曲线外,曲线图350类似于曲线图302(图4A),曲线图352类似于图304(图4A),曲线图354类似于曲线图306(图4A),并且曲线图356类似于图308(图4A)。27MHz的信号从在状态S1期间具有a9的功率值转换到在状态S0期间具有a10的功率值。该功率值a9大于该功率值a10。
图5F示出了曲线图358、360、362和364的实施方式。每一个曲线图358、360、362和364描绘了单位为千瓦的功率值作为时间t的函数。除了曲线图358、360、362和364包括具有a9和a10的功率值的27MHz信号的曲线外,曲线图358类似于曲线图310(图4B),曲线图360类似于曲线图312(图4B),图362类似于曲线图314(图4B),并且曲线图364类似于曲线图316(图4B)。
应当指出,在如上所示的曲线图302、304、306、308、310、312、314、316、318、320、322、324、326、328、330、332、334、336、338、340、342、344、346、348、350、352、354、356、和358中,所示2MHz的信号为实线,所示60MHz信号为虚横线,并且所示27MHz的信号为虚点线。
值得注意的是,在一个实施方式中,替代将2MHz信号、27MHz信号和60MHz信号的状态(例如,高、低等)与TTL信号112的状态同步,在一个实施方式中,将RF信号的状态(例如,27MHz信号,60MHz信号,等等)与另一RF信号(例如,2MHz信号等)状态同步。
图6是用于根据TTL信号112的状态在AFT114和264之间进行选择的系统311的一实施方式的框图。当TTL信号112处于状态S1时,系统311的选择逻辑电路128选择AFT114,且当TTL信号112处于状态S0时,选择逻辑电路128选择AFT264。选择逻辑电路128的示例包括复用器。当选择逻辑电路128包括复用器时,在复用器的选择输入处接收TTL信号112。例如,在复用器的第一选择输入处接收TTL信号的低状态,和在复用器的第二选择输入处接收TTL信号的高状态。在一个实施方式中,选择逻辑电路128包括处理器。在一个实施方式中,在DSP140内执行选择逻辑电路128。
当选择AFT114时,AFT114将频率值F11提供给2MHz的功率供应器。同样,当选择AFT264时,AFT264将频率值F10提供给2MHz的功率供应器。
2MHz的功率供应器产生与从时钟源313接收的时钟信号同步的2MHz的信号。在一个实施方式中,时钟源313的时钟信号与TTL信号112同步。在一个实施方式中,时钟源313的时钟信号具有与TTL信号112的相位大致相同的相位。例如,时钟源313的时钟信号的前沿(leading edge)是TTL信号112的前沿的之面或之前的几分之一秒。在一个实施方式中,将TTL信号112而不是将来自时钟源313的时钟信号提供给2MHz的功率供应器。
在使用图1所示的实施方式中,在状态S1期间选择AFT114,并在状态S0期间不选择AFT,而非在AFT114和264之间选择。例如,在状态S0期间,选择逻辑电路128不选择任何AFT。
在一个实施方式中,选择逻辑电路128在功率控制器142和172(图3)之间选择,而非在AFT114和264之间进行选择。当在状态S1期间选择功率控制器142时,功率控制器142将功率值P11提供给2MHz的功率供应器,且当在状态S0期间选择功率控制器172时,功率控制器172将功率值P10提供给2MHz的功率供应器。
此外,在图1所示的实施方式中,在状态S1期间,选择功率控制器142,并在状态S0期间,不选择功率控制器,而非在功率控制器142和172之间进行选择。
在一实施方式中,选择逻辑电路128是在60MHz发生器276(图1)内执行的。该实施方式类似于使用图6所描述的实施方式,除了替代在AFT114和264之间选择,选择逻辑电路128在AFTS118和120(图1)之间进行选择外。当在状态S1期间选择AFT118时,AFT118将频率值F21提供给60MHz的功率供应器,且当在状态S0期间选择AFT120时,AFT120将频率值F20提供给60MHz的功率供应器。此外,在本实施方式中,执行选择逻辑电路128以在功率控制器152和154之间进行选择。当在状态S1期间选择功率控制器152时,功率控制器152将功率值P21提供给60MHz的功率供应器,且当在状态S0期间选择功率控制器154时,功率控制器154将功率值P20提供给60MHz的功率供应器。
在一个实施方式中,选择逻辑电路128在27MHz发生器内以与选择逻辑电路128在2MHz发生器274(图1)或292(图3)或60MHz发生器276(图1和图3)内实施的方式类似的方式实施。
根据状态S1或S0,Γ中的任何值由选择逻辑电路128传输至AFT114或264。例如,当状态处于S1时,DSP140将第一Γ值提供给选择逻辑电路128,且该第一Γ值是根据在状态S1期间所测量的反射功率和正向功率来确定的。在该示例中,在状态S1期间已经选择AFT114的选择逻辑电路128将从DSP140接收的第一Γ值传送给AFT114。作为另一示例,当状态处于S0时,DSP140将第二Γ值提供给选择逻辑电路128,且该第二Γ值是根据在状态S0期间所测量的反射功率和正向功率来确定的。在该示例中,在状态S0期间已经选择AFT264的选择逻辑电路128将从DSP140接收的第二Γ值传送给AFT264。
同样地,在使用功率控制器142和172(图3)的实施方式中,在状态S1期间,选择逻辑电路128将从DSP140接收的第一Γ值传送到功率控制器142,且将从DSP140接收的第二Γ值传送到功率控制器172。此外,在使用AFT114而不使用AFT264的实施方式中,选择逻辑电路128限制其本身在状态S0期间传送第二Γ值。
此外,在选择逻辑电路128在60MHz发生器276内执行(图1)并与功率控制器152和154耦合的实施方式中,选择逻辑电路128在状态S1期间将从DSP150接收的第三Γ值传送到功率控制器152,并且在状态S0期间将从DSP150接收的第四Γ值传送给功率控制器154。在本实施方式中,根据在状态S1期间传输线232上的正向功率和反射功率来产生第三Γ值。此外,在本实施方式中,正向功率和反射功率均由传感器212感测。在本实施方式中,根据在状态S0期间传输线232上的正向功率和反射功率来产生第四Γ值。
此外,在将选择逻辑电路128在60MHz发生器内执行并耦合到AFT118和120的实施方式中,选择逻辑电路128在状态S1期间将从DSP150接收的第三Γ值传送到AFT118,并且在状态S1期间将从DSP150接收的第四Γ值传送给功率控制器AFT120。
图7是方法321的一个实施方式的流程图,方法321用于确定是产生具有第一组的功率值和第一组的频率的RF信号还是产生具有第二组的功率值和第二组的频率的RF信号。在操作323中,在等离子体腔室102(图1)内激励等离子体,例如,产生等离子体。此外,在操作325中,TTL信号112由2MHz发生器接收,并且由60MHz的发生器276(图1和图3)接收,该2MHz发生器例如发生器274(图1)、发生器292(图3)等。例如,DSP140(图1)从工具UI190接收TTL信号112,且DSP150(图1)从工具UI190接收TTL信号112。
在操作327中,DSP(例如,DSP140、DSP150等)确定TTL信号112的状态是S1还是S0。例如,DSP140确定TTL信号112的状态是高还是低。作为另一示例,DSP150确定TTL信号112的状态是1还是0。
响应于确定TTL信号112的状态是S1,在操作329中,TTL信号112由DSP(例如,DSP140、DSP150等)传送到相应的AFT或者功率控制器(例如,AFT114、AFT118、功率控制器142、功率控制器152等)来实现状态S1。例如,将状态S1的识别信息(identification)从DSP140传送到AFT114并传送到功率控制器142来实现功率值P11和频率值F11,以进一步实现状态S1。在这个示例中,AFT114根据包括对应于频率值F11的状态S1的第一查找表来提供频率值F11。此外,在这个示例中,功率控制器142根据包括对应于功率值P11的状态S1的第二查找表来提供功率值P11。在这个示例中,将第一查找表存储在AFT114内且将第二查找表存储在功率控制器142内。
作为另一示例,将状态S1从DSP150发送到AFT118并发送到功率控制器152来实现功率值P21和频率值F21,从而实现状态S1。在这个示例中,AFT118根据包括对应于频率值F21的状态S1的第三查找表提供频率值F21。此外,在这个示例中,功率控制器152根据包括对应于功率值P21的状态S1的第四查找表来提供功率值P21。在此示例中,将第三查找表存储在AFT118内,并将第四查找表存储在功率控制器152内。
在操作331中,在传输线230(图1)上测量反射功率和正向功率,该反射功率是从等离子体室102内的等离子体反射的RF功率。该反射功率和正向功率由传感器210(图1)测量。所测得的正向功率和反射功率的模拟值由传感器210提供给ADC220,ADC220将该些模拟值转换成数字值。此外,在操作331中,在传输线232(图1)上测量反射功率和正向功率。反射功率和正向功率由传感器212(图1)测量。所测得的正向功率和反射功率的模拟值由传感器212提供给ADC222,ADC222将该些模拟值转换成数字值。
在操作333中,DSP140接收由传感器210测得的正向功率和反射功率的数字值,并从该些值确定Γ值。此外,在操作333中,DSP150接收由传感器212测得的正向功率和反射功率的数字值,并从该些值确定Γ值。
在操作335中,将Γ值由DSP140提供给AFT114以调节频率值F11,并且由DSP140提供给功率控制器142以调节功率值P11。作为一个示例,AFT114从对应于Γ值的查找表中检索并非频率值F11的其他的频率值,并将该其他的频率值提供给2MHz的功率供应器,该Γ值是在状态S1根据传输线230上的信号测得的。2MHz的功率供应器产生具有该其他的频率值的RF信号。此外,在操作335中,由DSP140将Γ值提供给功率控制器142,以调节功率值P11。作为一个示例,功率控制器142从对应于Γ值的查找表中检索并非功率值P11的其他的功率值,并将该其他的功率值提供给2MHz的功率供应器,该Γ值是在状态S1根据传输线230上的信号测得的。2MHz的功率供应器产生具有该其他的功率值的RF信号。
此外,在操作335中,由DSP150将Γ值提供给AFT118以调节频率值F21,并且由DSP150提供给功率控制器152,以调节功率值P21。作为一个示例,AFT118从对应于Γ值的查找表中检索并非频率值F21的其他的频率值,并将该其他的频率值提供给60MHz的功率供应器,该Γ值是在状态S1根据传输线232上的信号测得的。60MHz的功率供应器产生具有该其他的频率值的RF信号。作为另一示例,功率控制器152从对应于Γ值的查找表中检索并非功率值P21的其他的频率值,并将该其他的频率值提供给60MHz的功率供应器,该Γ值是在状态S1根据传输线232上的信号测得的。60MHz的功率供应器产生具有该其他的功率值的RF信号。方法321在操作335之后重复操作325。
响应于确定TTL信号112的状态是S0,在操作337中,TTL信号112由DSP(例如,DSP140、DSP150等)传送到相应的AFT或者功率控制器(例如,AFT264、AFT120、功率控制器172、功率控制器154等)来实现状态S0。例如,将状态S0的识别信息从DSP140传送到AFT2644并传送到功率控制器172来实现功率值P10和频率值F10,以进一步实现状态S0。在这个示例中,AFT264根据包括对应于频率值F10的状态S0的第五查找表来提供频率值F10。此外,在这个示例中,功率控制器172根据包括对应于功率值P10的状态S0的第六查找表来提供功率值P10。在这个示例中,将第五查找表存储在AFT264内且将第六查找表存储在功率控制器172内。
作为另一示例,将状态S0的识别信息从DSP150发送到AFT120并发送到功率控制器154来实现功率值P20和频率值F20,从而实现状态S0。在这个示例中,AFT120根据包括对应于频率值F20的状态S0的第七查找表提供频率值F20。此外,在这个示例中,功率控制器154根据包括对应于功率值P20的状态S0的第八查找表来提供功率值P20。在此示例中,将第七查找表存储在AFT120内,并将第八查找表存储在功率控制器154内。
操作339与操作331相同,并且操作341与操作333相同。在操作343中,将Γ值由DSP140提供给AFT264以调节频率值F10,并且由DSP140提供给功率控制器172,以调节功率值P10。作为一个示例,AFT264从对应于Γ的查找表中检索并非频率值F10的其他的频率值,并将该其他的频率值提供给2MHz的功率供应器,该Γ值是在状态S0根据传输线230上的信号测得的。2MHz的功率供应器产生具有该其他的频率值的RF信号。此外,在操作343中,由DSP140将Γ值提供给功率控制器172以调节功率值P10。作为一个示例,功率控制器172从对应于Γ的查找表中检索并非功率值P10的其他的功率值,并将该其他的功率值提供给2MHz的功率供应器,该Γ值在状态S0是根据传输线230上的信号测得的。2MHz的功率供应器产生具有该其他功率值的RF信号。
此外,在操作343中,将Γ值由DSP150提供给AFT120以调节频率值F20,并且由DSP150提供给功率控制器154,以调节功率值P20。作为一个示例,AFT120从对应于Γ的查找表中检索并非频率值F20的其他的频率值,并将该其他的频率值提供给60MHz的功率供应器,该Γ值是在状态S0根据传输线232上的信号测得的。60MHz的功率供应器产生具有该其他的频率值的RF信号。此外,在操作343中,由DSP150将Γ值提供给功率控制器154以调节功率值P20。作为一个示例,功率控制器154从对应于Γ的查找表中检索并非功率值P20的其他的功率值,该Γ值是在状态S0根据传输线232上的信号测量的,并将该其他的功率值提供给60MHz的功率供应器。60MHz的功率供应器产生具有其他的功率值的RF信号。方法321在操作343后重复操作325。
在一个实施方式中,操作331、333、335、339、341和343是可选的。例如,在操作329和337之后重复操作325,并且不执行操作331、333、335、339、341和343。
应该注意的是,尽管上述实施方式涉及提供2MHz的RF信号和/或60MHz的信号和/或27MHz的信号给下电极104并将上电极110接地,在某些实施方式中,将2MHz、60MHz和27MHz的信号提供给上电极110,而将下电极104接地。
还应当指出,在一个实施方式中,在等离子体的阻抗中的变化不用于产生TTL信号112的状态S1和S0。状态S1和S0不依赖于在等离子体的阻抗重的变化。
还应当指出,在一个实施方式中,输入(例如,频率输入、功率输入、等等),或电平(例如,功率电平、频率电平)包括在另一值的阈值内的一个或多个值。例如,功率电平包括功率值P21和在功率值P21的阈值内的其他功率值。在该示例中,功率电平不包括另一状态的任何功率值,例如,状态S0的功率值P20。作为另一示例,频率输入包括频率值F11和在频率值F11的阈值内的其他频率值。在该示例中,输入频率不包括另一种状态的任何频率值,例如,状态S0的频率值F10。
值得注意的是,尽管根据平行板等离子体室描述了上文所述的实施方式,但在一个实施方式中,上文所述的实施方式适用于其他类型的等离子体室,例如,包括电感耦合等离子体(ICP)反应器的等离子体室,包括电子回旋共振(ECR)反应器的等离子体室等。例如,将2MHz和60MHz的功率供应器耦合到ICP等离子体室内的电感器。
在一个实施方式中,由AFT和/或发生器控制器的功率控制器所执行的操作由发生器控制器的DSP来执行。例如,这里所描述的由AFT118和120执行的操作由DSP150(图3)执行。作为另一个示例,这里所描述的由AFT114、AFT264、功率控制器142和功率控制器172执行的操作由DSP140(图3)执行。
本文所描述的实施方式可以用各种计算机系统配置实施,该计算机系统配置包括手持设备、微处理器系统、基于微处理器的或可编程的消费电子器件、微型计算机、大型计算机等。该实施方式也可以在分布式计算环境中实行,在该环境中任务由通过网络链接的远程处理设备执行。
注意,对于上述实施方式,应当理解,这些实施方式可以采用涉及存储在计算机系统中的数据的各种计算机执行的操作。这些操作是需要物理量的物理处理。组成这些实施方式中的部分的本文所描述的任何操作是有用的机器操作。这些实施方式还涉及用于执行这些操作的设备或装置。该装置可以被特别地构造用于特殊用途计算机。当定义为特殊用途计算机时,该计算机还可以进行不属于特殊用途的其他处理、程序执行或例程,同时仍然能够操作用于特殊用途。可替代地,这些操作也可以由通过存储在计算机存储器、高速缓存中的,或通过网络获得的一个或多个计算机程序选择性地激活或配置的通用计算机来处理。当数据在网络上获得时,该数据可由网络上的其他计算机进行处理,例如,由云计算资源处理。
也可以将一个或多个实施方式制作为在计算机可读介质上的计算机可读代码。计算机可读介质是可以存储数据的任何数据存储设备,该些数据之后能够由计算机系统读取。计算机可读介质的示例包括硬盘驱动器、网络附加存储(NAS)、ROM、RAM、光盘只读存储器(CD-ROM)、可录光盘(CD-Rs)、可重写CD(CD-RW)、磁带和其他光学和非光学数据存储设备。计算机可读介质可包括分布在与网络耦合的计算机系统中的计算机可读有形介质,使得计算机可读代码以分布的方式存储和执行。
尽管以特定的顺序描述了这些方法操作,但应理解,只要以所需的方式执行叠加操作的处理,其他内务操作可以在操作之间执行,或操作可以进行调节,使操作在稍微不同的时间发生,或者可以被分布在允许在与该处理相关的不同的时间间隔的处理操作发生的系统中。
从任何实施方式中的一个或多个特征可以在不脱离本公开中描述的各种实施方式中描述的范围的情况下与任何其他实施方式中的一个或多个特征组合。
虽然已描述了上述实施方式的一些细节以便清晰理解,但显然,可在所附权利要求的范围内实行某些变化和改进。因此,本实施方式应被视为说明性的而非限制性的,并且该实施方式并不限于本文给出的细节,而是可在所附权利要求的范围和等同方案内进行修改。

Claims (28)

1.一种用于基于状态的功率和频率调节的系统,其包括:
耦合到电极的主发生器,所述主发生器包括用于将主射频信号提供给所述电极的主功率供应器,所述主发生器进一步包括第一自动频率控制单元以在脉冲信号处于第一状态时将第一频率输入提供给所述主功率供应器;
耦合到所述电极的从发生器,所述从发生器包括用于将从射频信号提供给所述电极的从功率供应器,所述从发生器包括第二自动频率控制单元以在所述脉冲信号处于所述第一状态时将第二频率输入提供给所述从功率供应器,所述从发生器包括第三自动频率控制单元以在所述脉冲信号处于第二状态时将第三频率输入提供给所述从功率供应器,其中,在训练例程期间,所述第二频率输入和所述第三频率输入是预定的;以及
数字时钟脉冲源,其用于产生所述脉冲信号并耦合到所述主发生器和从发生器。
2.如权利要求1所述的用于基于状态的功率和频率调节的系统,其中所述从发生器包括选择器以将接收自数字信号处理器的所述第二频率输入传递给所述从功率供应器或者将接收自所述数字信号处理器的所述第三频率输入传递给所述从功率供应器。
3.一种用于基于状态的功率和频率调节的系统,其包括:
等离子体室,其包括具有用于支撑衬底的表面的下电极以及位于所述下电极上方的上电极,所述上电极被电气接地;
耦合到所述下电极的主发生器,所述主发生器包括用于将主射频信号提供给所述下电极的主功率供应器;
耦合到所述下电极的从发生器,所述从发生器包括用于将从射频信号提供给所述下电极的从功率供应器;
用于产生脉冲信号的数字脉冲源,所述数字脉冲源被耦合到所述主发生器和所述从发生器,其中所述脉冲信号在两个状态之间转变,
所述主发生器包括第一自动频率控制单元以在所述脉冲信号处于第一状态时将第一频率输入提供给所述主功率供应器;
所述从发生器包括第二自动频率控制单元以在所述脉冲信号处于所述第一状态时将第二频率输入提供给所述从功率供应器;
所述从发生器包括第三自动频率控制单元以在所述脉冲信号处于第二状态时将第三频率输入提供给所述从功率供应器,其中,在训练例程期间,所述第二频率输入和所述第三频率输入是预定的。
4.如权利要求3所述的用于基于状态的功率和频率调节的系统,其进一步包括耦合在所述数字脉冲源和所述第二自动频率控制单元及第三自动频率控制单元之间的选择器以在所述第二自动频率控制单元和所述第三自动频率控制单元之间进行选择以将所述第二频率输入或所述第三频率输入提供给所述从功率供应器,所述选择器被配置来基于所述脉冲信号的所述状态在所述第二自动频率控制单元和所述第三自动频率控制单元之间进行选择。
5.如权利要求3所述的用于基于状态的功率和频率调节的系统,其中所述两个状态中的一个是导通状态而所述两个状态中的另一个是截止状态。
6.如权利要求3所述的用于基于状态的功率和频率调节的系统,其中所述主射频信号比所述从射频信号具有较低的频率。
7.如权利要求3所述的用于基于状态的功率和频率调节的系统,
其中所述主射频信号在所述脉冲信号处于所述第一状态时处于第一功率电平而在所述脉冲信号处于所述第二状态时处于第二功率电平,其中所述第一功率电平高于所述第二功率电平,
其中所述从射频信号在所述脉冲信号处于所述第一状态时处于第三功率电平而在所述脉冲信号处于所述第二状态时处于第四功率电平,所述第三功率电平低于所述第四功率电平。
8.如权利要求7所述的用于基于状态的功率和频率调节的系统,其中所述第三功率电平是零或正数。
9.如权利要求3所述的用于基于状态的功率和频率调节的系统,
其中所述主射频信号在所述脉冲信号处于所述第一状态时处于第一功率电平而在所述脉冲信号处于所述第二状态时处于第二功率电平,其中所述第一功率电平高于所述第二功率电平,
其中所述从射频信号在所述脉冲信号处于所述第一状态时处于第三功率电平而在所述脉冲信号处于所述第二状态时处于第四功率电平,所述第三功率电平与所述第四功率电平相同。
10.如权利要求9所述的用于基于状态的功率和频率调节的系统,其中所述第三功率电平是正数。
11.如权利要求3所述的用于基于状态的功率和频率调节的系统,
其中所述主射频信号在所述脉冲信号处于所述第一状态时处于第一功率电平而在所述脉冲信号处于所述第二状态时处于第二功率电平,其中所述第一功率电平高于所述第二功率电平,
其中所述从射频信号在所述脉冲信号处于所述第一状态时处于第三功率电平而在所述脉冲信号处于所述第二状态时处于第四功率电平,所述第三功率电平高于所述第四功率电平。
12.如权利要求11所述的用于基于状态的功率和频率调节的系统,
其中所述第三功率电平是零或正数。
13.如权利要求3所述的用于基于状态的功率和频率调节的系统,其中所述数字脉冲源包括时钟振荡器或晶体管-晶体管逻辑电路。
14.如权利要求3所述的用于基于状态的功率和频率调节的系统,其中所述第一频率输入不同于所述第二频率输入和所述第三频率输入。
15.如权利要求4所述的用于基于状态的功率和频率调节的系统,其中所述选择器包括复用器。
16.一种用于基于状态的功率和频率调节的系统,其包括:
用于产生脉冲信号的数字脉冲源;
主发生器,其包括:
耦合到电极用于将主射频信号提供给所述电极的主功率供应器;
耦合到所述数字脉冲源用于接收所述脉冲信号的主处理器,所述主处理器用于识别所述脉冲信号的两个状态中的第一状态和所述两个状态中的第二状态;
耦合到所述主处理器以基于所述脉冲信号是处于所述第一状态还是所述第二状态确定是否将主功率值提供给所述主功率供应器的功率控制器;以及
耦合到所述主处理器以从所述主处理器接收状态识别信息的自动频率控制单元,所述自动频率控制单元被配置来在所述脉冲信号处于所述第一状态时提供所述主射频信号的频率;
从发生器,其包括:
耦合到所述电极用于将从射频信号提供给所述电极的从功率供应器;
耦合到所述数字脉冲源用于接收所述脉冲信号以识别所述脉冲信号是处于所述第一状态还是所述第二状态的从处理器;
耦合到所述从处理器以在所述脉冲信号处于所述第一状态时将第一从功率值提供给所述从功率供应器的第一功率控制器;
耦合到所述从处理器以在所述脉冲信号处于所述第二状态时将第二从功率值提供给所述从功率供应器的第二功率控制器;
耦合到所述从处理器以从所述从处理器接收状态识别信息的第一自动频率控制单元,所述第一自动频率控制单元被配置来在所述脉冲信号处于所述第一状态时提供所述从射频信号的第一频率输入;以及
耦合到所述从处理器以从所述从处理器接收状态识别信息的第二自动频率控制单元,所述第二自动频率控制单元被配置来在所述脉冲信号处于所述第二状态时提供所述从射频信号的第二频率输入,其中,在训练例程期间,所述第一频率输入和所述第二频率输入是预定的。
17.如权利要求16所述的用于基于状态的功率和频率调节的系统,
其中所述从发生器包括选择器以将接收自所述从处理器的所述第一频率输入传递给所述从功率供应器或者将接收自所述从处理器的所述第二频率输入传递给所述从功率供应器。
18.一种用于基于状态的功率和频率调节的系统,其包括:
等离子体室,其包括具有用于支撑衬底的表面的下电极以及位于所述下电极上方的上电极,所述上电极被电气接地;
用于产生脉冲信号的数字脉冲源,其中所述脉冲信号在两个状态之间转变;
主发生器,其包括:
耦合到所述下电极用于将主射频信号提供给所述下电极的主功率供应器;
耦合到所述数字脉冲源用于接收所述脉冲信号的主处理器,所述主处理器用于识别所述脉冲信号的所述两个状态中的第一状态和所述两个状态中的第二状态;
耦合到所述主处理器以基于所述脉冲信号是处于所述第一状态还是所述第二状态确定是否将主功率值提供给所述主功率供应器的功率控制器;以及
耦合到所述主处理器以从所述主处理器接收状态识别信息的自动频率控制单元,所述自动频率控制单元被配置来在所述脉冲信号处于所述第一状态时提供所述主射频信号的频率;以及从发生器,其包括:
耦合到所述下电极用于将从射频信号提供给所述下电极的从功率供应器;
耦合到所述数字脉冲源用于接收所述脉冲信号以识别所述脉冲信号是处于所述第一状态还是所述第二状态的从处理器;
耦合到所述从处理器以在所述脉冲信号处于所述第一状态时将第一从功率值提供给所述从功率供应器的第一功率控制器;
耦合到所述从处理器以在所述脉冲信号处于所述第二状态时将第二从功率值提供给所述从功率供应器的第二功率控制器;
耦合到所述从处理器以从所述从处理器接收状态识别信息的第一自动频率控制单元,所述第一自动频率控制单元被配置来在所述脉冲信号处于所述第一状态时提供所述从射频信号的第一频率;以及
耦合到所述从处理器以从所述从处理器接收状态识别信息的第二自动频率控制单元,所述第二自动频率控制单元被配置来在所述脉冲信号处于所述第二状态时提供所述从射频信号的第二频率,其中,在训练例程期间,所述第一频率和所述第二频率是预定的。
19.如权利要求18所述的用于基于状态的功率和频率调节的系统,
其中所述两个状态中的一个是导通状态而所述两个状态中的另一个是截止状态。
20.如权利要求18所述的用于基于状态的功率和频率调节的系统,
其中所述主射频信号在所述脉冲信号处于所述第一状态时处于第一功率电平而在所述脉冲信号处于所述第二状态时处于第二功率电平,其中所述第一功率电平高于所述第二功率电平,
其中所述第一从功率值低于所述第二从功率值。
21.如权利要求18所述的用于基于状态的功率和频率调节的系统,
其中所述主射频信号在所述脉冲信号处于所述第一状态时处于第一功率电平而在所述脉冲信号处于所述第二状态时处于第二功率电平,其中所述第一功率电平高于所述第二功率电平,
其中所述第一从功率值与所述第二从功率值相同。
22.如权利要求18所述的用于基于状态的功率和频率调节的系统,
其中所述主射频信号在所述脉冲信号处于所述第一状态时处于第一功率电平而在所述脉冲信号处于所述第二状态时处于第二功率电平,其中所述第一功率电平高于所述第二功率电平,
其中所述第一从功率值高于所述第二从功率值。
23.如权利要求18所述的用于基于状态的功率和频率调节的系统,
其中所述主功率值在所述脉冲信号处于所述第一状态时是正功率值。
24.如权利要求18所述的用于基于状态的功率和频率调节的系统,
其中所述第一从功率值低于、等于、或者高于所述第二从功率值。
25.一种用于基于状态的功率和频率调节的系统,其包括:
用于产生脉冲信号的数字脉冲源;
主发生器,其包括:
耦合到电极用于将主射频信号提供给所述电极的主功率供应器;
耦合到所述数字脉冲源用于接收所述脉冲信号的主处理器,所述主处理器用于识别所述脉冲信号的两个状态中的第一状态和所述两个状态中的第二状态;
耦合到所述主处理器以在所述脉冲信号处于所述第一状态时将第一主功率值提供给所述主功率供应器的第一主功率控制器;
耦合到所述主处理器以在所述脉冲信号处于所述第二状态时将第二主功率值提供给所述主功率供应器的第二主功率控制器;
耦合到所述主处理器以从所述主处理器接收状态识别信息的第一主自动频率控制单元,所述第一主自动频率控制单元被配置来在所述脉冲信号处于所述第一状态时将第一主频率输入提供给所述主功率供应器;以及
耦合到所述主处理器以从所述主处理器接收状态识别信息的第二主自动频率控制单元,所述第二主自动频率控制单元被配置来在所述脉冲信号处于所述第二状态时将第二主频率输入提供给所述主功率供应器;
从发生器,其包括:
耦合到所述电极用于将从射频信号提供给所述电极的从功率供应器;
耦合到所述数字脉冲源用于接收所述脉冲信号以识别所述脉冲信号是处于所述第一状态还是所述第二状态的从处理器;
耦合到所述从处理器以在所述脉冲信号处于所述第一状态时将第一从功率值提供给所述从功率供应器的第一从功率控制器;
耦合到所述从处理器以在所述脉冲信号处于所述第二状态时将第二从功率值提供给所述从功率供应器的第二从功率控制器;
耦合到所述从处理器以从所述从处理器接收状态识别信息的第一从自动频率控制单元,所述第一从自动频率控制单元被配置来在所述脉冲信号处于所述第一状态时将第一从频率输入提供给所述从功率供应器;以及
耦合到所述从处理器以从所述从处理器接收状态识别信息的第二从自动频率控制单元,所述第二从自动频率控制单元被配置来在所述脉冲信号处于所述第二状态时将第二从频率输入提供给所述从功率供应器,其中,在训练例程期间,所述第一从频率输入和所述第二从频率输入是预定的。
26.如权利要求25所述的用于基于状态的功率和频率调节的系统,其中所述主发生器包括选择器,所述选择器被配置来将接收自所述主处理器的所述第一主频率输入传递给所述主功率供应器或者将接收自所述主处理器的所述第二主频率输入传递给所述主功率供应器,
其中所述从发生器包括选择器,所述选择器被配置来将接收自所述从处理器的所述第一从频率输入传递给所述从功率供应器或者将接收自所述从处理器的所述第二从频率输入传递给所述从功率供应器。
27.一种用于基于状态的功率和频率调节的系统,其包括:
等离子体室,其包括具有用于支撑衬底的表面的下电极以及位于所述下电极上方的上电极,所述上电极被电气接地;
用于产生脉冲信号的数字脉冲源,其中所述脉冲信号在两个状态之间转变;
主发生器,其包括:
耦合到所述下电极用于将主射频信号提供给所述下电极的主功率供应器;
耦合到所述数字脉冲源用于接收所述脉冲信号的主处理器,所述主处理器用于识别所述脉冲信号的所述两个状态中的第一状态和所述两个状态中的第二状态;
耦合到所述主处理器以在所述脉冲信号处于所述第一状态时将第一主功率值提供给所述主功率供应器的第一主功率控制器;
耦合到所述主处理器以在所述脉冲信号处于所述第二状态时将第二主功率值提供给所述主功率供应器的第二主功率控制器;
耦合到所述主处理器以从所述主处理器接收状态识别信息的第一主自动频率控制单元,所述第一主自动频率控制单元被配置来在所述脉冲信号处于所述第一状态时提供所述主射频信号的第一主频率;以及
耦合到所述主处理器以从所述主处理器接收状态识别信息的第二主自动频率控制单元,所述第二主自动频率控制单元被配置来在所述脉冲信号处于所述第二状态时提供所述主射频信号的第二主频率;
从发生器,其包括:
耦合到所述下电极用于将从射频信号提供给所述下电极的从功率供应器;
耦合到所述数字脉冲源用于接收所述脉冲信号以识别所述脉冲信号是处于所述第一状态还是所述第二状态的从处理器;
耦合到所述从处理器以在所述脉冲信号处于所述第一状态时将第一从功率值提供给所述从功率供应器的第一从功率控制器;
耦合到所述从处理器以在所述脉冲信号处于所述第二状态时将第二从功率值提供给所述从功率供应器的第二从功率控制器;
耦合到所述从处理器以从所述从处理器接收状态识别信息的第一从自动频率控制单元,所述第一从自动频率控制单元被配置来在所述脉冲信号处于所述第一状态时提供所述从射频信号的第一从频率;以及
耦合到所述从处理器以从所述从处理器接收状态识别信息的第二从自动频率控制单元,所述第二从自动频率控制单元被配置来在所述脉冲信号处于所述第二状态时提供所述从射频信号的第二从频率,其中,在训练例程期间,所述第一从频率和所述第二从频率是预定的。
28.如权利要求27所述的用于基于状态的功率和频率调节的系统,其中所述第一主功率值等于、高于、或者低于所述第二主功率值。
CN201310057220.3A 2012-02-22 2013-02-22 基于状态的功率和频率调节 Active CN103298235B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201261602040P 2012-02-22 2012-02-22
US61/602,040 2012-02-22
US13/531,491 US9114666B2 (en) 2012-02-22 2012-06-22 Methods and apparatus for controlling plasma in a plasma processing system
US13/531,491 2012-06-22
US13/620,386 US9197196B2 (en) 2012-02-22 2012-09-14 State-based adjustment of power and frequency
US13/620,386 2012-09-14

Publications (2)

Publication Number Publication Date
CN103298235A CN103298235A (zh) 2013-09-11
CN103298235B true CN103298235B (zh) 2017-04-12

Family

ID=48981370

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310057220.3A Active CN103298235B (zh) 2012-02-22 2013-02-22 基于状态的功率和频率调节

Country Status (6)

Country Link
US (2) US9197196B2 (zh)
JP (1) JP6420528B2 (zh)
KR (2) KR102025950B1 (zh)
CN (1) CN103298235B (zh)
SG (1) SG193130A1 (zh)
TW (2) TWI640226B (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8952765B2 (en) 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
CN103730316B (zh) * 2012-10-16 2016-04-06 中微半导体设备(上海)有限公司 一种等离子处理方法及等离子处理装置
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
CN103476196B (zh) * 2013-09-23 2016-02-03 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体处理方法
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
CN104242298B (zh) * 2014-07-17 2016-09-14 浙江大学 一种全分布式结构的孤岛交流微电网的频率控制方法
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
KR102156893B1 (ko) * 2014-09-30 2020-09-17 세메스 주식회사 플라즈마 공정 챔버의 셀프 바이어스 전압 측정 장치 및 방법
EP3029711B1 (en) * 2014-12-03 2019-10-16 Comet AG Frequency tuning of a RF-generator within a plasma process
US9667303B2 (en) * 2015-01-28 2017-05-30 Lam Research Corporation Dual push between a host computer system and an RF generator
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
JP6541540B2 (ja) * 2015-10-06 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US10009028B2 (en) * 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
KR102452835B1 (ko) * 2016-12-05 2022-10-07 램 리써치 코포레이션 다중 제어 모드
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
PL3648550T3 (pl) * 2017-06-27 2021-11-22 Canon Anelva Corporation Urządzenie do przetwarzania plazmowego
EP3648552B1 (en) * 2017-06-27 2022-04-13 Canon Anelva Corporation Plasma treatment device
PL3648554T3 (pl) * 2017-06-27 2021-11-22 Canon Anelva Corporation Urządzenie do przetwarzania plazmowego
CN114666965A (zh) 2017-06-27 2022-06-24 佳能安内华股份有限公司 等离子体处理装置
US10002746B1 (en) * 2017-09-13 2018-06-19 Lam Research Corporation Multi regime plasma wafer processing to increase directionality of ions
US10224183B1 (en) 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
US10304660B1 (en) 2018-03-21 2019-05-28 Lam Research Corporation Multi-level pulsing of DC and RF signals
WO2020003557A1 (ja) 2018-06-26 2020-01-02 キヤノンアネルバ株式会社 プラズマ処理装置、プラズマ処理方法、プログラムおよびメモリ媒体
CN110648888B (zh) * 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
US10504744B1 (en) * 2018-07-19 2019-12-10 Lam Research Corporation Three or more states for achieving high aspect ratio dielectric etch
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム
JP2022122425A (ja) * 2021-02-10 2022-08-23 東京エレクトロン株式会社 プラズマ処理装置及び監視装置
US11706723B2 (en) 2021-06-09 2023-07-18 XP Power Limited Radio frequency generator with automatic level control
JP2023097863A (ja) 2021-12-28 2023-07-10 株式会社ダイヘン 高周波電源システム
CN116840734B (zh) * 2023-07-07 2024-04-05 西安航空学院 一种数字脉冲参数检测系统及方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0653501A1 (en) * 1993-11-11 1995-05-17 Nissin Electric Company, Limited Plasma-CVD method and apparatus
CN1816893A (zh) * 2003-05-06 2006-08-09 兰姆研究有限公司 窄隙电容耦合反应器的射频脉冲调制
CN1998069A (zh) * 2004-03-30 2007-07-11 朗姆研究公司 利用v-i探针识别的等离子体蚀刻终点检测方法
EP1973140A2 (en) * 2007-03-21 2008-09-24 Applied Materials, Inc. Plasma species and uniformity control through pulsed VHF operation

Family Cites Families (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4314879A (en) 1979-03-22 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Production of field-reversed mirror plasma with a coaxial plasma gun
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS6113625A (ja) * 1984-06-29 1986-01-21 Hitachi Ltd プラズマ処理装置
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5645796A (en) 1990-08-31 1997-07-08 Abtox, Inc. Process for plasma sterilizing with pulsed antimicrobial agent treatment
US5084239A (en) 1990-08-31 1992-01-28 Abtox, Inc. Plasma sterilizing process with pulsed antimicrobial agent treatment
US5244629A (en) 1990-08-31 1993-09-14 Caputo Ross A Plasma sterilizing process with pulsed antimicrobial agent pretreatment
US5254237A (en) * 1991-03-01 1993-10-19 Snaper Alvin A Plasma arc apparatus for producing diamond semiconductor devices
DE9109503U1 (zh) 1991-07-31 1991-10-17 Magtron Magneto Elektronische Geraete Gmbh, 7583 Ottersweier, De
US5202623A (en) 1992-02-26 1993-04-13 Digital Equipment Corporation Laser-activated plasma chamber for non-contact testing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
JP3424182B2 (ja) * 1994-09-13 2003-07-07 アネルバ株式会社 表面処理装置
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5917286A (en) 1996-05-08 1999-06-29 Advanced Energy Industries, Inc. Pulsed direct current power supply configurations for generating plasmas
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
WO1999063585A1 (fr) 1998-06-02 1999-12-09 Nikon Corporation Organe d'alignement de balayage, son procede de fabrication et procede de fabrication de dispositif
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP3533105B2 (ja) 1999-04-07 2004-05-31 Necエレクトロニクス株式会社 半導体装置の製造方法と製造装置
JP2000306884A (ja) 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US6441620B1 (en) 2000-06-20 2002-08-27 John Scanlan Method for fault identification in a plasma process
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
JP3670206B2 (ja) 2000-11-06 2005-07-13 アルプス電気株式会社 プラズマ処理装置又はプラズマ処理システムの性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
JP3670209B2 (ja) 2000-11-14 2005-07-13 アルプス電気株式会社 プラズマ処理装置の性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6726804B2 (en) 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
JP4270872B2 (ja) 2001-03-16 2009-06-03 東京エレクトロン株式会社 インピーダンスをモニターするシステム並びに方法
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US7678705B2 (en) * 2001-07-05 2010-03-16 Tegal Corporation Plasma semiconductor processing system and method
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
CN1305353C (zh) 2001-12-10 2007-03-14 东京毅力科创株式会社 高频电源及其控制方法、和等离子体处理装置
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US6826489B2 (en) 2002-02-14 2004-11-30 Scientific Systems Research Limited Fault classification in a plasma process chamber
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
WO2003102724A2 (en) 2002-05-29 2003-12-11 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040000385A1 (en) 2002-06-26 2004-01-01 Ratte Robert W. Fishing sinker
WO2004003822A1 (en) 2002-06-28 2004-01-08 Tokyo Electron Limited Controlling a material processing tool and performance data
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
TWI259546B (en) 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
WO2004003968A2 (en) 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
JP4141803B2 (ja) * 2002-11-05 2008-08-27 シャープ株式会社 プラズマ処理装置
TW200420201A (en) 2002-12-16 2004-10-01 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
JP4388287B2 (ja) 2003-02-12 2009-12-24 東京エレクトロン株式会社 プラズマ処理装置及び高周波電力供給装置
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
WO2004105101A2 (en) 2003-05-16 2004-12-02 Tokyo Electron Limited A process system health index and method of using the same
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7867457B2 (en) 2003-06-20 2011-01-11 Drexel University Plasma reactor for the production of hydrogen-rich gas
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7015414B2 (en) 2003-09-30 2006-03-21 Tokyo Electron Limited Method and apparatus for determining plasma impedance
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
US7430496B2 (en) 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
EP1803142A1 (en) 2004-09-24 2007-07-04 Zond, Inc. Apparatus for generating high-current electrical discharges
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US9607719B2 (en) 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
ATE441203T1 (de) * 2005-06-10 2009-09-15 Bird Technologies Group Inc System und verfahren zur analyse des stromflusses in halbleiter-plasmaerzeugungssystemen
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
EP1783904B1 (de) * 2005-10-17 2008-04-16 HÜTTINGER Elektronik GmbH + Co. KG HF-Plasmaversorgungseinrichtung
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
TWI425767B (zh) 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8920600B2 (en) 2006-08-22 2014-12-30 Mattson Technology, Inc. Inductive plasma source with high coupling efficiency
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US20080119055A1 (en) * 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US20080178803A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with ion distribution uniformity controller employing plural vhf sources
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US7771606B2 (en) 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
US7737042B2 (en) 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US8073646B2 (en) 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
CN101295345B (zh) 2007-04-29 2010-06-16 晨星半导体股份有限公司 射频识别读取装置
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US7589473B2 (en) 2007-08-06 2009-09-15 Plasma Surgical Investments, Ltd. Pulsed plasma device and method for generating pulsed plasma
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US7965805B2 (en) * 2007-09-21 2011-06-21 Qualcomm Incorporated Signal generator with signal tracking
JP5026916B2 (ja) 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
DK2599506T3 (en) 2007-11-06 2018-10-08 Creo Medical Ltd Microwave Plasma Masterization Applicator
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8324525B2 (en) 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
KR101510775B1 (ko) * 2008-11-24 2015-04-10 삼성전자주식회사 동기식 펄스 플라즈마 에칭 장비
JP5141519B2 (ja) 2008-12-02 2013-02-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の運転方法
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US9305750B2 (en) 2009-06-12 2016-04-05 Lam Research Corporation Adjusting current ratios in inductively coupled plasma processing systems
KR101315950B1 (ko) * 2009-06-24 2013-10-08 엘지전자 주식회사 플라즈마 증착 장치 및 이 장치를 이용한 박막 제조 방법
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8901004B2 (en) 2009-07-27 2014-12-02 Lam Research Corporation Plasma etch method to reduce micro-loading
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP5642181B2 (ja) 2009-08-21 2014-12-17 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 基体を処理する装置及び基体の処理方法
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
JP2013511814A (ja) 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP5458942B2 (ja) * 2010-02-19 2014-04-02 三菱電機株式会社 デジタル制御電源装置
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
JP2012033409A (ja) 2010-07-30 2012-02-16 Origin Electric Co Ltd 直流プラズマ用逆極性パルス発生回路及び直流プラズマ電源装置
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US9907908B2 (en) 2011-03-08 2018-03-06 Baxter International Inc. Non-invasive radio frequency medical fluid level and volume detection system and method
CA2742060C (en) 2011-05-31 2013-09-10 Vln Advanced Technologies Inc. Reverse-flow nozzle for generating cavitating or pulsed jets
US8692467B2 (en) 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
US8872429B2 (en) 2011-07-28 2014-10-28 Kirk Rosener Pulsed plasma generator
US8974684B2 (en) 2011-10-28 2015-03-10 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9514959B2 (en) 2012-10-30 2016-12-06 American Air Liquide, Inc. Fluorocarbon molecules for high aspect ratio oxide etch
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0653501A1 (en) * 1993-11-11 1995-05-17 Nissin Electric Company, Limited Plasma-CVD method and apparatus
CN1816893A (zh) * 2003-05-06 2006-08-09 兰姆研究有限公司 窄隙电容耦合反应器的射频脉冲调制
CN1998069A (zh) * 2004-03-30 2007-07-11 朗姆研究公司 利用v-i探针识别的等离子体蚀刻终点检测方法
EP1973140A2 (en) * 2007-03-21 2008-09-24 Applied Materials, Inc. Plasma species and uniformity control through pulsed VHF operation

Also Published As

Publication number Publication date
JP6420528B2 (ja) 2018-11-07
US20160044775A1 (en) 2016-02-11
TWI640226B (zh) 2018-11-01
KR102130921B1 (ko) 2020-08-05
CN103298235A (zh) 2013-09-11
US10231321B2 (en) 2019-03-12
JP2013191554A (ja) 2013-09-26
SG193130A1 (en) 2013-09-30
KR102025950B1 (ko) 2019-09-26
TW201410080A (zh) 2014-03-01
TW201737763A (zh) 2017-10-16
KR20130096678A (ko) 2013-08-30
US20130213573A1 (en) 2013-08-22
US9197196B2 (en) 2015-11-24
TWI640225B (zh) 2018-11-01
KR20190112254A (ko) 2019-10-04

Similar Documents

Publication Publication Date Title
CN103298235B (zh) 基于状态的功率和频率调节
CN103295866B (zh) 功率和频率的基于阻抗的调节
US20220277934A1 (en) Adjustment of power and frequency based on three or more states
CN103681194B (zh) 边沿缓变
CN106935473B (zh) 基于三个或更多个状态的功率和频率的调节
CN103871810A (zh) 确定rf 传输线上的变量的值的方法和系统

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant