JP2013191554A - 状態に基づいた電力および周波数の調整 - Google Patents

状態に基づいた電力および周波数の調整 Download PDF

Info

Publication number
JP2013191554A
JP2013191554A JP2013031826A JP2013031826A JP2013191554A JP 2013191554 A JP2013191554 A JP 2013191554A JP 2013031826 A JP2013031826 A JP 2013031826A JP 2013031826 A JP2013031826 A JP 2013031826A JP 2013191554 A JP2013191554 A JP 2013191554A
Authority
JP
Japan
Prior art keywords
state
power
signal
pulse signal
processor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013031826A
Other languages
English (en)
Other versions
JP6420528B2 (ja
JP2013191554A5 (ja
Inventor
C Valcore John Jr
ジョン・シー.・バルコア・ジュニア
J Lyndaker Bradford
ブラッドフォード・ジェイ.・リンデーカー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/531,491 external-priority patent/US9114666B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2013191554A publication Critical patent/JP2013191554A/ja
Publication of JP2013191554A5 publication Critical patent/JP2013191554A5/ja
Application granted granted Critical
Publication of JP6420528B2 publication Critical patent/JP6420528B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02JCIRCUIT ARRANGEMENTS OR SYSTEMS FOR SUPPLYING OR DISTRIBUTING ELECTRIC POWER; SYSTEMS FOR STORING ELECTRIC ENERGY
    • H02J3/00Circuit arrangements for ac mains or ac distribution networks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03JTUNING RESONANT CIRCUITS; SELECTING RESONANT CIRCUITS
    • H03J7/00Automatic frequency control; Automatic scanning over a band of frequencies
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)

Abstract

【課題】プラズマインピーダンスの変化に速く応答する
システムの提供。
【解決手段】システムの第1発生器276は、第1高周波(RF)信号を電極106に供給するための第1電源を備える。第1発生器276は、さらに、パルス信号が第1の状態にある時に第1の周波数入力を第1電源に提供するための自動周波数制御部(AFC)を備える。システムの第2発生器274は、第2RF信号を電極106に供給するための第2電源を備える。第2発生器274は、さらに、パルス信号が第1の状態にある時に第2の周波数入力を第2電源に提供するためのAFCを備える。第2発生器274は、パルス信号が第2の状態にある時に第3の周波数入力を第2電源に提供するためのAFCを備える。システムは、パルス信号を生成するためのデジタルパルス源を備える。
【選択図】図1

Description

本実施形態は、プラズマインピーダンスの変化に対する応答時間の改善に関し、特に、状態に基づいた電力および周波数の調整のための装置、方法、および、コンピュータプログラムに関する。
一部のプラズマ処理システムでは、複数の高周波(RF)信号が、プラズマチャンバ内の1または複数の電極に供給される。RF信号は、プラズマチャンバ内でプラズマを生成する助けとなる。プラズマは、様々な動作、例えば、下側電極上に設置された基板の洗浄、基板のエッチングなどに用いられる。
RF信号を生成するRF電源とプラズマチャンバとの間には、通常、インピーダンス整合回路が配置される。インピーダンス整合回路は、負荷(例えば、プラズマチャンバ内のプラズマ)のインピーダンスを供給源(例えば、RF電源)のインピーダンスと整合させる。しかしながら、特定の状況では、インピーダンス整合は、プラズマインピーダンスの変化に応答するのに十分なほど速くない。
本開示の実施形態は、このような課題に対処するものである。
本開示の実施形態は、状態に基づいて電力および周波数を調整するための装置、方法、および、コンピュータプログラムを提供する。本実施形態は、処理、装置、システム、デバイス、または、方法など、種々の形態で実施できることを理解されたい。以下に、いくつかの実施形態を記載する。
一実施形態では、デジタルパルス信号の状態の変化に伴って、複数のRF源によって生成されるRF信号の電力および/または周波数が変更される。例えば、デジタルパルス信号の状態がS1にある時、第1のRF源が、第1の電力値および第1の周波数を有する電力信号を生成し、第2のRF源が、第2の電力値および第2の周波数を有する電力信号を生成する。状態S1の受信が、第1の電力値および第1の周波数を有する電力信号の生成と、第2の電力値および第2の周波数を有する電力信号の生成とをトリガする。この例において、デジタルパルス信号の状態がS0の時、第1のRF源は、第3の電力値および第3の周波数を有する電力信号を生成する。第3の周波数は、第1の周波数と同じであっても同じでなくてもよい。この例において、デジタルパルス信号の状態がS0の時、第2のRF源は、第4の電力値および第4の周波数を有する電力信号を生成する。第4の周波数は、第2の周波数と同じであっても同じでなくてもよい。状態S0の受信が、第3の電力値および第3の周波数を有する電力信号の生成と、第4の電力値および第4の周波数を有する電力信号の生成とをトリガする。トリガの結果、プラズマチャンバ内のプラズマインピーダンスの変化に応答するための応答時間が短くなる。一実施形態では、第2および第4の電力値は同じである。
一実施形態では、システムが、電極に接続された第1発生器を備える。第1発生器は、第1高周波(RF)信号を電極に供給するための第1電源を備える。第1発生器は、さらに、パルス信号が第1の状態にある時に第1の周波数入力を第1電源に提供するための自動周波数制御部(AFC)を備える。システムは、さらに、電極に接続された第2発生器を備える。第2発生器は、第2RF信号を電極に供給するための第2電源を備える。第2発生器は、さらに、パルス信号が第1の状態にある時に第2の周波数入力を第2電源に提供するためのAFCを備える。第2発生器は、パルス信号が第2の状態にある時に第3の周波数入力を第2電源に提供するためのAFCを備える。システムは、パルス信号を生成するためのデジタルパルス源を備える。
一実施形態では、システムが、基板を支持するための表面を有する下側電極をさらに備えたプラズマチャンバを備える。プラズマチャンバは、下側電極の上方に配置された上側電極を備える。上側電極は、電気的に接地されている。システムは、下側電極に接続された第1発生器を備える。第1発生器は、第1高周波(RF)信号を下側電極に供給するための第1電源を備える。システムは、さらに、下側電極に接続された第2発生器を備える。第2発生器は、第2RF信号を下側電極に供給するための第2電源を備える。システムは、パルス信号を生成するためのデジタルパルス源を備える。デジタルパルス源は、第1発生器および第2発生器に接続される。第1発生器は、パルス信号が第1の状態にある時に第1の周波数入力を第1電源に提供するための第1の自動周波数制御部(AFC)を備える。第2発生器は、パルス信号が第1の状態にある時に第2の周波数入力を第2電源に提供するための第2のAFCを備える。さらに、第2発生器は、パルス信号が第2の状態にある時に第3の周波数入力を第2電源に提供するための第3のAFCを備える。
一実施形態では、システムが、パルス信号を生成するためのデジタルパルス源を備える。システムは、さらに、第1発生器を備える。第1発生器は、第1高周波(RF)信号を電極に供給するために電極に接続された第1電源を備える。第1発生器は、パルス信号を受信するためにパルス源に接続された第1プロセッサを備える。第1プロセッサは、パルス信号の2つの状態の内の第1の状態および第2の状態を識別するために用いられる。第1発生器は、さらに、パルス信号が第1の状態にあるか第2の状態にあるかに基づいて第1電力値を第1電源に提供するか否かを決定するために第1プロセッサに接続された電力コントローラを備える。第1発生器は、第1プロセッサから状態の識別を受信するために第1プロセッサに接続された自動周波数制御部(AFC)を備える。AFCは、パルス信号が第1の状態にある時に第1RF信号の周波数を提供するよう構成される。
一実施形態において、システムは、さらに、第2発生器を備える。第2発生器は、第2RF信号を電極に供給するために電極に接続された第2電源を備える。第2発生器は、パルス信号を受信してパルス信号が第1の状態にあるか第2の状態にあるかを識別するためにパルス源に接続された第2プロセッサを備える。第2発生器は、さらに、パルス信号が第1の状態にある時に第1の第2電力値を第2電源に提供するために第2プロセッサに接続された第1の電力コントローラを備える。第2発生器は、パルス信号が第2の状態にある時に第2の第2電力値を第2電源に提供するために第2プロセッサに接続された第2の電力コントローラを備える。第2発生器は、さらに、第2プロセッサから状態の識別を受信するために第2プロセッサに接続された第1のAFCを備える。第1のAFCは、パルス信号が第1の状態にある時に第2RF信号の第1の周波数入力を提供するよう構成される。第2発生器は、第2プロセッサから状態の識別を受信するために第2プロセッサに接続された第2のAFCを備える。第2のAFCは、パルス信号が第2の状態にある時に第2RF信号の第2の周波数入力を提供するよう構成される。
一実施形態では、システムが、基板を支持するための表面を有する下側電極をさらに備えたプラズマチャンバを備える。プラズマチャンバは、下側電極の上方に配置された上側電極を備える。上側電極は、電気的に接地されている。システムは、2つの状態の間で移行するパルス信号を生成するためのデジタルパルス源を備える。システムは、さらに、第1発生器を備える。第1発生器は、第1高周波(RF)信号を下側電極に供給するために下側電極に接続された第1電源を備える。第1発生器は、さらに、パルス信号を受信するためにパルス源に接続された第1プロセッサを備える。第1プロセッサは、パルス信号の2つの状態の内の第1の状態および第2の状態を識別するために用いられる。第1発生器は、さらに、パルス信号が第1の状態にあるか第2の状態にあるかに基づいて第1電力値を第1電源に提供するか否かを決定するために第1プロセッサに接続された電力コントローラを備える。第1発生器は、第1プロセッサから状態の識別を受信するために第1プロセッサに接続された自動周波数制御部(AFC)を備える。AFCは、パルス信号が第1の状態にある時に第1RF信号の周波数を提供するよう構成される。
この実施形態において、システムは、さらに、第2RF信号を下側電極に供給するために下側電極に接続された第2電源を備えた第2発生器を備える。第2発生器は、パルス信号を受信してパルス信号が第1の状態にあるか第2の状態にあるかを識別するためにパルス源に接続された第2プロセッサを備える。第2発生器は、さらに、パルス信号が第1の状態にある時に第1の第2電力値を第2電源に提供するために第2プロセッサに接続された第1の電力コントローラを備える。第2発生器は、さらに、パルス信号が第2の状態にある時に第2の第2電力値を第2電源に提供するために第2プロセッサに接続された第2の電力コントローラを備える。第2発生器は、第2プロセッサから状態の識別を受信するために第2プロセッサに接続された第1のAFCを備える。第1のAFCは、パルス信号が第1の状態にある時に第2RF信号の周波数を提供するよう構成される。第2発生器は、第2プロセッサから状態の識別を受信するために第2プロセッサに接続された第2のAFCを備える。第2のAFCは、パルス信号が第2の状態にある時に第2RF信号の周波数を提供するよう構成される。
一実施形態では、システムが、パルス信号を生成するためのデジタルパルス源を備える。システムは、第1発生器を備える。第1発生器は、さらに、第1高周波(RF)信号を電極に供給するために電極に接続された第1電源を備える。第1発生器は、パルス信号を受信するためにパルス源に接続された第1プロセッサを備える。第1プロセッサは、パルス信号の2つの状態の内の第1の状態および第2の状態を識別するために用いられる。第1発生器は、パルス信号が第1の状態にある時に第1の第1電力値を第1電源に提供するために第1プロセッサに接続された第1の第1電力コントローラを備える。第1発生器は、さらに、パルス信号が第2の状態にある時に第2の第1電力値を第1電源に提供するために第1プロセッサに接続された第2の第1電力コントローラを備える。第1発生器は、第1プロセッサから状態の識別を受信するために第1プロセッサに接続された第1の第1自動周波数制御部(AFC)を備える。第1のAFCは、パルス信号が第1の状態にある時に第1RF信号の第1の第1周波数入力を提供するよう構成される。第1発生器は、第1プロセッサから状態の識別を受信するために第1プロセッサに接続された第2の第1AFCを備える。第2の第1AFCは、パルス信号が第2の状態にある時に第1RF信号の第2の第1周波数入力を提供するよう構成される。
この実施形態において、システムは、第2発生器を備える。第2発生器は、第2RF信号を電極に供給するために電極に接続された第2電源を備える。第2発生器は、さらに、パルス信号を受信してパルス信号が第1の状態にあるか第2の状態にあるかを識別するためにパルス源に接続された第2プロセッサを備える。第2発生器は、パルス信号が第1の状態にある時に第1の第2電力値を第2電源に提供するために第2プロセッサに接続された第1の第2電力コントローラを備える。第2発生器は、パルス信号が第2の状態にある時に第2の第2電力値を第2電源に提供するために第2プロセッサに接続された第2の第2電力コントローラを備える。第2発生器は、第2プロセッサから状態の識別を受信するために第2プロセッサに接続された第1の第2AFCを備える。第1の第2AFCは、パルス信号が第1の状態にある時に第2RF信号の第1の第2周波数入力を提供するよう構成される。第2発生器は、第2プロセッサから状態の識別を受信するために第2プロセッサに接続された第2の第2AFCを備える。第2の第2AFCは、パルス信号が第2の状態にある時に第2RF信号の第2の第2周波数入力を提供するよう構成される。
一実施形態では、システムが、基板を支持するための表面を有する下側電極を備えたプラズマチャンバを備える。プラズマチャンバは、下側電極の上方に配置された上側電極を備える。上側電極は、電気的に接地されている。システムは、パルス信号を生成するためのデジタルパルス源を備える。パルス信号は、2つの状態の間を移行する。システムは、第1発生器を備える。第1発生器は、第1高周波(RF)信号を下側電極に供給するために下側電極に接続された第1電源を備える。第1発生器は、さらに、パルス信号を受信するためにパルス源に接続された第1プロセッサを備える。第1プロセッサは、パルス信号の2つの状態の内の第1の状態および第2の状態を識別するために用いられる。第1発生器は、パルス信号が第1の状態にある時に第1の第1電力値を第1電源に提供するために第1プロセッサに接続された第1の第1電力コントローラを備える。第1発生器は、さらに、パルス信号が第2の状態にある時に第2の第1電力値を第1電源に提供するために第1プロセッサに接続された第2の第1電力コントローラを備える。第1発生器は、さらに、第1プロセッサから状態の識別を受信するために第1プロセッサに接続された第1の第1自動周波数制御部(AFC)を備える。第1のAFCは、パルス信号が第1の状態にある時に第1RF信号の周波数を提供するよう構成される。第1発生器は、第1プロセッサから状態の識別を受信するために第1プロセッサに接続された第2の第1AFCを備える。第2の第1AFCは、パルス信号が第2の状態にある時に第1RF信号の周波数を提供するよう構成される。
この実施形態において、システムは、第2RF信号を下側電極に供給するために下側電極に接続された第2電源をさらに備えた第2発生器を備える。第2発生器は、パルス信号を受信してパルス信号が第1の状態にあるか第2の状態にあるかを識別するためにパルス源に接続された第2プロセッサを備える。第2発生器は、さらに、パルス信号が第1の状態にある時に第1の第2電力値を第2電源に提供するために第2プロセッサに接続された第1の第2電力コントローラを備える。第2発生器は、パルス信号が第2の状態にある時に第2の第2電力値を第2電源に提供するために第2プロセッサに接続された第2の第2電力コントローラを備える。第2発生器は、さらに、第2プロセッサから状態の識別を受信するために第2プロセッサに接続された第1の第2AFCを備える。第1の第2AFCは、パルス信号が第1の状態にある時に第2RF信号の周波数を提供するよう構成される。第2発生器は、第2プロセッサから状態の識別を受信するために第2プロセッサに接続され、パルス信号が第2の状態にある時に第2RF信号の周波数を提供するよう構成された第2の第2AFCを備える。
一実施形態では、方法が、デジタルパルス信号を受信する工程を備える。デジタルパルス信号は、2つの状態を有する。方法は、さらに、デジタルパルス信号が2つの状態の内の第1の状態にある時に第1の周波数入力を第1RF電源に印加することから、デジタルパルス信号が2つの状態の内の第2の状態にある時に第2の周波数入力を第1RF電源に印加することに切り換える工程を備える。方法は、デジタルパルス信号が第1の状態にある時に第3の周波数入力を第2RF電源に印加することを決定する工程を備える。
上述の実施形態のいくつかの利点は、プラズマチャンバ内のプラズマインピーダンスの変化に応答するための応答時間を削減することを含む。例えば、複数のRF電源によって供給される周波数および/または電力を制御するために、状態信号(例えば、トランジスタ−トランジスタロジック(TTL)信号など)を用いる場合、RF電源の内の第1の電源は、RF電源の内の第2の電源の電力および/または周波数の変化に応答するための時間を必要としない。通常、第1のRF電源に入力される周波数および/または電力が変更されると、プラズマインピーダンスが変化し、第1のRF電源は、インピーダンスの変化に応答する。この応答には時間が掛かり、それによって、プラズマチャンバ内で行われる処理(例えば、エッチング、蒸着、洗浄など)に悪影響が生じる。RF電源が、所定の周波数および/または所定の電力を有する状態信号の状態の変化に応答する際に、プラズマインピーダンスの変化に応答する時間が削減される。この時間の削減の結果、処理に悪影響を与える時間が短くなる。
添付の図面を参照して行う以下の詳細な説明から、別の態様が明らかになる。
実施形態は、添付の図面に関連して行う以下の説明を参照することによって最も良好に理解できる。
本開示に記載された一実施形態に従って、プラズマインピーダンスの変化に応じて電力コントローラおよび/または周波数チューナを調整する時間を削減するためのシステムを示すブロック図。
本開示に記載された一実施形態に従って、トランジスタ−トランジスタロジック(TTL)信号の状態の変化に伴う高周波(RF)電力信号の状態の変化を示すと共に、ガンマの変化に基づいた電力信号の周波数および/または電力値の調整を示す表の一実施形態を示す図。
本開示に記載された一実施形態に従って、プラズマインピーダンスの変化に応じて電力コントローラおよび/または周波数チューナ(電力コントローラおよび/または周波数チューナは非ゼロ値を提供しない)を調整する時間を削減するためのシステムを示す図。
本開示に記載された一実施形態に従って、一方が一定値または様々な値を有する2つのRF信号を示すグラフ。
本開示に記載された一実施形態に従って、両方が様々な値を有する2つのRF信号を示すグラフ。
本開示に記載された一実施形態に従って、1つの信号が一定値を有すると共に別の信号が一定値または様々な値を有する3つのRF信号を示すグラフ。
本開示に記載された一実施形態に従って、1つの信号が一定値を有すると共にそれ以外の2つの信号が様々な値を有する3つのRF信号を示すグラフ。
本開示に記載された一実施形態に従って、1つの信号が一定値または様々な値を有すると共にそれ以外の2つの信号が様々な値を有する3つのRF信号を示すグラフ。
本開示に記載された一実施形態に従って、いずれも様々な値を有する3つのRF信号を示すグラフ。
本開示に記載された一実施形態に従って、1つの信号が一定値または様々な値を有すると共にそれ以外の信号が様々な値を有する3つのRF信号を示すグラフ。
本開示に記載された一実施形態に従って、いずれも様々な値を有する3つのRF信号を示すグラフ。
本開示に記載された一実施形態に従って、TTL信号の状態に基づいて自動周波数チューナ(AFT)の間で選択を行うためのシステムを示すブロック図。
本開示に記載された一実施形態に従って、第1の組の電力値および第1の組の周波数を有するRF信号を生成するか、第2の組の電力値および第2の組の周波数を有するRF信号を生成するかを決定するための方法を示すフローチャート。
以下の実施形態では、状態に基づいた電力および周波数の調整のためのシステムおよび方法を記載する。本実施形態は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能であることが明らかである。また、本実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。
図1は、プラズマインピーダンスの変化に従って電力コントローラおよび/または周波数チューナを調整する時間を削減するためのシステム180の一実施形態を示すブロック図である。2メガヘルツ(MHz)高周波(RF)電源が、インピーダンス整合回路182を介してプラズマチャンバ102の下側電極104にRF電力を供給する。同様に、60MHz電源が、インピーダンス整合回路186を介して下側電極104にRF電力を供給する。一実施形態では、RF電力を下側電極に供給するために、60MHz電源の代わりに、27MHz電源が用いられることに注意されたい。さらに、2MHz、27MHz、および、60MHzという値は、例として提供されており、限定を意図したものではないことに注意されたい。例えば、2MHz電源の代わりに2.5MHz電源が用いられてもよいし、60MHz電源の代わりに65MHz電源が用いられてもよい。別の実施形態では、2MHz電源および60MHz電源に加えて、RF電力を下側電極104に供給するために27MHz電源が用いられる。
インピーダンス整合回路は、電子回路素子、例えば、インダクタ、コンデンサなどを備えており、インピーダンス整合回路に接続された電源のインピーダンスを、インピーダンス整合回路に接続された負荷のインピーダンスと整合させる。例えば、インピーダンス整合回路182は、2MHz電源のインピーダンスを、プラズマチャンバ102内に生成されたプラズマのインピーダンスと整合させる。別の例として、インピーダンス整合回路186は、60MHz電源のインピーダンスを、プラズマチャンバ102内に生成されたプラズマのインピーダンスと整合させる。さらに別の例として、インピーダンス整合回路182は、2MHz電源のインピーダンスを、プラズマチャンバ102の一部(例えば、プラズマおよび下側電極104)のインピーダンスと整合させる。一実施形態において、インピーダンス整合回路は、インピーダンス整合回路に接続されたRF電源のインピーダンスと第1の負荷のインピーダンスとの間の整合を実現するように調整される。電源および負荷の間のインピーダンス整合がなされると、負荷から電源に電力が反射される可能性が低減される。
プラズマチャンバ102は、下側電極104と、上側電極110と、その他の構成要素(図示せず)、例えば、上側電極110を取り囲む上側誘電体リング、上側誘電体リングを取り囲む下側電極延長部、下側電極を取り囲む下側誘電体リング、下側誘電体リングを取り囲む下側電極延長部、上側プラズマ排除区域(PEZ)リング、下側PEZリングなど、とを備える。上側電極110は、下側電極104に対向するように配置される。基板108(例えば、半導体ウエハ)が、下側電極104の上面106上に支持される。集積回路(例えば、特定用途向け集積回路(ASIC)、プログラム可能論理回路(PLD)など)が、基板108から製造され、それらの集積回路は、様々なデバイス、例えば、携帯電話、タブレット、スマートフォン、コンピュータ、ラップトップ、ネットワーク装置などで利用される。下側電極104は、金属(例えば、陽極酸化アルミニウム、アルミニウム合金など)で形成される。また、上側電極110も、金属(例えば、アルミニウム、アルミニウム合金など)で形成される。
一実施形態において、上側電極110は、中央ガス供給部(図示せず)につながる穴を備える。中央ガス供給部は、ガス供給源(図示せず)から1または複数の処理ガスを受け入れる。処理ガスの例としては、酸素含有ガス(O2など)が挙げられる。処理ガスのほかの例は、フッ素含有ガス、例えば、テトラフルオメタン(CF4)、六フッ化硫黄(SF6)、ヘキサフルオロエタン(C26)などを含む。上側電極110は、接地されている。下側電極104は、インピーダンス整合回路182を介して2MHzRF電源に接続されると共に、インピーダンス整合回路186を介して60MHzRF電源に接続されている。
処理ガスが、上側電極110および下側電極104の間に供給され、電源(例えば、2MHz電源および/または60MHz電源)が、対応するインピーダンス整合回路を介して下側電極104に電力を供給すると、処理ガスは、点火されてプラズマチャンバ102内でプラズマを生成する。例えば、2MHz電源は、インピーダンス整合回路182を介して電力を供給し、処理ガスに点火してプラズマを生成する。
コンピュータ(図示せず)上のツールユーザインターフェース(UI)190が、デジタルパルス信号であるトランジスタ−トランジスタロジック(TTL)信号112を生成するために用いられる。一実施形態において、コンピュータは、TTL回路を備える。本明細書で用いられているように、コンピュータの代わりに、プロセッサ、コントローラ、ASIC、または、PLDという用語も利用され、これらの用語は本明細書では交換可能に用いられる。TTL信号112は、状態S1およびS0を含む。TTL信号112は、50%のデューティサイクルを有する。一実施形態において、TTL信号112は、5%から95%の範囲のデューティサイクルを有する。状態S1の一例は、オン状態、値「1」を有する状態、または、ハイ状態を含む。状態S0の一例は、オフ状態、値「0」を有する状態、または、ロー状態を含む。高値は、低値よりも大きい。
別の実施形態では、コンピュータの代わりにクロック発振器(例えば、水晶振動子)を用いてアナログクロック信号を生成し、その信号をアナログデジタル変換器によってTTL信号112と同様のデジタル信号に変換する。例えば、水晶振動子は、水晶振動子の近くまたは上にある電極に電圧を印加することによって、電界内で振動するように形成されている。
TTL信号112は、デジタル信号プロセッサ(DSP)140およびその他のDSP150に送信される。各DSP140および150は、TTL信号112を受信し、TTL信号112の状態S0およびS1を識別する。例えば、DSP140は、状態S0とS1とを区別する。別の例として、DSP140は、TTL信号112が第1の組の期間中に第1の大きさを有すると共に、第2の組の期間中に第2の大きさを有することを決定する。DSP140は、TTL信号112が第1の組の期間中に状態S1を有すると共に、第2の組の期間中に状態S0を有することを決定する。さらに別の例として、DSP140は、TTL信号112の大きさを予め格納された値と比較して、TTL信号112の大きさが第1の組の期間中に予め格納された値よりも大きいと共に、TTL信号112の状態S0中の大きさが第2の組の期間中に予め格納された値以下であることを決定する。クロック発振器が用いられる実施形態において、各DSP140および150は、クロック発振器からアナログクロック信号を受信し、アナログ信号をデジタル形式に変換し、次いで、2つの状態S0およびS1を識別する。
各DSP140および150は、状態S0およびS1をDSP内の1または複数のメモリデバイスの記憶場所に格納する。メンバデバイスの例としては、ランダムアクセスメモリ(RAM)および読み出し専用メモリ(ROM)が挙げられる。メモリデバイスは、フラッシュメモリ、ハードディスク、ストレージデバイス、コンピュータ読み取り可能な媒体などであってもよい。
各DSP140および150は、対応する記憶場所から対応する自動周波数チューナ(AFT)114、118、および、120、ならびに、対応する電力コントローラ142、152、および、154に、識別した状態S0およびS1を提供する。例えば、DSP140は、TTL信号112がデューティサイクルの時刻t1およびt2の間に状態S1にあることを、AFT114および電力コントローラ142に示す。別の例として、DSP150は、TTL信号112がデューティサイクルの時刻t1およびt2の間に状態S1にあることを、AFT118および電力コントローラ152に示す。さらに別の例として、DSP150は、TTL信号112がデューティサイクルの時刻t2およびt3の間に状態S0にあることを、AFT120および電力コントローラ154に示す。チューナおよびコントローラ(制御部)という用語は、本明細書では交換可能に用いられる。AFTの一例が、米国特許第6,020,794号に提供されており、その特許は、参照によって本明細書にその全体が組み込まれる。
各AFT114、118、および、120は、TTL信号112の状態に基づいて周波数値を決定し、各電力コントローラ142、152、および、154は、TTL信号112の状態に基づいて電力値を決定する。例えば、AFT114は、TTL信号112の状態がS1である時に周波数値F11が2MHz電源に提供されるように決定し、電力コントローラ142は、TTL信号112の状態がS1である時に電力値P11が2MHz電源に提供されるように決定する。別の例として、AFT118は、TTL信号112の状態がS1である時に周波数値F21が60MHz電源に提供され、TTL信号112の状態がS0である時に周波数値F20が60MHz電源に提供されるように決定する。さらに別の例として、電力コントローラ150は、TTL信号112の状態がS0である時に電力値P20が60MHz電源に提供され、TTL信号112の状態がS1である時に電力値P21が60MHz電源に提供されるように決定する。
一実施形態において、周波数値F21および電力値P21は、トレーニングルーチンに基づいて生成される。トレーニングルーチン中、2MHz電源がそのRF電力信号を低電力値から高電力値に変化させる時、プラズマチャンバ102内の1または複数の部分と60MHz電源との間のインピーダンス不整合がある。高電力値は、低電力値よりも高い。2MHz電源は、2MHzRF電源に提供されるTTL信号112またはクロック信号の状態がS0からS1に変化すると、そのRF電力信号を変化させる。この場合、60MHz電源は、2MHz電源が高い電力値で電力供給を始めた時に、周波数および電力を調整される。インピーダンス不整合を低減するために、60MHz電源は、周波数値および電力値の調整(例えば、収束)を開始する。収束は、標準偏差または別の技術に基づいてDSP150によって決定されてよい。60MHz電源がより多くの時間を周波数値および電力値の収束に費やすことを可能にするために、2MHz電源は、通常の期間よりも長い期間にわたって高い電力値に維持される。通常の期間は、インピーダンス不整合が低減(例えば、除去)されない期間である。通常の期間の一例は、TTL信号112の周期の半分に等しい。60MHz電源が周波数値および電力値に収束すると、収束周波数値はAFT118内に周波数値F21として格納され、収束電力値は電力コントローラ152内に電力値P21として格納される。同様に、トレーニングルーチン中、周波数値F20およびF11ならびに電力値P11およびP20が生成される。周波数値F20は、AFT120に格納され、周波数値F11はAFT144に格納され、電力値P11は電力コントローラ142に格納され、電力値P20は電力コントローラ154に格納される。
TTL信号112の状態がS1である時、電力コントローラ142は、電力値P11を2MHz電源に提供し、電力コントローラ152は、電力値P21を60MHzコントローラに提供する。状態S1の間、AFT114は、周波数値F11を2MHz電源に提供し、AFT118は、周波数値F21を60MHz電源に提供する。
さらに、一実施形態において、TTL信号112の状態がS1である時、電力コントローラ154は、電力値P20を60MHz電源に提供しないように自身を制限する。また、この実施形態において、AFT120は、周波数値F20を60MHz電源に提供しないように自身を制限する。
一実施形態において、状態S1中に、電力値P11および周波数値F11が2MHz電源に提供され、同時に、電力値P21および周波数値F21が60MHz電源に提供される。例えば、状態S1中に、電力値P21および周波数値F21が60MHz電源に提供されるのと同じTTL信号112のクロックエッジの間に、電力値P11および周波数値F11が2MHz電源に提供される。
一実施形態において、状態S1中に、電力値P21および周波数値F21が60MHz電源に提供されるのとほぼ同じ時刻に、電力値P11および周波数値F11が2MHz電源に提供される。例えば、状態S1中に、電力値P11および周波数値F11は、TTL信号112のクロックエッジの出現の前または後に、瞬時(例えば、数マイクロ秒、ミリ秒、ナノ秒後など)に2MHz電源に提供される。この例では、電力値P21および周波数値F21は、クロックエッジの出現中に60MHz電源に提供される。
2MHz電源は、状態S1中に周波数値F11および電力値P11を受信する。値F11およびP11を受信すると、2MHz電源は、周波数F11のRF電力を生成し、RF電力は電力値P11を有する。さらに、60MHz電源は、状態S1中に周波数値F21および電力値P21を受信する。値F21およびP21を受信すると、60MHz電源は、周波数F21のRF電力を生成し、RF電力は電力値P21を有する。
一実施形態において、RF電源は、増幅器へと続くドライバを備える。増幅器は、伝送線路を介してプラズマチャンバ102に順方向電力を供給する。例えば、2MHz電源の増幅器は、電力値P11に比例する(例えば、同じ、数倍など)電力値を有すると共に周波数値F11を有する順方向電力を、伝送線路230およびインピーダンス整合回路182を介してプラズマチャンバ102に供給する。別の例として、60MHz電源の増幅器は、電力値P21に比例する電力値を有すると共に周波数値F21を有する順方向電力を、伝送線路232およびインピーダンス整合回路186を介してプラズマチャンバ102に供給する。
TTL信号112の状態がS0である時、2MHz電源には電力値が提供されず、電力コントローラ154は、電力値P20を60MHzコントローラに提供する。状態S0の間、2MHz電源には周波数値が提供されず、AFT120は、周波数値F20を60MHz電源に提供する。
さらに、一実施形態において、TTL信号112の状態がS0である時、電力コントローラ142は、電力値P11を2MHz電源に提供しないように自身を制限し、電力コントローラ152は、電力値P21を60MHz電源に提供しないように自身を制限する。また、この実施形態において、AFT114は、周波数値F11を2MHz電源に提供しないように自身を制限し、AFT118は、周波数値F21を60MHz電源に提供しないように自身を制限する。
一実施形態において、状態S0中に、2MHz電源には電力値および周波数値が提供されず、同時に、60MHz電源には電力値P20および周波数値F20が提供される。例えば、状態S1中に、電力値P20および周波数値F20が60MHz電源に提供されるのと同じTTL信号112のクロックエッジの間に、電力値「0」および周波数値「0」が2MHz電源に提供される。
2MHz電源は、周波数および電力値を受信しない、例えば、状態S0中に、周波数値「0」および電力値「0」を受信する。電力値および周波数値を受信せずに、2MHz電源は、周波数値がゼロのRF電力を生成し、RF電力はゼロの電力値を有する。さらに、60MHz電源は、状態S0中に周波数値F20および電力値P20を受信する。値F20およびP20を受信すると、60MHz電源は、周波数F20のRF電力を生成し、RF電力は電力値P20を有する。
2MHz電源の増幅器は、順方向電力を供給しない、例えば、伝送線路230およびインピーダンス整合回路182を介してプラズマチャンバ102に、電力値「0」および周波数値「0」を有する順方向電力を供給する。60MHz電源の増幅器は、電力値P20に比例する電力値を有すると共に周波数値F20を有する順方向電力を、伝送線路232およびインピーダンス整合回路186を介してプラズマチャンバ102に供給する。
一実施形態において、状態S1およびS0の一方または両方の間に、センサ210が、プラズマチャンバ102のプラズマから反射されたRF電力である反射電力を伝送線路230上で検知する。さらに、状態S1およびS0の一方または両方の間に、センサ210は、順方向電力が2MHzRF電源から伝送線路230を介してプラズマチャンバ102に送られた時に、伝送線路230上で順方向電力を検知する。同様に、状態S1およびS0の一方または両方の間に、センサ212が、プラズマチャンバ102のプラズマからの反射電力を検知する。センサ212によって検知される反射電力は、プラズマチャンバ102のプラズマから伝送線路232に反射されたものである。さらに、状態S1およびS0の一方または両方の間に、センサ212は、順方向電力が60MHzRF電源から伝送線路232を介してプラズマチャンバ102に送られた時に、伝送線路232上で順方向電力を検知する。
アナログデジタル変換器(ADC)220が、センサ210によって検知された反射電力信号および順方向電力信号をアナログ形式からデジタル形式に変換し、ADC222が、センサ212によって検知された反射電力信号および順方向電力信号をアナログ形式からデジタル形式に変換する。状態S1およびS0の一方または両方の間に、DSP140は、センサ210によって検知された反射電力信号および順方向電力信号のデジタル値を受信し、DSP150は、センサ212によって検知された反射電力信号および順方向電力信号のデジタル値を受信する。DSP140は、ガンマ値を生成するために、関係性(例えば、デジタル反射電力信号とデジタル順方向電力信号との比、電圧定在波比(VSWR)など)を状態S1およびS0の一方または両方の間に計算する。ガンマ値「1」は、電源と負荷との間でインピーダンスの不整合の程度が高いことを示し、ガンマ値「0」は、電源と負荷との間でインピーダンスの不整合の程度が低いことを示す。同様に、DSP150は、ガンマ値を生成するために、デジタル反射電力信号およびデジタル順方向電力信号の間の関係性を状態S1およびS0の一方または両方の間に計算する。VSWRは、RC−1およびRC+1の比に等しいものとして計算され、ここで、RCは反射係数である。
状態S1中にDSP140からAFT114にガンマ値が送信され、状態S1中にDSP150からAFT118にガンマ値が送信される。状態S1中に、AFT114は、DSP140から受信したガンマ値に基づいて周波数値を決定し、AFT118は、DSP150から受信したガンマ値に基づいて周波数値を決定する。状態S1中に、AFT114は、ガンマ値に基づいて生成された周波数値に基づいて周波数値F11を調整し、調整した周波数値を2MHz電源に提供する。さらに、状態S1中に、AFT118は、ガンマ値に基づいて生成された周波数値に基づいて周波数値F21を調整し、調整した周波数値を60MHz電源に提供する。
さらに、状態S1中に、電力コントローラ142は、DSP140から受信したガンマ値に基づいて電力値を決定し、電力コントローラ152は、DSP150から受信したガンマ値に基づいて電力値を決定する。状態S1中に、電力コントローラ142は、ガンマ値に基づいて生成された電力値に基づいて電力値P11を調整し、調整した電力値を2MHz電源に提供する。さらに、状態S1中に、電力コントローラ152は、ガンマ値に基づいて生成された電力値に基づいて電力値P21を調整し、調整した電力値を60MHz電源に提供する。
状態S1中に、2MHz電源は、AFT114から受信した調整済みの周波数値と電力コントローラ142から受信した調整済みの電力値とを有する電力信号を生成し、その電力信号をインピーダンス整合回路182を介してプラズマチャンバ102に供給する。同様に、状態S1中に、60MHz電源は、AFT118から受信した調整済みの周波数値と電力コントローラ152から受信した調整済みの電力値とを有する電力信号を生成し、その電力信号をインピーダンス整合回路186を介してプラズマチャンバ102に供給する。
さらに、状態S0中には、2MHz電源への電力値および周波数値の提供はなく、2MHz電源のゼロ周波数値および電力値を調整するために、状態S0中に生成されたガンマ値が利用されることはない。状態S0中に、AFT120は、DSP150から受信したガンマ値に基づいて周波数値を決定する。状態S0中に、AFT120は、ガンマ値から生成された周波数値に基づいて周波数値F20を調整し、調整した周波数値を60MHz電源に提供する。さらに、状態S0中に、電力コントローラ154は、DSP150から受信したガンマ値に基づいて電力値を決定する。状態S0中に、電力コントローラ154は、ガンマ値に基づいて生成された電力値に基づいて電力値P20を調整し、調整した電力値を60MHz電源に提供する。状態S0中に、60MHz電源は、AFT120から受信した調整済みの周波数値と電力コントローラ154から受信した調整済みの電力値とを有する電力信号を生成し、その電力信号をインピーダンス整合回路186を介してプラズマチャンバ102に供給する。
電力コントローラ142、AFT114、および、DSP140は、発生器コントローラ270の一部である。発生器コントローラ270、ADC220、センサ210、および、2MHz電源は、2MHz発生器274の一部である。同様に、電力コントローラ152、電力コントローラ154、AFT114および120、ならびに、DSP150は、発生器コントローラ272の一部である。発生器コントローラ272、ADC222、センサ212、および、60MHz電源は、60MHz発生器276の一部である。
一実施形態において、システム180は、インピーダンス整合回路182および/または186を備えない。一実施形態において、電力コントローラ142およびAFT114の代わりに単一のコントローラが用いられ、電力コントローラ152およびAFT118の代わりに単一のコントローラが用いられ、電力コントローラ154およびAFT120の代わりに単一のコントローラが用いられる。
2MHzおよび60MHzの電源に加えて27MHz電源が用いられる実施形態において、27MHz発生器は、60MHz電源の代わりに27MHz電源を備えることを除けば、60MHz発生器276と同様である。27MHz発生器は、インピーダンス整合回路(図示せず)および伝送線路(図示せず)を介してプラズマチャンバ102の下側電極104に接続される。さらに、27MHz電源は、TTL信号112を受信するために、ツールUI190に接続される。27MHz発生器は、2つの電力コントローラ、2つのAFT、DSP、ADC、センサ、および、27MHz電源を備える。
図2は、TTL信号112の状態の変化に伴うRF電力信号の状態の変化を示すと共に、ガンマの変化に基づいた電力信号の周波数値および/または電力値の調整を示す表250の一実施形態である。TTL信号112が状態S1であるとき、2MHzおよび60MHz電源によって供給される電力信号も状態S1を有する。例えば、2MHz電源によって供給される電力信号は、TTL信号112の状態が変化すると同時に、S1からS0またはS0からS1へ、その状態を変化させる。また、この例において、60MHz電源によって供給される電力信号は、TTL信号112の状態が変化すると同時に、S1からS0またはS0からS1へ、その状態を変化させる。別の例として、2MHz電源によって供給される電力信号は、TTL信号112の状態の変化が起きたのとほぼ同時に、S1からS0またはS0からS1へ、その状態を変化させる。また、この例において、60MHz電源によって供給される電力信号は、TTL信号112の状態の変化が起きたのとほぼ同時に、S1からS0またはS0からS1へ、その状態を変化させる。
さらに、図2に示すように、状態S1中に、周波数値F11およびF21ならびに電力値P21は、状態S1中に生成されたガンマ値に基づいて調整され、状態S0中に、周波数値F20および電力値P20は、状態S0中に生成されたガンマ値に基づいて調整される。
図3は、プラズマインピーダンスの変化に応じて電力コントローラおよび/または周波数チューナ(電力コントローラおよび/または周波数チューナは非ゼロ値を提供しない)を調整する時間を削減するためのシステム262の一実施形態を示す図である。システム262は、それぞれ非ゼロ値を提供する電力コントローラ172およびAFT264を備えることを除いては、図1のシステム180と同様である。
DSP140は、識別された状態S0を、対応する記憶場所からAFT264および電力コントローラ172に提供する。一例として、DSP140は、TTL信号112がデューティサイクルの時刻t2およびt3の間に状態S0にあることを、AFT264および電力コントローラ172に示す。AFT264は、TTL信号112の状態に基づいて周波数値を決定し、電力コントローラ172は、TTL信号112の状態に基づいて電力値を決定する。例えば、AFT264は、TTL信号112の状態がS0である時に周波数値F10が2MHz電源に提供されるように決定し、電力コントローラ172は、TTL信号112の状態がS0である時に電力値P10が2MHz電源に提供されるように決定する。一実施形態において、値F10およびP10は、正の値である。
トレーニングルーチン中、周波数値F10および電力値P10は、上述したのと同様の方法で生成される。周波数値F10はAFT264に格納され、電力値P10は電力コントローラ172に格納される。TTL信号112の状態がS0である時、電力コントローラ172は、電力値P10を2MHz電源に提供し、AFT264は、周波数値F10を2MHz電源に提供する。
さらに、一実施形態において、TTL信号112の状態がS1である時、電力コントローラ172は、電力値P10を2MHz電源に提供しないように自身を制限する。また、この実施形態において、AFT264は、周波数値F10を2MHz電源に提供しないように自身を制限する。
一実施形態において、状態S0中に、電力値P10および周波数値F10が2MHz電源に提供され、同時に、電力値P20および周波数値F20が60MHz電源に提供される。例えば、状態S0中に、電力値P20および周波数値F20が60MHz電源に提供されるのと同じTTL信号112のクロックエッジの間に、電力値P10および周波数値F10が2MHz電源に提供される。
一実施形態において、状態S0中に、電力値P20および周波数値F20が60MHz電源に提供されるのとほぼ同じ時刻に、電力値P10および周波数値F10が2MHz電源に提供される。例えば、状態S0中に、電力値P10および周波数値F10は、TTL信号112のクロックエッジの出現の前または後に、瞬時に2MHz電源に提供される。この例では、電力値P20および周波数値F20は、クロックエッジの出現中に60MHz電源に提供される。
2MHz電源は、状態S0中に周波数値F10および電力値P10を受信する。値F10およびP10を受信すると、2MHz電源は、周波数F10のRF電力を生成し、RF電力は電力値P10を有する。2MHz電源の増幅器は、電力値P10に比例する電力値を有すると共に周波数値F10を有する順方向電力を、伝送線路230およびインピーダンス整合回路182を介してプラズマチャンバ102に供給する。
一実施形態において、状態S0中に、AFT264は、DSP140から受信したガンマ値に基づいて周波数値を決定する。状態S0中に、AFT264は、ガンマ値から生成された周波数値に基づいて周波数値F10を調整し、調整した周波数値を2MHz電源に提供する。さらに、状態S0中に、電力コントローラ172は、DSP150から受信したガンマ値に基づいて電力値を決定する。状態S0中に、電力コントローラ172は、ガンマ値に基づいて生成された電力値に基づいて電力値P10を調整し、調整した電力値を2MHz電源に提供する。また、状態S0中に、2MHz電源は、AFT264から受信した調整済みの周波数値と電力コントローラ172から受信した調整済みの電力値とを有する電力信号を生成し、その電力信号をインピーダンス整合回路182を介してプラズマチャンバ102に供給する。
電力コントローラ142および172、AFT114および264、ならびに、DSP140は、発生器コントローラ290の一部である。発生器コントローラ290、ADC220、センサ210、および、2MHz電源は、2MHz発生器292の一部である。
図4Aは、グラフ302、304、306、および、308の実施形態を示す。各グラフ302、304、306、および、308は、時刻tの関数としてキロワット(kW)で電力値を示している。グラフ302に示すように、2MHz電力信号(2MHz電源によって供給される電力信号)は、状態S1中に電力値a1を有し、状態S0中に電力値0を有する。電力値a1は、電力値P11の一例である。また、60MHz電力信号(60MHz電源によって提供される電力信号)は、状態S1中に電力値a2を有し、状態S0中に電力値a3を有する。電力値a2は電力値P21の一例であり、電力値a3は電力値P20の一例である。
グラフ304に示すように、60MHz電力信号は、状態S1およびS0中に電力値a2を有する。さらに、グラフ306に示すように、2MHz信号は、状態S0中に電力値a4を有する。電力値a4は、電力値P10の一例である。グラフ308に示すように、60MHz信号は、2MHz信号が電力値a4を有する時に、電力値a2を有する。
図4Bは、グラフ310、312、314、および、316の実施形態を示す。各グラフ310、312、314、および、316は、時刻tの関数としてキロワットで電力値を示している。グラフ310に示すように、60MHz信号は、電力値a2から電力値a3に移行する(図4A)代わりに、電力値a2から電力値0に移行する。
さらに、グラフ312に示すように、60MHz信号は、電力値a2から電力値a5に移行する。電力値a5は、電力値P20の一例である。グラフ314に示すように、60MHz信号は、2MHz信号が非ゼロ電力値a4を有する状態S0中に電力値0を有する。グラフ316に示すように、60MHz電力信号は、2MHz信号が非ゼロ電力値a4を有する状態S0中に非ゼロ電力値a5を有する。
図5Aは、グラフ318、320、322、および、324の実施形態を示す。各グラフ318、320、322、および、324は、時刻tの関数としてキロワットで電力値を示している。グラフ318、320、322、および、324が、27MHz信号のプロットを含むことを除けば、グラフ318はグラフ302(図4A)と同様であり、グラフ320はグラフ304(図4A)と同様であり、グラフ320はグラフ306(図4A)と同様であり、グラフ322はグラフ308(図4A)と同様である。27MHz信号は、27MHz発生器の27MHz電源(図示せず)から生成される。27MHz信号は、状態S1およびS0の両方の間、電力値a6を有するRF信号である。
図5Bは、グラフ326、328、330、および、332の実施形態を示す。各グラフ326、328、330、および、332は、時刻tの関数としてキロワットで電力値を示している。グラフ326、328、330、および、332が、電力値a6を有する27MHz信号のプロットを含むことを除けば、グラフ326はグラフ310(図4B)と同様であり、グラフ328はグラフ312(図4B)と同様であり、グラフ330はグラフ314(図4B)と同様であり、グラフ332はグラフ316(図4B)と同様である。
図5Cは、グラフ334、336、338、および、340の実施形態を示す。各グラフ334、336、338、および、340は、時刻tの関数としてキロワットで電力値を示している。グラフ334、336、338、および、340が、27MHz信号のプロットを含むことを除けば、グラフ334はグラフ302(図4A)と同様であり、グラフ336はグラフ304(図4A)と同様であり、グラフ338はグラフ306(図4A)と同様であり、グラフ340はグラフ308(図4A)と同様である。27MHz信号は、状態S1中の電力値a7から状態S0中の電力値a8に移行する。電力値a7は、電力値a8よりも小さい。
図5Dは、グラフ342、344、346、および、348の実施形態を示す。各グラフ342、344、346、および、348は、時刻tの関数としてキロワットで電力値を示している。グラフ342、344、346、および、348が、電力値a7およびa8を有する27MHz信号のプロットを含むことを除けば、グラフ342はグラフ310(図4B)と同様であり、グラフ344はグラフ312(図4B)と同様であり、グラフ346はグラフ314(図4B)と同様であり、グラフ348はグラフ316(図4B)と同様である。
図5Eは、グラフ350、352、354、および、356の実施形態を示す。各グラフ350、352、354、および、356は、時刻tの関数としてキロワットで電力値を示している。グラフ350、352、354、および、356が、27MHz信号のプロットを含むことを除けば、グラフ350はグラフ302(図4A)と同様であり、グラフ352はグラフ304(図4A)と同様であり、グラフ354はグラフ306(図4A)と同様であり、グラフ356はグラフ308(図4A)と同様である。27MHz信号は、状態S1中の電力値a9から状態S0中の電力値a10に移行する。電力値a9は、電力値a10よりも大きい。
図5Fは、グラフ358、360、362、および、364の実施形態を示す。各グラフ358、360、362、および、364は、時刻tの関数としてキロワットで電力値を示している。グラフ358、360、362、および、364が、電力値a9およびa10を有する27MHz信号のプロットを含むことを除けば、グラフ358はグラフ310(図4B)と同様であり、グラフ360はグラフ312(図4B)と同様であり、グラフ362はグラフ314(図4B)と同様であり、グラフ364はグラフ316(図4B)と同様である。
上述のグラフ302、304、306、308、310、312、314、316、318、320、322、324、326、328、330、332、334、336、338、340、342、344、346、348、350、352、354、356、および、358において、2MHz信号は実線で示され、60MHz信号は破線で示され、27MHz信号は点線で示されている。
一実施形態において、2MHz信号、27MHz信号、および、60MHz信号の状態(例えば、ハイ、ローなど)を、TTL信号112の状態に同期させる代わりに、一実施形態において、RF信号(例えば、27MHz信号、60MHz信号など)の状態は、別のRF信号(例えば、2MHz信号など)の状態に同期されることに注意されたい。
図6は、TTL信号112の状態に基づいてAFT114および264の間で選択を行うためのシステム310の一実施形態を示すブロック図である。TTL信号112が状態S1である時、システム310の選択ロジック128はAFT114を選択し、TTL信号112が状態S0である時、選択ロジック128はAFT264を選択する。選択ロジック128の例としては、マルチプレクサが挙げられる。選択ロジック128がマルチプレクサを含む場合、TTL信号112は、マルチプレクサの選択入力で受信される。例えば、ロー状態のTTL信号がマルチプレクサの第1の選択入力で受信され、ハイ状態のTTL信号がマルチプレクサの第2の選択入力で受信される。一実施形態において、選択ロジック128は、プロセッサを備える。一実施形態において、選択ロジック128は、DSP140内に実装される。
AFT114が選択されると、AFT114は、周波数値F11を2MHz電源に提供する。同様に、AFT264が選択されると、AFT264は、周波数値F10を2MHz電源に提供する。
2MHz電源は、クロック源312から受信したクロック信号と同期する2MHz信号を生成する。一実施形態において、クロック源312のクロック信号は、TTL信号112と同期する。一実施形態において、クロック源312のクロック信号は、TTL信号112とほぼ同じ位相を有する。例えば、クロック源312のクロック信号の立ち上がりエッジは、TTL信号112の立ち上がりエッジより一瞬、後または前にある。一実施形態において、クロック源312からのクロック信号の代わりに、TTL信号112が2MHz電源に提供される。
図1に図示した実施形態において、AFT114および264の間で選択を行う代わりに、状態S1中にAFT114が選択され、状態S0中にAFTは選択されない。例えば、状態S0中に、選択ロジック128は、いずれのAFTも選択しない。
一実施形態において、選択ロジック128は、AFT114および264の代わりに、電力コントローラ142および172(図3)の間で選択を行う。電力コントローラ142が状態S1中に選択されると、電力コントローラ142は、電力値P11を2MHz電源に提供し、電力コントローラ172が状態S0中に選択されると、電力コントローラ172は、電力値P10を2MHz電源に提供する。
さらに、図1に図示した実施形態において、電力コントローラ142および172の間で選択を行う代わりに、状態S1中に電力コントローラ142が選択され、状態S0中に電力コントローラは選択されない。
一実施形態において、選択ロジック128は、60MHz発生器276(図1)内に実装される。この実施形態は、AFT114および264の間で選択を行う代わりに、選択ロジック128がAFT118および120(図1)の間で選択を行うことを除けば、図6で説明した実施形態と同様である。AFT118が状態S1中に選択されると、AFT118は、周波数値F21を60MHz電源に提供し、AFT120が状態S0中に選択されると、AFT120は、周波数値F20を60MHz電源に提供する。さらに、この実施形態において、選択ロジック128は、電力コントローラ152および154の間で選択を行うよう実装される。電力コントローラ152が状態S1中に選択されると、電力コントローラ152は、電力値P21を60MHz電源に提供し、電力コントローラ154が状態S0中に選択されると、電力コントローラ154は、電力値P20を60MHz電源に提供する。
一実施形態において、選択ロジック128は、選択ロジック128が2MHz発生器274(図1)または292(図3)もしくは60MHz発生器276(図1および図3)内に実装されるのと同様の方法で27MHz発生器内に実装される。
任意のガンマ値が、選択ロジック128によって、状態S1またはS0に基づいてAFT114または264に転送される。例えば、状態がS1である時、DSP140は、第1のガンマ値を選択ロジック128に提供し、第1のガンマ値は、状態S1中に測定された反射電力および順方向電力に基づいて決定されたものである。この例において、状態S1中にAFT114を選択した選択ロジック128は、DSP140から受信した第1のガンマ値をAFT114に転送する。別の例として、状態がS0である時、DSP140は、第2のガンマ値を選択ロジック128に提供し、第2のガンマ値は、状態S0中に測定された反射電力および順方向電力に基づいて決定されたものである。この例において、状態S0中にAFT264を選択した選択ロジック128は、DSP140から受信した第2のガンマ値をAFT264に転送する。
同様に、電力コントローラ142および172(図3)が用いられる実施形態において、選択ロジック128は、DSP140から受信した第1のガンマ値を状態S1中に電力コントローラ142に転送し、DSP140から受信した第2のガンマ値を電力コントローラ172に転送する。さらに、AFT264を利用せずにAFT114が用いられる実施形態において、選択ロジック128は、状態S0中に第2のガンマ値を転送しないように自身を制限する。
さらに、選択ロジック128が60MHz発生器276(図1)内に実装され、電力コントローラ152および154に接続される実施形態において、選択ロジック128は、DSP150から受信した第3のガンマ値を状態S1中に電力コントローラ152に転送し、DSP150から受信した第4のガンマ値を状態S0中に電力コントローラ154に転送する。この実施形態において、第3のガンマ値は、状態S1中に伝送線路232上の順方向電力および反射電力に基づいて生成される。また、この実施形態において、順方向電力および反射電力の両方は、センサ212によって検知される。この実施形態において、第4のガンマ値は、状態S0中に伝送線路232上の順方向電力および反射電力に基づいて生成される。
さらに、選択ロジック128が60MHz発生器内に実装され、AFT118および120に接続される実施形態において、選択ロジック128は、DSP150から受信した第3のガンマ値を状態S1中にAFT118に転送し、DSP150から受信した第4のガンマ値を状態S1中にAFT120に転送する。
図7は、第1の組の電力値および第1の組の周波数を有するRF信号を生成するか、第2の組の電力値および第2の組の周波数を有するRF信号を生成するかを決定するための方法321の一実施形態を示すフローチャートである。動作323において、プラズマが、プラズマチャンバ102(図1)内で点火(例えば、生成)される。また、動作325において、TTL信号112が、2MHz発生器(例えば、発生器274(図1)、発生器292(図3)など)によって受信されると共に、60MHz発生器276(図1および図3)によって受信される。例えば、DSP140(図1)が、ツールUI190からTTL信号112を受信し、DSP150(図2)が、ツールUI190からTTL信号150を受信する。
動作327において、DSP(例えば、DSP140、DSP150など)は、TTL信号112の状態がS1であるかS0であるかを判定する。例えば、DSP140は、TTL信号112の状態がハイであるかローであるかを判定する。別の例として、DSP150は、TTL信号の状態が1であるか0であるかを判定する。
TTL信号112の状態がS1であるとの判定に応じて、動作329において、TTL信号112は、状態S1を達成するために、DSP(例えば、DSP140、DSP150など)によって、対応するAFTまたは電力コントローラ(例えば、AFT114、AFT118、電力コントローラ142、電力コントローラ152など)に送信される。例えば、電力値P11および周波数値F11を達成して状態S1をさらに達成するために、状態S1の識別が、DSP140からAFT114および電力コントローラ142に送信される。この例において、AFT114は、周波数値F11に対応する状態S1を含む第1のルックアップテーブルに基づいて、周波数値F11を提供する。さらに、この例において、電力コントローラ142は、電力値P11に対応する状態S1を含む第2のルックアップテーブルに基づいて、電力値P11を提供する。この例において、第1のルックアップテーブルはAFT114内に格納され、第2のルックアップテーブルは電力コントローラ142内に格納される。
別の例として、電力値P21および周波数値F21を達成して状態S1を達成するために、状態S1が、DSP150からAFT118および電力コントローラ152に送信される。この例において、AFT118は、周波数値F21に対応する状態S1を含む第3のルックアップテーブルに基づいて、周波数値F21を提供する。さらに、この例において、電力コントローラ152は、電力値P21に対応する状態S1を含む第4のルックアップテーブルに基づいて、電力値P21を提供する。この例において、第3のルックアップテーブルはAFT118内に格納され、第4のルックアップテーブルは電力コントローラ152内に格納される。
動作331において、反射電力(プラズマチャンバ102内のプラズマから反射されたRF電力)および順方向電力が、伝送線路230(図1)で測定される。反射電力および順方向電力は、センサ210(図1)によって測定される。順方向電力および反射電力の測定アナログ値が、センサ210によってADC220に提供され、ADC220は、アナログ値をデジタル値に変換する。さらに、動作331において、反射電力および順方向電力が、伝送線路232(図1)で測定される。反射電力および順方向電力は、センサ212(図1)によって測定される。順方向電力および反射電力の測定アナログ値が、センサ212によってADC222に提供され、ADC222は、アナログ値をデジタル値に変換する。
動作333において、DSP140は、センサ210によって測定された順方向電力および反射電力のデジタル値を受信し、それらの値からガンマを決定する。また、動作333において、DSP150は、センサ212によって測定された順方向電力および反射電力のデジタル値を受信し、それらの値からガンマを決定する。
動作335において、ガンマは、周波数値F11を調整するために、DSP140によってAFT114に提供され、電力値P11を調整するために、DSP140によって電力コントローラ142に提供される。一例として、AFT114は、状態S1の間に伝送線路230の信号に基づいて測定されたガンマに対応するルックアップテーブルから、周波数値F11以外の別の周波数値を取得し、その別の周波数値を2MHz電源に提供する。2MHz電源は、その別の周波数値を有するRF信号を生成する。さらに、動作335において、ガンマは、電力値P11を調整するために、DSP140によって電力コントローラ142に提供される。一例として、電力コントローラ142は、状態S1の間に伝送線路230の信号に基づいて測定されたガンマに対応するルックアップテーブルから、電力値P11以外の別の電力値を取得し、その別の電力値を2MHz電源に提供する。2MHz電源は、その別の電力値を有するRF信号を生成する。
さらに、動作335において、ガンマは、周波数値F21を調整するために、DSP150によってAFT118に提供され、電力値P21を調整するために、DSP150によって電力コントローラ152に提供される。一例として、AFT118は、状態S1の間に伝送線路232の信号に基づいて測定されたガンマに対応するルックアップテーブルから、周波数値F21以外の別の周波数値を取得し、その別の周波数値を60MHz電源に提供する。60MHz電源は、その別の周波数値を有するRF信号を生成する。別の例として、電力コントローラ152は、状態S1の間に伝送線路232の信号に基づいて測定されたガンマに対応するルックアップテーブルから、電力値P21以外の別の電力値を取得し、その別の電力値を60MHz電源に提供する。60MHz電源は、その別の電力値を有するRF信号を生成する。方法321は、動作335の後に動作325に戻る。
TTL信号112の状態がS0であるとの判定に応じて、動作337において、TTL信号112は、状態S0を達成するために、DSP(例えば、DSP140、DSP150など)によって、対応するAFTまたは電力コントローラ(例えば、AFT264、AFT120、電力コントローラ172、電力コントローラ154など)に送信される。例えば、電力値P10および周波数値F10を達成して状態S0をさらに達成するために、状態S0の識別が、DSP140からAFT264および電力コントローラ172に送信される。この例において、AFT264は、周波数値F10に対応する状態S0を含む第5のルックアップテーブルに基づいて、周波数値F10を提供する。さらに、この例において、電力コントローラ172は、電力値P10に対応する状態S0を含む第6のルックアップテーブルに基づいて、電力値P10を提供する。この例において、第5のルックアップテーブルはAFT264内に格納され、第6のルックアップテーブルは電力コントローラ172内に格納される。
例えば、電力値P20および周波数値F20を達成して状態S0を達成するために、状態S0の識別が、DSP150からAFT120および電力コントローラ154に送信される。この例において、AFT120は、周波数値F20に対応する状態S0を含む第7のルックアップテーブルに基づいて、周波数値F20を提供する。さらに、この例において、電力コントローラ154は、電力値P20に対応する状態S0を含む第8のルックアップテーブルに基づいて、電力値P20を提供する。この例において、第7のルックアップテーブルはAFT120内に格納され、第8のルックアップテーブルは電力コントローラ154内に格納される。
動作339は動作331と同じであり、動作341は動作333と同じである。動作343において、ガンマは、周波数値F10を調整するために、DSP140によってAFT264に提供され、電力値P10を調整するために、DSP140によって電力コントローラ172に提供される。一例として、AFT264は、状態S0の間に伝送線路230の信号に基づいて測定されたガンマに対応するルックアップテーブルから、周波数値F10以外の別の周波数値を取得し、その別の周波数値を2MHz電源に提供する。2MHz電源は、その別の周波数値を有するRF信号を生成する。さらに、動作343において、ガンマは、DSP140によって、電力値P10を調整する電力コントローラ172へ提供される。一例として、電力コントローラ172は、状態S0の間に伝送線路230の信号に基づいて測定されたガンマに対応するルックアップテーブルから、電力値P10以外の別の電力値を取得し、その別の電力値を2MHz電源に提供する。2MHz電源は、その別の電力値を有するRF信号を生成する。
さらに、動作343において、ガンマは、周波数値F20を調整するために、DSP150によってAFT120に提供され、電力値P20を調整するために、DSP150によって電力コントローラ154に提供される。一例として、AFT120は、状態S0の間に伝送線路232の信号に基づいて測定されたガンマに対応するルックアップテーブルから、周波数値F20以外の別の周波数値を取得し、その別の周波数値を60MHz電源に提供する。60MHz電源は、その別の周波数値を有するRF信号を生成する。さらに、動作343において、ガンマは、電力値P20を調整するために、DSP150によって電力コントローラ154に提供される。一例として、電力コントローラ154は、状態S0の間に伝送線路232の信号に基づいて測定されたガンマに対応するルックアップテーブルから、電力値P21以外の別の電力値を取得し、その別の電力値を60MHz電源に提供する。60MHz電源は、その別の電力値を有するRF信号を生成する。方法320は、動作343の後に動作325に戻る。
一実施形態において、動作331、333、335、339、341、および、343は、任意選択である。例えば、動作329および337の後に動作325に戻り、動作331、333、335、339、341、および、343は実行されない。
上述の実施形態は、2MHzRF信号および/または60MHz信号および/または27MHz信号を下側電極104に供給し、上側電極110を接地することに関するが、いくつかの実施形態では、2MHz、60MHz、および、27MHz信号が上側電極110に提供され、下側電極104が接地されることに注意されたい。
さらに、一実施形態では、TTL信号112の状態S1およびS0を生成するために、プラズマのインピーダンスの変化が用いられない。状態S1およびS0は、プラズマのインピーダンスの変化と無関係である。
また、一実施形態において、入力(例えば、周波数入力、電力入力など)またはレベル(例えば、電力レベル、周波数レベル)は、別の値の閾値内にある1または複数の値を含むことに注意されたい。例えば、電力レベルは、電力値P21と、電力値P21の閾値内にある他の電力値とを含む。この例において、電力レベルは、別の状態に対する任意の電力値(例えば、状態S0に対する電力値P20)を除外する。別の例として、周波数入力は、周波数値F11と、周波数値F11の閾値内にある他の周波数値とを含む。この例において、周波数入力は、別の状態に対する任意の周波数値(例えば、状態S0に対する周波数値F10)を除外する。
上述の実施形態は、平行板プラズマチャンバに関して説明されているが、一実施形態において、上述の実施形態は、その他のタイプのプラズマチャンバ、例えば、誘電結合プラズマ(ICP)リアクタを備えるプラズマチャンバ、電子サイクロトロン共鳴(ECR)リアクタを備えるプラズマチャンバなど、に適用されることに注意されたい。例えば、2MHzおよび60MHz電源は、ICPプラズマチャンバ内のインダクタに接続される。
一実施形態において、発生器コントローラのAFTおよび/または電力コントローラによって実行される動作は、発生器コントローラのDSPによって実行される。例えば、AFT118および120によって実行される本明細書に記載の動作は、DSP150(図3)によって実行される。別の例として、AFT114、AFT264、電力コントローラ142、および、電力コントローラ172によって実行される本明細書に記載の動作は、DSP140(図3)によって実行される。
本明細書に記載の実施形態は、ハンドヘルドデバイス、マイクロプロセッサシステム、マイクロプロセッサベースまたはプログラム可能な家電、ミニコンピュータ、メインフレームコンピュータなど、様々なコンピュータシステム構成で実施されてもよい。実施形態は、ネットワークを通して接続された遠隔処理デバイスによってタスクが実行される分散コンピューティング環境で実施されてもよい。
上述の実施形態を念頭に置いて、本実施形態は、コンピュータシステムに格納されたデータを含め、コンピュータに実装された様々な動作を利用できることを理解されたい。これらの動作は、物理量の物理操作を必要とするものである。本実施形態の一部を形成する本明細書で説明した動作はいずれも、有用な機械動作である。本実施形態は、さらに、これらの動作を実行するためのデバイスまたは装置に関する。装置は、専用コンピュータ向けに特別に構成されてよい。専用コンピュータとして規定された場合、コンピュータは、特殊目的に含まれない他の処理、プログラム実行、または、ルーチンも実行しつつ、特殊目的のために動作することができる。あるいは、動作は、コンピュータメモリ、キャッシュに格納されたまたはネットワークを介して取得された1または複数のコンピュータプログラムによって選択的にアクティベートまたは構成された汎用コンピュータで処理されてもよい。データがネットワークを介して取得されると、そのデータは、ネットワーク上の他のコンピュータ(例えば、クラウドのコンピューティング資源)によって処理されてよい。
1または複数実施形態は、コンピュータ読み取り可能な媒体上にコンピュータ読み取り可能なコードとして製造されてもよい。コンピュータ読み取り可能な媒体は、コンピュータシステムによって読み出し可能であるようにデータを格納できる任意のデータ記憶装置である。コンピュータ読み取り可能な媒体の例としては、ハードドライブ、ネットワーク接続ストレージ(NAS)、ROM、RAM、コンパクトディスク−ROM(CD−ROM)、CD−レコーダブル(CD−R)、CD−リライタブル(CD−RW)、磁気テープ、および、その他の光学式および非光学式のデータ記憶装置が挙げられる。コンピュータ読み取り可能な媒体は、コンピュータ読み取り可能なコードが分散的に格納および実行されるように、ネットワーク接続されたコンピュータシステム上に分散されたコンピュータ読み取り可能なタンジブル媒体を含みうる。
方法の動作は特定の順番で記載されているが、オーバーレイ動作の処理が望ましく実行される限りは、他のハウスキーピング動作が動作の合間に実行されてもよいし、動作が若干異なる時間に実行されるように調整されてもよいし、処理に関連した様々な間隔で処理動作が起きることを許容するシステムに分散されてもよいことを理解されたい。
本開示に記載された様々な実施形態に記載された範囲を逸脱することなしに、任意の実施形態の1または複数の特徴が、任意の他の実施形態の1または複数の特徴と組み合わされてもよい。
理解を深めるために、本実施形態について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更および変形を行ってもよいことは明らかである。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、実施形態は、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてよい。

Claims (30)

  1. システムであって、
    電極に接続された第1発生器であって、第1高周波(RF)信号を前記電極に供給するための第1電源と、パルス信号が第1の状態にある時に第1の周波数入力を前記第1電源に提供するための自動周波数制御部(AFC)と、を含む、第1発生器と、
    前記電極に接続された第2発生器であって、第2RF信号を前記電極に供給するための第2電源と、前記パルス信号が前記第1の状態である時に第2の周波数入力を前記第2電源に提供するためのAFCと、前記パルス信号が第2の状態である時に第3の周波数入力を前記第2電源に提供するためのAFCと、を含む、第2発生器と、
    前記パルス信号を生成するためのデジタルパルス源と、
    を備える、システム。
  2. 請求項1に記載のシステムであって、
    前記第2発生器は、デジタル信号プロセッサから受信した前記第2の周波数入力または前記第3の周波数入力を前記第2電源に転送するためのセレクタを含む、システム。
  3. システムであって、
    基板を支持するための表面を有する下側電極と、前記下側電極の上に配置された上側電極と、を含むプラズマチャンバであって、前記上側電極は電気的に接地されている、プラズマチャンバと、
    前記下側電極に接続された第1発生器であって、第1高周波(RF)信号を前記下側電極に供給するための第1電源を含む、第1発生器と、
    前記下側電極に接続された第2発生器であって、第2RF信号を前記下側電極に供給するための第2電源を含む、第2発生器と、
    パルス信号を生成するためのデジタルパルス源であって、前記第1発生器および前記第2発生器に接続され、前記パルス信号は2つの状態の間を移行する、デジタルパルス源と、
    を備え、
    前記第1発生器は、前記パルス信号が第1の状態にある時に第1の周波数入力を前記第1電源に提供するための第1の自動周波数制御部(AFC)を含み、
    前記第2発生器は、前記パルス信号が前記第1の状態にある時に第2の周波数入力を前記第2電源に提供するための第2のAFCを含み、
    前記第2発生器は、前記パルス信号が第2の状態にある時に第3の周波数入力を前記第2電源に提供するための第3のAFCを含む、システム。
  4. 請求項3に記載のシステムであって、さらに、
    前記第2のAFCおよび前記第3のAFCの間で選択を行って前記第2の周波数入力または前記第3の周波数入力を前記第2電源に提供するために、前記デジタルパルス源と前記第2および第3のAFCとの間に接続されたセレクタを備え、
    前記セレクタは、前記パルス信号の前記状態に基づいて前記第2のAFCおよび前記第3のAFCの間で選択を行うよう構成されている、システム。
  5. 請求項3に記載のシステムであって、
    前記2つの状態の一方はオン状態であり、前記2つの状態のもう一方はオフ状態である、システム。
  6. 請求項3に記載のシステムであって、
    前記第1RF信号は、前記第2RF信号より低い周波数を有する、システム。
  7. 請求項3に記載のシステムであって、
    前記第1RF信号は、前記パルス信号が前記第1の状態である時に第1の電力レベルにあり、前記パルス信号が前記第2の状態である時に第2の電力レベルにあり、前記第1の電力レベルは前記第2の電力レベルよりも高く、
    前記第2RF信号は、前記パルス信号が前記第1の状態にある時に第3の電力レベルにあり、前記パルス信号が前記第2の状態にある時に第4の電力レベルにあり、前記第3の電力レベルは前記第4の電力レベルよりも低い、システム。
  8. 請求項7に記載のシステムであって、
    前記第3の電力レベルはゼロまたは正の値である、システム。
  9. 請求項3に記載のシステムであって、
    前記第1RF信号は、前記パルス信号が前記第1の状態である時に第1の電力レベルにあり、前記パルス信号が前記第2の状態である時に第2の電力レベルにあり、前記第1の電力レベルは前記第2の電力レベルよりも高く、
    前記第2RF信号は、前記パルス信号が前記第1の状態にある時に第3の電力レベルにあり、前記パルス信号が前記第2の状態にある時に第4の電力レベルにあり、前記第3の電力レベルは前記第4の電力レベルと同じである、システム。
  10. 請求項9に記載のシステムであって、
    前記第3の電力レベルは正の値である、システム。
  11. 請求項3に記載のシステムであって、
    前記第1RF信号は、前記パルス信号が前記第1の状態である時に第1の電力レベルにあり、前記パルス信号が前記第2の状態である時に第2の電力レベルにあり、前記第1の電力レベルは前記第2の電力レベルよりも高く、
    前記第2RF信号は、前記パルス信号が前記第1の状態にある時に第3の電力レベルにあり、前記パルス信号が前記第2の状態にある時に第4の電力レベルにあり、前記第3の電力レベルは前記第4の電力レベルよりも高い、システム。
  12. 請求項11に記載のシステムであって、
    前記第3の電力レベルはゼロまたは正の値である、システム。
  13. 請求項3に記載のシステムであって、
    前記デジタルパルス源は、クロック発振器またはトランジスタ−トランジスタロジック(TTL)を含む、システム。
  14. 請求項3に記載のシステムであって、
    前記第1の周波数入力は、前記第2の周波数入力および前記第3の周波数入力とは異なる、システム。
  15. 請求項3に記載のシステムであって、
    前記セレクタは、マルチプレクサを含む、システム。
  16. システムであって、
    パルス信号を生成するためのデジタルパルス源と、
    第1発生器であって、
    第1高周波(RF)信号を電極に供給するために前記電極に接続された第1電源と、
    前記パルス信号を受信して前記パルス信号の2つの状態の内の第1の状態および第2の状態を識別するために前記パルス源に接続された第1プロセッサと、
    前記パルス信号が前記第1の状態にあるか前記第2の状態にあるかに基づいて第1電力値を前記第1電源に提供するか否かを決定するために前記第1プロセッサに接続された電力コントローラと、
    前記第1プロセッサから前記状態の識別を受信するために前記第1プロセッサに接続され、前記パルス信号が前記第1の状態にある時に前記第1RF信号の周波数を提供するよう構成された自動周波数制御部(AFC)と、を含む、第1発生器と、
    第2発生器であって、
    第2RF信号を前記電極に供給するために前記電極に接続された第2電源と、
    前記パルス信号を受信して前記パルス信号が前記第1の状態にあるか前記第2の状態にあるかを識別するために前記パルス源に接続された第2プロセッサと、
    前記パルス信号が前記第1の状態にある時に第1の第2電力値を前記第2電源に提供するために前記第2プロセッサに接続された第1の電力コントローラと、
    前記パルス信号が前記第2の状態にある時に第2の第2電力値を前記第2電源に提供するために前記第2プロセッサに接続された第2の電力コントローラと、
    前記第2プロセッサから前記状態の識別を受信するために前記第2プロセッサに接続され、前記パルス信号が前記第1の状態にある時に前記第2RF信号の第1の周波数入力を提供するよう構成された第1のAFCと、
    前記第2プロセッサから前記状態の識別を受信するために前記第2プロセッサに接続され、前記パルス信号が前記第2の状態にある時に前記第2RF信号の第2の周波数入力を提供するよう構成された第2のAFCと、を含む、第2発生器と、
    を備える、システム。
  17. 請求項16に記載のシステムであって、
    前記第2発生器は、前記第2プロセッサから受信した前記第1の周波数入力または前記第2の周波数入力を前記第2電源に転送するためのセレクタを含む、システム。
  18. システムであって、
    基板を支持するための表面を有する下側電極と、前記下側電極の上に配置された上側電極と、を含むプラズマチャンバであって、前記上側電極は電気的に接地されている、プラズマチャンバと、
    2つの状態の間を移行するパルス信号を生成するためのデジタルパルス源と、
    第1発生器であって、
    第1高周波(RF)信号を前記下側電極に供給するために前記下側電極に接続された第1電源と、
    前記パルス信号を受信して、前記パルス信号の前記2つの状態の内の第1の状態および前記2つの状態の内の第2の状態を識別するために、前記パルス源に接続された第1プロセッサと、
    前記パルス信号が前記第1の状態にあるか前記第2の状態にあるかに基づいて第1電力値を前記第1電源に提供するか否かを決定するために前記第1プロセッサに接続された電力コントローラと、
    前記第1プロセッサから前記状態の識別を受信するために前記第1プロセッサに接続され、前記パルス信号が前記第1の状態にある時に前記第1RF信号の周波数を提供するよう構成された自動周波数制御部(AFC)と、を含む、第1発生器と、
    第2発生器であって、
    第2RF信号を前記下側電極に供給するために前記下側電極に接続された第2電源と、
    前記パルス信号を受信して前記パルス信号が前記第1の状態にあるか前記第2の状態にあるかを識別するために前記パルス源に接続された第2プロセッサと、
    前記パルス信号が前記第1の状態にある時に第1の第2電力値を前記第2電源に提供するために前記第2プロセッサに接続された第1の電力コントローラと、
    前記パルス信号が前記第2の状態にある時に第2の第2電力値を前記第2電源に提供するために前記第2プロセッサに接続された第2の電力コントローラと、
    前記第2プロセッサから前記状態の識別を受信するために前記第2プロセッサに接続され、前記パルス信号が前記第1の状態にある時に前記第2RF信号の周波数を提供するよう構成された第1のAFCと、
    前記第2プロセッサから前記状態の識別を受信するために前記第2プロセッサに接続され、前記パルス信号が前記第2の状態にある時に前記第2RF信号の周波数を提供するよう構成された第2のAFCと、を含む、第2発生器と、
    を備える、システム。
  19. 請求項18に記載のシステムであって、
    前記2つの状態の一方はオン状態であり、前記2つの状態のもう一方はオフ状態である、システム。
  20. 請求項18に記載のシステムであって、
    前記第1RF信号は、前記パルス信号が前記第1の状態である時に第1の電力レベルにあり、前記パルス信号が前記第2の状態である時に第2の電力レベルにあり、前記第1の電力レベルは前記第2の電力レベルよりも高く、
    前記第1の第2電力値は前記第2の第2電力値よりも低い、システム。
  21. 請求項18に記載のシステムであって、
    前記第1RF信号は、前記パルス信号が前記第1の状態である時に第1の電力レベルにあり、前記パルス信号が前記第2の状態である時に第2の電力レベルにあり、前記第1の電力レベルは前記第2の電力レベルよりも高く、
    前記第1の第2電力値は前記第2の第2電力値と同じである、システム。
  22. 請求項18に記載のシステムであって、
    前記第1RF信号は、前記パルス信号が前記第1の状態である時に第1の電力レベルにあり、前記パルス信号が前記第2の状態である時に第2の電力レベルにあり、前記第1の電力レベルは前記第2の電力レベルよりも高く、
    前記第1の第2電力値は前記第2の第2電力値よりも高い、システム。
  23. 請求項18に記載のシステムであって、
    前記パルス信号が前記第1の状態にある時、前記第1電力値は正の電力値である、システム。
  24. 請求項18に記載のシステムであって、
    前記第1の第2電力値は、前記第2の第2電力値よりも低い、同じ、または、高い、システム。
  25. システムであって、
    パルス信号を生成するためのデジタルパルス源と、
    第1発生器であって、
    第1高周波(RF)信号を電極に供給するために前記電極に接続された第1電源と、
    前記パルス信号を受信して、前記パルス信号の2つの状態の内の第1の状態および前記2つの状態の内の第2の状態を識別するために、前記パルス源に接続された第1プロセッサと、
    前記パルス信号が前記第1の状態にある時に第1の第1電力値を前記第1電源に提供するために前記第1プロセッサに接続された第1の第1電力コントローラと、
    前記パルス信号が前記第2の状態にある時に第2の第1電力値を前記第1電源に提供するために前記第1プロセッサに接続された第2の第1電力コントローラと、
    前記第1プロセッサから前記状態の識別を受信するために前記第1プロセッサに接続され、前記パルス信号が前記第1の状態にある時に前記第1RF信号に第1の第1周波数入力を提供するよう構成された第1の第1自動周波数制御部(AFC)と、
    前記第1プロセッサから前記状態の識別を受信するために前記第1プロセッサに接続され、前記パルス信号が前記第2の状態にある時に前記第1RF信号に第2の第1周波数入力を提供するよう構成された第2の第1AFCと、を含む、第1発生器と、
    第2発生器であって、
    第2RF信号を前記電極に供給するために前記電極に接続された第2電源と、
    前記パルス信号を受信して前記パルス信号が前記第1の状態にあるか前記第2の状態にあるかを識別するために前記パルス源に接続された第2プロセッサと、
    前記パルス信号が前記第1の状態にある時に第1の第2電力値を前記第2電源に提供するために前記第2プロセッサに接続された第1の第2電力コントローラと、
    前記パルス信号が前記第2の状態にある時に第2の第2電力値を前記第2電源に提供するために前記第2プロセッサに接続された第2の第2電力コントローラと、
    前記第2プロセッサから前記状態の識別を受信するために前記第2プロセッサに接続され、前記パルス信号が前記第1の状態にある時に前記第2RF信号に第1の第2周波数入力を提供するよう構成された第1の第2AFCと、
    前記第2プロセッサから前記状態の識別を受信するために前記第2プロセッサに接続され、前記パルス信号が前記第2の状態にある時に前記第2RF信号に第2の第2周波数入力を提供するよう構成された第2の第2AFCと、を含む、第2発生器と、
    を備える、システム。
  26. 請求項25に記載のシステムであって、
    前記第1発生器は、前記第1プロセッサから受信した前記第1の第1周波数入力または前記第2プロセッサから受信した前記第2の第1周波数入力を前記第1電源に転送するよう構成されたセレクタを含み、
    前記第2発生器は、前記第2プロセッサから受信した前記第1の第2周波数入力または前記第2のプロセッサから受信した前記第2の第2周波数入力を前記第2電源に転送するよう構成されたセレクタを含む、システム。
  27. システムであって、
    基板を支持するための表面を有する下側電極と、前記下側電極の上に配置された上側電極と、を含むプラズマチャンバであって、前記上側電極は電気的に接地されている、プラズマチャンバと、
    2つの状態の間を移行するパルス信号を生成するためのデジタルパルス源と、
    第1発生器であって、
    第1高周波(RF)信号を前記下側電極に供給するために前記下側電極に接続された第1電源と、
    前記パルス信号を受信して前記パルス信号の前記2つの状態の内の第1の状態および前記2つの状態の内の第2の状態を識別するために前記パルス源に接続された第1プロセッサと、
    前記パルス信号が前記第1の状態にある時に第1の第1電力値を前記第1電源に提供するために前記第1プロセッサに接続された第1の第1電力コントローラと、
    前記パルス信号が前記第2の状態にある時に第2の第1電力値を前記第1電源に提供するために前記第1プロセッサに接続された第2の第1電力コントローラと、
    前記第1プロセッサから前記状態の識別を受信するために前記第1プロセッサに接続され、前記パルス信号が前記第1の状態にある時に前記第1RF信号の周波数を提供するよう構成された第1の第1自動周波数制御部(AFC)と、
    前記第1プロセッサから前記状態の識別を受信するために前記第1プロセッサに接続され、前記パルス信号が前記第2の状態にある時に前記第1RF信号の周波数を提供するよう構成された第2の第1AFCと、を含む、第1発生器と、
    第2発生器であって、
    第2RF信号を前記下側電極に供給するために前記下側電極に接続された第2電源と、
    前記パルス信号を受信して前記パルス信号が前記第1の状態にあるか前記第2の状態にあるかを識別するために前記パルス源に接続された第2プロセッサと、
    前記パルス信号が前記第1の状態にある時に第1の第2電力値を前記第2電源に提供するために前記第2プロセッサに接続された第1の第2電力コントローラと、
    前記パルス信号が前記第2の状態にある時に第2の第2電力値を前記第2電源に提供するために前記第2プロセッサに接続された第2の第2電力コントローラと、
    前記第2プロセッサから前記状態の識別を受信するために前記第2プロセッサに接続され、前記パルス信号が前記第1の状態にある時に前記第2RF信号の周波数を提供するよう構成された第1の第2AFCと、
    前記第2プロセッサから前記状態の識別を受信するために前記第2プロセッサに接続され、前記パルス信号が前記第2の状態にある時に前記第2RF信号の周波数を提供するよう構成された第2の第2AFCと、を含む、第2発生器と、
    を備える、システム。
  28. 請求項27に記載のシステムであって、
    前記第1の第2電力値は、前記第2の第1電力値よりも低い、同じ、または、高い、システム。
  29. 方法であって、
    2つの状態を有するデジタルパルス信号を受信する工程と、
    前記デジタルパルス信号が前記2つの状態の内の第1の状態にある時に第1の周波数入力を第1RF電源に印加することから、前記デジタルパルス信号が前記2つの状態の内の第2の状態にある時に第2の周波数入力を前記第1RF電源に印加することに切り換える工程と、
    前記デジタルパルス信号が前記第1の状態にある時に第3の周波数入力を第2RF電源に印加することを決定する工程と、
    を備える、方法。
  30. 請求項29に記載の方法であって、
    前記方法は、半導体ウエハを処理して集積回路を製造するために利用される、方法。
JP2013031826A 2012-02-22 2013-02-21 状態に基づいた電力および周波数の調整 Active JP6420528B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201261602040P 2012-02-22 2012-02-22
US61/602,040 2012-02-22
US13/531,491 US9114666B2 (en) 2012-02-22 2012-06-22 Methods and apparatus for controlling plasma in a plasma processing system
US13/531,491 2012-06-22
US13/620,386 US9197196B2 (en) 2012-02-22 2012-09-14 State-based adjustment of power and frequency
US13/620,386 2012-09-14

Publications (3)

Publication Number Publication Date
JP2013191554A true JP2013191554A (ja) 2013-09-26
JP2013191554A5 JP2013191554A5 (ja) 2016-04-07
JP6420528B2 JP6420528B2 (ja) 2018-11-07

Family

ID=48981370

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013031826A Active JP6420528B2 (ja) 2012-02-22 2013-02-21 状態に基づいた電力および周波数の調整

Country Status (6)

Country Link
US (2) US9197196B2 (ja)
JP (1) JP6420528B2 (ja)
KR (2) KR102025950B1 (ja)
CN (1) CN103298235B (ja)
SG (1) SG193130A1 (ja)
TW (2) TWI640226B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014082205A (ja) * 2012-10-16 2014-05-08 Advanced Micro Fabrication Equipment Inc Shanghai プラズマ処理方法およびプラズマ処理装置
JP2017073247A (ja) * 2015-10-06 2017-04-13 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
JP2019053978A (ja) * 2017-09-13 2019-04-04 ラム リサーチ コーポレーションLam Research Corporation イオンの方向性を増大させるためのマルチレジームプラズマウエハ処理

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8952765B2 (en) 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
CN103476196B (zh) * 2013-09-23 2016-02-03 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体处理方法
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
CN104242298B (zh) * 2014-07-17 2016-09-14 浙江大学 一种全分布式结构的孤岛交流微电网的频率控制方法
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
KR102156893B1 (ko) * 2014-09-30 2020-09-17 세메스 주식회사 플라즈마 공정 챔버의 셀프 바이어스 전압 측정 장치 및 방법
EP3029711B1 (en) * 2014-12-03 2019-10-16 Comet AG Frequency tuning of a RF-generator within a plasma process
US9667303B2 (en) * 2015-01-28 2017-05-30 Lam Research Corporation Dual push between a host computer system and an RF generator
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US10009028B2 (en) * 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
KR102452835B1 (ko) * 2016-12-05 2022-10-07 램 리써치 코포레이션 다중 제어 모드
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
PL3648550T3 (pl) * 2017-06-27 2021-11-22 Canon Anelva Corporation Urządzenie do przetwarzania plazmowego
EP3648552B1 (en) * 2017-06-27 2022-04-13 Canon Anelva Corporation Plasma treatment device
PL3648554T3 (pl) * 2017-06-27 2021-11-22 Canon Anelva Corporation Urządzenie do przetwarzania plazmowego
CN114666965A (zh) 2017-06-27 2022-06-24 佳能安内华股份有限公司 等离子体处理装置
US10224183B1 (en) 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
US10304660B1 (en) 2018-03-21 2019-05-28 Lam Research Corporation Multi-level pulsing of DC and RF signals
WO2020003557A1 (ja) 2018-06-26 2020-01-02 キヤノンアネルバ株式会社 プラズマ処理装置、プラズマ処理方法、プログラムおよびメモリ媒体
CN110648888B (zh) * 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
US10504744B1 (en) * 2018-07-19 2019-12-10 Lam Research Corporation Three or more states for achieving high aspect ratio dielectric etch
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム
JP2022122425A (ja) * 2021-02-10 2022-08-23 東京エレクトロン株式会社 プラズマ処理装置及び監視装置
US11706723B2 (en) 2021-06-09 2023-07-18 XP Power Limited Radio frequency generator with automatic level control
JP2023097863A (ja) 2021-12-28 2023-07-10 株式会社ダイヘン 高周波電源システム
CN116840734B (zh) * 2023-07-07 2024-04-05 西安航空学院 一种数字脉冲参数检测系统及方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0883776A (ja) * 1994-09-13 1996-03-26 Aneruba Kk 表面処理装置
JP2004158247A (ja) * 2002-11-05 2004-06-03 Sharp Corp プラズマ処理装置およびプラズマ処理方法
JP2008252067A (ja) * 2007-01-30 2008-10-16 Applied Materials Inc 複数のvhf源を用いるイオン分布均一性制御器を備えたプラズマリアクタ
US20090255800A1 (en) * 2008-03-31 2009-10-15 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and computer readable storage medium
JP2010532549A (ja) * 2007-06-29 2010-10-07 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 強化された電荷中和及びプロセス制御を具えたプラズマ処理
US20110031216A1 (en) * 2009-08-07 2011-02-10 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP2011172410A (ja) * 2010-02-19 2011-09-01 Mitsubishi Electric Corp デジタル制御電源装置

Family Cites Families (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4314879A (en) 1979-03-22 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Production of field-reversed mirror plasma with a coaxial plasma gun
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS6113625A (ja) * 1984-06-29 1986-01-21 Hitachi Ltd プラズマ処理装置
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5645796A (en) 1990-08-31 1997-07-08 Abtox, Inc. Process for plasma sterilizing with pulsed antimicrobial agent treatment
US5084239A (en) 1990-08-31 1992-01-28 Abtox, Inc. Plasma sterilizing process with pulsed antimicrobial agent treatment
US5244629A (en) 1990-08-31 1993-09-14 Caputo Ross A Plasma sterilizing process with pulsed antimicrobial agent pretreatment
US5254237A (en) * 1991-03-01 1993-10-19 Snaper Alvin A Plasma arc apparatus for producing diamond semiconductor devices
DE9109503U1 (ja) 1991-07-31 1991-10-17 Magtron Magneto Elektronische Geraete Gmbh, 7583 Ottersweier, De
US5202623A (en) 1992-02-26 1993-04-13 Digital Equipment Corporation Laser-activated plasma chamber for non-contact testing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
DE69408405T2 (de) * 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5917286A (en) 1996-05-08 1999-06-29 Advanced Energy Industries, Inc. Pulsed direct current power supply configurations for generating plasmas
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
WO1999063585A1 (fr) 1998-06-02 1999-12-09 Nikon Corporation Organe d'alignement de balayage, son procede de fabrication et procede de fabrication de dispositif
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP3533105B2 (ja) 1999-04-07 2004-05-31 Necエレクトロニクス株式会社 半導体装置の製造方法と製造装置
JP2000306884A (ja) 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US6441620B1 (en) 2000-06-20 2002-08-27 John Scanlan Method for fault identification in a plasma process
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
JP3670206B2 (ja) 2000-11-06 2005-07-13 アルプス電気株式会社 プラズマ処理装置又はプラズマ処理システムの性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
JP3670209B2 (ja) 2000-11-14 2005-07-13 アルプス電気株式会社 プラズマ処理装置の性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6726804B2 (en) 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
JP4270872B2 (ja) 2001-03-16 2009-06-03 東京エレクトロン株式会社 インピーダンスをモニターするシステム並びに方法
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US7678705B2 (en) * 2001-07-05 2010-03-16 Tegal Corporation Plasma semiconductor processing system and method
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
CN1305353C (zh) 2001-12-10 2007-03-14 东京毅力科创株式会社 高频电源及其控制方法、和等离子体处理装置
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US6826489B2 (en) 2002-02-14 2004-11-30 Scientific Systems Research Limited Fault classification in a plasma process chamber
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
WO2003102724A2 (en) 2002-05-29 2003-12-11 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040000385A1 (en) 2002-06-26 2004-01-01 Ratte Robert W. Fishing sinker
WO2004003822A1 (en) 2002-06-28 2004-01-08 Tokyo Electron Limited Controlling a material processing tool and performance data
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
TWI259546B (en) 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
WO2004003968A2 (en) 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TW200420201A (en) 2002-12-16 2004-10-01 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
JP4388287B2 (ja) 2003-02-12 2009-12-24 東京エレクトロン株式会社 プラズマ処理装置及び高周波電力供給装置
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
WO2004105101A2 (en) 2003-05-16 2004-12-02 Tokyo Electron Limited A process system health index and method of using the same
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7867457B2 (en) 2003-06-20 2011-01-11 Drexel University Plasma reactor for the production of hydrogen-rich gas
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7015414B2 (en) 2003-09-30 2006-03-21 Tokyo Electron Limited Method and apparatus for determining plasma impedance
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US20050217795A1 (en) * 2004-03-30 2005-10-06 Armen Avoyan Method of plasma etch endpoint detection using a V-I probe diagnostics
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
US7430496B2 (en) 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
EP1803142A1 (en) 2004-09-24 2007-07-04 Zond, Inc. Apparatus for generating high-current electrical discharges
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US9607719B2 (en) 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
ATE441203T1 (de) * 2005-06-10 2009-09-15 Bird Technologies Group Inc System und verfahren zur analyse des stromflusses in halbleiter-plasmaerzeugungssystemen
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
EP1783904B1 (de) * 2005-10-17 2008-04-16 HÜTTINGER Elektronik GmbH + Co. KG HF-Plasmaversorgungseinrichtung
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
TWI425767B (zh) 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8920600B2 (en) 2006-08-22 2014-12-30 Mattson Technology, Inc. Inductive plasma source with high coupling efficiency
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US20080119055A1 (en) * 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US7771606B2 (en) 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
US7737042B2 (en) 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US8073646B2 (en) 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
CN101295345B (zh) 2007-04-29 2010-06-16 晨星半导体股份有限公司 射频识别读取装置
US7589473B2 (en) 2007-08-06 2009-09-15 Plasma Surgical Investments, Ltd. Pulsed plasma device and method for generating pulsed plasma
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US7965805B2 (en) * 2007-09-21 2011-06-21 Qualcomm Incorporated Signal generator with signal tracking
JP5026916B2 (ja) 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
DK2599506T3 (en) 2007-11-06 2018-10-08 Creo Medical Ltd Microwave Plasma Masterization Applicator
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8324525B2 (en) 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
KR101510775B1 (ko) * 2008-11-24 2015-04-10 삼성전자주식회사 동기식 펄스 플라즈마 에칭 장비
JP5141519B2 (ja) 2008-12-02 2013-02-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の運転方法
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US9305750B2 (en) 2009-06-12 2016-04-05 Lam Research Corporation Adjusting current ratios in inductively coupled plasma processing systems
KR101315950B1 (ko) * 2009-06-24 2013-10-08 엘지전자 주식회사 플라즈마 증착 장치 및 이 장치를 이용한 박막 제조 방법
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8901004B2 (en) 2009-07-27 2014-12-02 Lam Research Corporation Plasma etch method to reduce micro-loading
JP5642181B2 (ja) 2009-08-21 2014-12-17 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 基体を処理する装置及び基体の処理方法
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
JP2013511814A (ja) 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
JP2012033409A (ja) 2010-07-30 2012-02-16 Origin Electric Co Ltd 直流プラズマ用逆極性パルス発生回路及び直流プラズマ電源装置
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US9907908B2 (en) 2011-03-08 2018-03-06 Baxter International Inc. Non-invasive radio frequency medical fluid level and volume detection system and method
CA2742060C (en) 2011-05-31 2013-09-10 Vln Advanced Technologies Inc. Reverse-flow nozzle for generating cavitating or pulsed jets
US8692467B2 (en) 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
US8872429B2 (en) 2011-07-28 2014-10-28 Kirk Rosener Pulsed plasma generator
US8974684B2 (en) 2011-10-28 2015-03-10 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9514959B2 (en) 2012-10-30 2016-12-06 American Air Liquide, Inc. Fluorocarbon molecules for high aspect ratio oxide etch
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0883776A (ja) * 1994-09-13 1996-03-26 Aneruba Kk 表面処理装置
JP2004158247A (ja) * 2002-11-05 2004-06-03 Sharp Corp プラズマ処理装置およびプラズマ処理方法
JP2008252067A (ja) * 2007-01-30 2008-10-16 Applied Materials Inc 複数のvhf源を用いるイオン分布均一性制御器を備えたプラズマリアクタ
JP2010532549A (ja) * 2007-06-29 2010-10-07 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 強化された電荷中和及びプロセス制御を具えたプラズマ処理
US20090255800A1 (en) * 2008-03-31 2009-10-15 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and computer readable storage medium
JP2009246091A (ja) * 2008-03-31 2009-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US20110031216A1 (en) * 2009-08-07 2011-02-10 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP2011172410A (ja) * 2010-02-19 2011-09-01 Mitsubishi Electric Corp デジタル制御電源装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014082205A (ja) * 2012-10-16 2014-05-08 Advanced Micro Fabrication Equipment Inc Shanghai プラズマ処理方法およびプラズマ処理装置
US9275870B2 (en) 2012-10-16 2016-03-01 Advanced Micro-Fabrication Equipment Inc, Shanghai Plasma processing method and plasma processing device
JP2017073247A (ja) * 2015-10-06 2017-04-13 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
TWI711083B (zh) * 2015-10-06 2020-11-21 日商東京威力科創股份有限公司 電漿處理裝置之阻抗匹配用的方法
JP2019053978A (ja) * 2017-09-13 2019-04-04 ラム リサーチ コーポレーションLam Research Corporation イオンの方向性を増大させるためのマルチレジームプラズマウエハ処理
JP7195810B2 (ja) 2017-09-13 2022-12-26 ラム リサーチ コーポレーション イオンの方向性を増大させるためのマルチレジームプラズマウエハ処理

Also Published As

Publication number Publication date
JP6420528B2 (ja) 2018-11-07
US20160044775A1 (en) 2016-02-11
TWI640226B (zh) 2018-11-01
KR102130921B1 (ko) 2020-08-05
CN103298235A (zh) 2013-09-11
US10231321B2 (en) 2019-03-12
SG193130A1 (en) 2013-09-30
KR102025950B1 (ko) 2019-09-26
TW201410080A (zh) 2014-03-01
TW201737763A (zh) 2017-10-16
KR20130096678A (ko) 2013-08-30
US20130213573A1 (en) 2013-08-22
US9197196B2 (en) 2015-11-24
CN103298235B (zh) 2017-04-12
TWI640225B (zh) 2018-11-01
KR20190112254A (ko) 2019-10-04

Similar Documents

Publication Publication Date Title
JP6420528B2 (ja) 状態に基づいた電力および周波数の調整
US11361942B2 (en) Adjustment of power and frequency based on three or more states
JP6400272B2 (ja) インピーダンスに基づいた電力および周波数の調整
US9673026B2 (en) Edge ramping
KR102165741B1 (ko) 세 개 이상의 상태에 기초한 전력 및 주파수의 조절
JP2013191554A5 (ja)
JP2014089945A5 (ja)

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20140404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160222

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160222

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170502

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171024

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180424

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20181002

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181012

R150 Certificate of patent or registration of utility model

Ref document number: 6420528

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250