TW201737763A - 電力及頻率之基於狀態的調整 - Google Patents

電力及頻率之基於狀態的調整 Download PDF

Info

Publication number
TW201737763A
TW201737763A TW106125416A TW106125416A TW201737763A TW 201737763 A TW201737763 A TW 201737763A TW 106125416 A TW106125416 A TW 106125416A TW 106125416 A TW106125416 A TW 106125416A TW 201737763 A TW201737763 A TW 201737763A
Authority
TW
Taiwan
Prior art keywords
power
state
signal
pulse signal
main
Prior art date
Application number
TW106125416A
Other languages
English (en)
Other versions
TWI640226B (zh
Inventor
C 微寇爾小約翰
J 琳戴克布萊佛
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/531,491 external-priority patent/US9114666B2/en
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201737763A publication Critical patent/TW201737763A/zh
Application granted granted Critical
Publication of TWI640226B publication Critical patent/TWI640226B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02JCIRCUIT ARRANGEMENTS OR SYSTEMS FOR SUPPLYING OR DISTRIBUTING ELECTRIC POWER; SYSTEMS FOR STORING ELECTRIC ENERGY
    • H02J3/00Circuit arrangements for ac mains or ac distribution networks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03JTUNING RESONANT CIRCUITS; SELECTING RESONANT CIRCUITS
    • H03J7/00Automatic frequency control; Automatic scanning over a band of frequencies
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)

Abstract

電力及頻率基於狀態的調整之系統和方法。一個系統的一個主產生器,包含一個主電源供應器,用於供給一個主射頻(RF)訊號至一電極。該主產生器更包含一個自動頻率控制器(AFC),用以在一脈衝訊號在一第一狀態時將一第一頻率輸入提供至該主電源供應器。該系統的一個副產生器,包含一個副電源供應器,用於供給一個副RF訊號至該電極。該副產生器亦包含一AFC,以在該脈衝訊號在該第一狀態時將一第二頻率輸入提供至該副電源供應器。該副產生器包含一AFC,以在該脈衝訊號係在一第二狀態時將一第三頻率輸入提供至該副電源供應器。該系統包含一數位脈衝源,用於產生該脈衝訊號。

Description

電力及頻率之基於狀態的調整
本發明係關於改進對於電漿阻抗變化的響應時間,且更具體而言,係關於基於狀態調整電力及頻率之設備、方法、和電腦程式。
在若干電漿處理系統中,將多個射頻(RF)訊號提供至電漿腔室之內的一個以上電極。RF訊號有助於在該電漿腔室之內產生電漿。該電漿係用於多種的操作,例如清潔置於一下電極之上的基板、蝕刻該基板等等。
在產生RF訊號的RF供應器和電漿腔室之間,通常設置一阻抗匹配電路。該阻抗匹配電路將負載(例如電漿腔室內的電漿)的阻抗與來源(RF電源供應器)的阻抗匹配。然而,在一些狀況中,阻抗匹配無法快到足以響應電漿阻抗的變化。
在此背景下產生本揭露內容中所述的實施例。
此揭露內容的實施例提供電力及頻率之基於狀態調整的設備、方法、及電腦程式。應了解的是,本實施例可以多種方式加以實施,例如製程、設備、系統、裝置、或一電腦可讀媒體上的方法。以下描述一些實施例。
在一個實施例中,隨著數位脈衝訊號的變化,將多個RF來源所產生的RF訊號的功率及/或頻率加以改變。舉例來說,當該數位脈衝訊號的狀態係S1,一第一RF來源產生具有第一功率值及第一頻率的功率訊號,且一第二RF來源產生具有第二功率值及第二頻率的功率訊號。接收狀態S1,觸發具有第一功率值且具有第一頻率之功率訊號的產生,以及具有第二功率值且具有第二頻率之功率訊號的產生。在這個例子中,當該數位脈衝訊號的狀態係S0時,該第一RF來源產生具有第三功率值及第三頻率的功率訊號,該第三頻率可能與第一頻率相同或不相同。此外,在這個例子中,當該數位脈衝訊號的狀態係S0時,該第二RF來源產生具有第四功率值及第四頻率的功率訊號,該第四頻率可能與該第二頻率相同或不相同。接收狀態S0,觸發產生具有第三功率值且具有第三頻率的功率訊號,以及產生具有第四功率值且具有第四頻率的功率訊號。該觸發導致對電漿腔室之內電漿組抗變化響應的較少響應時間。在一個實施例中,該第二及第四功率值係相同。
在一實施例中,一個系統包含一個主產生器,連接至一電極。該主產生器包含用於供給一個主射頻(RF)訊號至該電極的一個主電源供應器。該主產生器更包含用以在一脈衝訊號在一第一狀態時將一第一頻率輸入提供至該主電源供應器的一個自動頻率控制器(AFC)。該系統亦包含一個副產生器,連接至該電極。該副產生器包含用於供給一個副RF訊號至該電極的一個副電源供應器。該副產生器亦包括用以在該脈衝訊號在該第一狀態時將一第二頻率輸入提供至該副電源供應器的一AFC。該副產生器包含用以在該脈衝訊號在一第二狀態時將一第三頻率輸入提供至該副電源供應器的一AFC。該系統包含一數位脈衝源,用於產生該脈衝訊號。
在一個實施例中,一個系統包含一電漿腔室,該電漿腔室更包括一下電極,該下電極具有用於支持一基板的一表面。該電漿腔室包含一上電極,配置在該下電極上方。該上電極係電接地。該系統包含一個主產生器,連接至該下電極。該主產生器包含用於將一個主射頻(RF)訊號供給至該下電極的一個主電源供應器。該系統亦包含一個副產生器,連接至該下電極。該副產生器包含用於將一個副RF訊號供給至該下電極的一個副電源供應器。該系統包含一數位脈衝源,用於產生一脈衝訊號。該數位脈衝源係連接至該主產生器及該副產生器。該主產生器包含一第一自動頻率控制器(AFC),用以當該脈衝訊號在第一狀態之時將一第一頻率輸入提供至該主電源供應器。該副產生器包括一第二AFC,用以當該脈衝訊號在該第一狀態之時將一第二頻率輸入提供至該副電源供應器。此外,該副產生器包括一第三AFC,用以當該脈衝訊號在第二狀態之時將一第三頻率輸入提供至該副電源供應器。
在一個實施例中,一個系統包含一數位脈衝源,用於產生一脈衝訊號。該系統更包含一主產生器。該主產生器包含一個主電源供應器,連接至一電極,該主電源供應器用於將一個主射頻(RF)訊號供給至該電極。該主產生器包含一個主處理器,連接至該脈衝源,以接收該脈衝訊號。該主產生器係用於辨識該脈衝訊號的二個狀態的一第一者及該二個狀態的一第二者。該主產生器亦包含一電源控制器,連接至該主處理器,用以基於該脈衝訊號係在第一狀態或第二狀態而判定是否提供一主功率值至該主電源供應器。該主產生器包含一自動頻率控制器(AFC),連接至該主處理器,以從該主處理器接收狀態辨識。該AFC建構成當該脈衝訊號係在該第一狀態時提供該主RF訊號的頻率。
在此實施例中,該系統更包含一個副產生器。該副產生器包含一個副電源供應器,連接至該電極,以將一個副RF訊號供給至該電極。該副產生器包含一個副處理器,連接至該脈衝源,以接收該脈衝訊號,俾以辨識該脈衝訊號係在該第一狀態或該第二狀態。該副產生器亦包含一第一電源控制器,連接至該副處理器,用以當該脈衝訊號係在該第一狀態時提供一第一副功率值至該副電源供應器。該副產生器包含一第二電源控制器,連接至該副處理器,用以當該脈衝訊號係在該第二狀態時提供一第二副功率值至該副電源供應器。該副產生器更包含一第一AFC,連接至該副處理器,以自該副處理器接收狀態辨識。該第一AFC建構成當該脈衝訊號係在該第一狀態時提供該副RF訊號的一第一頻率輸入。該副產生器包含一第二AFC,連接至該副處理器,以自該副處理器接收狀態辨識。該第二AFC建構成當該脈衝訊號係在該第二狀態時提供該副RF訊號的一第二頻率輸入。
在一個實施例中,一種系統包含一電漿腔室,其更包括一下電極,該下電極具有用於支持一基板的一表面。該電漿腔室包含一上電極,配置在該下電極上方。該上電極係電接地。該系統包含一數位脈衝源,用於產生一脈衝訊號,其中該脈衝訊號在二個狀態之間轉變。該系統更包含一個主產生器。該主產生器包含一個主電源供應器,連接至該下電極,以將一個主射頻(RF)訊號供給至該下電極。該主產生器更包含一個主處理器,連接至該脈衝源,以接收該脈衝訊號。該主處理器係用於辨識該脈衝訊號的該二個狀態的一第一者和該二個狀態的一第二者。該主產生器亦包含一電源控制器,連接至該主處理器,用以基於該脈衝訊號係在第一狀態或第二狀態而判定是否提供一主功率值至該主電源供應器。該主產生器包含一自動頻率控制器(AFC),連接至該主處理器,以自該主處理器接收狀態辨識。該AFC建構成用以當脈衝訊號於該第一狀態之時提供該主RF訊號的頻率。
在此實施例中,該系統更包含一個副產生器,其包括一個副電源供應器,連接至該下電極,以將一個副RF訊號供給至該下電極。該副產生器包含一個副處理器,連接至該脈衝源,以接收該脈衝訊號以辨識該脈衝訊號係在該第一狀態或該第二狀態。該副產生器更包含一第一電源控制器,連接至該副處理器,俾以當該脈衝訊號係在該第一狀態時提供一第一副功率值至該副電源供應器。該副產生器亦包含一第二電源控制器,連接至該副處理器,俾以當該脈衝訊號係在該第二狀態時提供一第二副功率值至該副電源供應器。該副產生器包含一第一AFC,連接至該副處理器,以自該副處理器接收狀態辨識。該第一AFC建構成當該脈衝訊號係在該第一狀態時提供該副RF訊號的頻率。該副產生器包含一第二AFC,連接至該副處理器,以自該副處理器接收狀態辨識。該第二AFC建構成當該脈衝訊號係在該第二狀態時提供該副RF訊號的頻率。
在一個實施例中,一種系統包含一數位脈衝源,用於產生一脈衝訊號。該系統包含一個主產生器。該主產生器亦包含一個主電源供應器,連接至一電極,以將一個主射頻(RF)訊號供給至該電極。該主產生器包含一個主處理器,連接至該脈衝源,以接收該脈衝訊號。該主處理器係用於辨識該脈衝訊號的二個狀態的一第一者和該二個狀態的一第二者。該主產生器包含一第一主電源控制器,連接至該主處理器,用以在該脈衝訊號係在第一狀態之時將一第一主功率值提供至該主電源供應器。該主產生器亦包含一第二主電源控制器,連接至該主處理器,用以在該脈衝訊號係在第二狀態之時將一第二主功率值提供至該主電源供應器。該主產生器包含一第一主自動頻率控制器(AFC),連接至該主處理器,以自該主處理器接收狀態辨識。該第一AFC建構成用以當脈衝訊號於該第一狀態之時提供一第一主頻率輸入至該主RF訊號。該主產生器包含一第二主AFC,連接至該主處理器,以自該主處理器接收狀態辨識。該第二主AFC建構成用以當脈衝訊號於該第二狀態之時提供一第二主頻率輸入至該主RF訊號。
在此實施例中,該系統包含一個副產生器。該副產生器包含一個副電源供應器,連接至該電極,以供給一個副RF訊號至該電極。該副產生器更包含一個副處理器,連接至該脈衝源,以接收該脈衝訊號,俾以辨識該脈衝訊號係在該第一狀態或該第二狀態。該副產生器包含一第一副電源控制器,連接至該副處理器,用以在該脈衝訊號係在該第一狀態之時將一第一副功率值提供至該副電源供應器。該副產生器包含一第二副電源控制器,連接至該副處理器,用以在該脈衝訊號係在該第二狀態之時將一第二副功率值提供至該副電源供應器。該副產生器包含一第一副AFC,連接至該副處理器,以自該副處理器接收狀態辨識。該第一副AFC建構成用以當脈衝訊號於該第一狀態之時提供一第一副頻率輸入至該副RF訊號。該副產生器包含一第二副AFC,連接至該副處理器,以自該副處理器接收狀態辨識。該第二副AFC建構成用以當脈衝訊號於該第二狀態之時提供一第二副頻率輸入至該副RF訊號。
在一個實施例中,一種系統,包含一電漿腔室,其包括一下電極,該下電極具有用於支持一基板的一表面。該電漿腔室包含一上電極,配置在該下電極上方。該上電極係電接地。該系統包含一數位脈衝源,用於產生一脈衝訊號。該脈衝訊號在二個狀態之間轉變。該系統包含一主產生器。該主產生器包含一個主電源供應器,連接至該下電極,以將一個主射頻(RF)訊號供給至該下電極。該主產生器更包含一個主處理器,連接至該脈衝源,以接收該脈衝訊號。該主處理器係用於辨識該脈衝訊號的該二個狀態的一第一者和該二個狀態的一第二者。該主產生器包含一第一主電源控制器,連接至該主處理器,用以在該脈衝訊號係在第一狀態之時將一第一主功率值提供至該主電源供應器。該主產生器更包含一第二主電源控制器,連接至該主處理器,用以在該脈衝訊號係在第二狀態之時將一第二主功率值提供至該主電源供應器。該主產生器亦包含一第一主自動頻率控制器(AFC),連接至該主處理器,以自該主處理器接收狀態辨識。該第一AFC建構成用以當脈衝訊號於該第一狀態之時提供該主RF訊號的頻率。該主產生器包含一第二主AFC,連接至該主處理器,以自該主處理器接收狀態辨識。該第二主AFC建構成用以當該脈衝訊號於該第二狀態之時提供該主RF訊號的頻率。
在此實施例中,該系統包含一個副產生器,該副產生器更包含一個副電源供應器,其連接至該下電極,以供給一個副RF訊號至該下電極。該副產生器包含一個副處理器,連接至該脈衝源,以接收該脈衝訊號,俾以辨識該脈衝訊號係在該第一狀態或該第二狀態。該副產生器更包含一第一副電源控制器,連接至該副處理器,用以在該脈衝訊號係在該第一狀態之時將一第一副功率值提供至該副電源供應器。該副產生器包含一第二副電源控制器,連接至該副處理器,用以在該脈衝訊號係在該第二狀態之時將一第二副功率值提供至該副電源供應器。該副產生器更包含一第一副AFC,連接至該副處理器,以自該副處理器接收狀態辨識。該第一副AFC建構成用以當脈衝訊號於該第一狀態之時提供該副RF訊號的頻率。該副產生器包含一第二副AFC,連接至該副處理器,以自該副處理器接收狀態辨識,該第二副AFC建構成用以當脈衝訊號於該第二狀態之時提供該副RF訊號的頻率。
在一實施例中,一種方法包含接收一數位脈衝訊號。該數位脈衝訊號具有二狀態。該方法更包含從當該數位脈衝訊號係在該二狀態的一第一者時施加一第一頻率輸入至一主RF電源供應器,切換至當該數位脈衝訊號係在該二狀態的一第二者時施加一第二頻率輸入至該主RF電源供應器。該方法包含當該數位脈衝訊號係在該第一狀態時,判定一第三頻率輸入以施加至一個副RF電源供應器。
上述實施例的若干優點,包含降低對一電漿腔室之內的電漿阻抗的改變響應的響應時間。舉例來說,當例如電晶體-電晶體邏輯(TTL)訊號之狀態訊號係用以控制由多個RF電源供應器所供應的頻率及/或功率時,該等RF供應器的一第一者不需要時間來響應該等RF供應器的一第二者於功率及/或頻率的變化。通常,當對第一RF供應器的頻率及/或功率輸入被改變時,在電漿阻抗上有所變化,且第一RF供應器對此阻抗上的變化反應。此反應需要時間,這對在電漿腔室之內執行的例如蝕刻、沉積、清潔等等製程造成負面影響。當RF供應器係以預定頻率及/或預定功率對狀態訊號的狀態變化反應,用以對電漿阻抗變化反應的時間降低。此在時間上的減少造成對製程負面影響之時間的降低。
其他實施態樣透過以下詳細說明以及隨附圖式,將更為明白。
以下實施例描述用於基於狀態調整電力及頻率的系統和方法。將顯而易見的是,本實施例可在沒有若干或全部這些特定細節的狀況下實施。另一方面,眾所周知的製程操作不再詳細描述,以避免不必要地混淆本實施例。
圖1係系統180實施例的方塊圖,系統180係用於降低按照電漿阻抗的變化調整電源控制器及/或頻率調諧器的時間量。一個2百萬赫茲(MHz)電源供應器將RF功率經由阻抗匹配電路182供應至電漿腔室102的下電極104。類似地,一個60 MHz電源供應器將RF功率經由阻抗匹配電路186供應至該下電極104。應注意的是,在一實施例中,使用27 MHz電源而非60 MHz電源,提供RF功率至下電極104。此外,應注意的是,數值2 MHz、27 MHz、及60 MHz係提供作為範例,且係非限定性的。舉例來說,可使用2.5 MHz電源供應器替代2 MHz電源供應器,並且可使用65 MHz電源供應器替代60 MHz電源供應器。在另一實施例中,除了2 MHz電源和60 MHz電源之外,使用27 MHz電源提供RF功率至下電極104。
一阻抗匹配電路包含電路元件,例如電感器、電容器等等,以將耦合至阻抗匹配電路的電源阻抗與耦合至阻抗匹配電路的負載阻抗匹配。舉例來說,阻抗匹配電路182將2 MHz電源供應器的阻抗與電漿腔室102之內所產生電漿的阻抗匹配。作為另一範例,阻抗匹配電路186將60 MHz電源供應器的阻抗與電漿腔室102之內所產生電漿的阻抗匹配。作為又另一範例,阻抗匹配電路182將2 MHz電源供應器的阻抗與電漿腔室102一部分(例如電漿和下電極104)的阻抗匹配。在一個實施例中,將阻抗匹配電路調整,以促進耦合至阻抗匹配電路的RF電源供應器的阻抗與一第一負載的阻抗之間的匹配。電源和負載之間的阻抗匹配,降低功率自負載朝向電源反射的機率。
電漿腔室102包含下電極104、上電極110、及其他構件(未顯示),例如圍繞上電極110的上介電環、圍繞上介電環的下電極延伸部、圍繞下電極的下介電環、圍繞下介電環的下電極延伸部、上電漿排除區域(PEZ)環、下PEZ環等等。上電極110係配置成與下電極104為相反側且面向下電極104。例如半導體晶圓的基板108係由下電極104的上表面106所支撐。例如特定用途積體電路(ASIC)、可程式邏輯元件(PLD)等等之積體電路在基板108之中產生,且該積體電路係使用於各種裝置,例如手機、平板電腦、智慧型手機、電腦、膝上型電腦、網路設備等等。下電極係由金屬所構成,例如陽極化鋁(anodized aluminum)、鋁合金等等。此外,上電極110係由金屬所構成,例如鋁、鋁合金等等。
在一個實施例中,上電極110包含連接至中央氣體饋入部(未顯示)的一孔。中央氣體饋入部自氣體供應器(未顯示)接收一種以上製程氣體。製程氣體的例子包含含氧氣體,例如O2 。製程氣體的其他範例包含含氟氣體,例如四氟甲烷(CF4 )、六氟化硫(SF6 )、六氟乙烷(C2 F6 )等等。上電極110係接地。下電極104係經由阻抗匹配電路182連接至2 MHz RF電源供應器,且經由阻抗匹配電路186連接至60 MHz RF電源供應器。
當將製程氣體供應至上電極110及下電極104之間,且當例如2 MHz電源供應器及/或60 MHz電源供應器之電源供應器經由一對應的阻抗匹配電路供應功率至下電極104,製程氣體被點燃而在電漿腔室102之內產生電漿。舉例來說,2 MHz供應器經由阻抗匹配電路182供應功率,以點燃製程氣體而產生電漿。
在一電腦(未顯示)上的工具使用者介面(UI)190係用以產生一電晶體-電晶體邏輯(TTL)訊號112,其係一數位脈衝訊號。在一個實施例中,電腦包含一TTL電路。當使用於此處,替代電腦,可使用處理器、控制器、ASIC、或PLD,且這些術語於此處係可互換使用的。TTL訊號112包含狀態S1及S0。TTL訊號112具有50%的工作週期(duty cycle)。在一個實施例中,TTL訊號112具有5%至95%之範圍的工作週期。狀態S1的範例包含一開啟狀態、具有值1的狀態、或高狀態。狀態S0的範例包含一關閉狀態、具有值0的狀態、或低狀態。高值係大於低值。
在另一實施例中,替代電腦,使用例如晶體振盪器的時脈振盪器以產生類比時脈訊號,其係藉由一類比至數位轉換器轉換為類似TTL訊號112的數位訊號。舉例來說,晶體振盪器係藉由施加一電壓至接近晶體振盪器或在晶體振盪器上的電極而在一電場中振盪。
TTL訊號112係傳送至數位訊號處理器(DSP)140及另一DSP 150。DSP 140和150每一者接收TTL訊號112且辨識TTL訊號112的狀態S0及S1。舉例來說,DSP 140分辨狀態S0和S1。作為另一範例,DSP 140判定TTL訊號112在第一組時間週期中具有第一量值,且在一第二組時間週期中具有一第二量值。DSP 140判定TTL訊號112在第一組時間週期中具有狀態S1,且在第二組時間週期中具有狀態S0。作為又另一範例,DSP 140將TTL訊號112的量值與一預儲存數值比較,以判定TTL訊112的量值在第一組時間週期中大於該預儲存數值以及在TTL訊號的狀態S0期間的該量值在第二組時間週期期間不大於該預儲存數值。在使用時脈振盪器的實施例中,DSP 140及150每一者自時脈振盪器接收一類比時脈訊號、將該類比訊號轉換為數位型式、且接著辨識二個狀態S0及S1。
DSP 140及150每一者將狀態S0及S1儲存於DSP之內的一個以上記憶體裝置的記憶體位置之中。記憶體裝置的範例包含隨機存取記憶體(RAM)及唯獨記憶體(ROM)。記憶體裝置可為快取記憶體、硬碟、儲存裝置、電腦可讀媒體等等。
DSP 140及150每一者將來自對應記憶體位置的經辨識的狀態S0及S1,提供至對應的自動頻率調諧器(AFT)114、118、及120以及對應的電源控制器142、152、及154。舉例來說,DSP 140指示AFT 114及電源控制器142 TTL訊號112在工作週期的時間t1及t2之間係在狀態S1。作為另一範例,DSP 150指示AFT 118及電源控制器152 TTL訊號112在工作週期的時間t1及t2之間係在狀態S1。作為又另一範例,DSP 150指示AFT 120及電源控制器154 TTL訊號112在工作週期的時間t2及t3之間係在狀態S0。術語調諧器和控制器此處係可互換地使用。AFT的範例係提供於美國專利第6,020,794號,其藉由參照全部包含於此處作為揭示內容的一部分。
AFT 114、118、及120每一者基於TTL訊號112的狀態判定一頻率值,且電源控制器142、152、及154每一者基於TTL訊號112的狀態判定一功率值。舉例來說,當TTL訊號112的狀態係S1時AFT 114判定提供頻率值F11至2 MHz電源供應器,並且當TTL訊號112的狀態係S1時電源控制器142判定提供功率值P11至2 MHz電源供應器。作為另一範例,當TTL訊號112的狀態係S1時AFT 118判定提供頻率值F21至60 MHz電源供應器,並且當TTL訊號112的狀態係S0時提供頻率值F20至60 MHz電源供應器。作為又另一範例,電源控制器150判定當TTL訊號112的狀態係S0時提供功率值P20至60 MHz電源供應器,且當TTL訊號112的狀態係S1時提供功率值P21至60 MHz電源供應器。
在一個實施例中,基於訓練程序(training routine)產生頻率值F21及功率值P21。在訓練程序期間,當2 MHz電源供應器將其RF功率訊號自一低功率值改變至一高功率值,在電漿腔室102內的一個以上部分及60 MHz電源供應器之間存在阻抗不匹配。該高功率值係高於該低功率值。當供應至2 MHz RF電源供應器的TTL訊號112的狀態或時脈訊號的狀態自S0改變至S1,該2 MHz電源供應器改變其RF功率訊號。在這個實例中,當2 MHz電源供應器開始於高功率值供應電力時,60 MHz電源供應器調整其頻率及功率。為了降低阻抗不匹配,60 MHz電源供應器開始調整(例如收斂)至一頻率值和一功率值。該收斂可藉由DSP 150基於標準差或另外技術而加以判定。為了給予60 MHz電源供應器更多時間來收斂至該頻率值及功率值,2 MHz電源供應器係維持於該高功率值較通常時間週期延長的時間週期。該通常時間週期係其中未降低(例如消除)阻抗不匹配的時間量。通常時間週期的範例係等於TTL訊號112的半個循環。當60 MHz電源供應器收斂至該頻率值及功率值,該收斂的頻率值係在AFT 118內儲存為頻率值F21,且收斂的功率值係在電源控制器152之內儲存為功率值P21。類似地,在訓練程序期間,產生頻率值F20及F11以及功率值P11及P20。頻率值F20係儲存於AFT 120之中,頻率值F11係儲存於AFT 114之中,功率值P11係儲存於電源控制器142之中,且功率值P20係儲存於電源控制器154之中。
當TTL訊號112的狀態係S1時,電源控制器142提供功率值P11至2 MHz電源供應器,且電源供應器152提供功率值P21至60 MHz控制器。在狀態S1期間,AFT 114提供頻率值F11至2 MHz電源供應器且AFT 118提供頻率值F21至60 MHz電源供應器。
此外,在一個實施例中,當TTL訊號112的狀態係S1時,電源控制器154限制其自身提供功率值P20至60 MHz電源供應器。此外,在這個實施例,AFT 120限制其自身提供頻率值F20至60 MHz電源供應器。
在一實施例中,在狀態S1期間,在提供功率值P21和頻率值F21給60 MHz電源供應器的同時,將功率值P11和頻率值F11提供給2 MHz電源供應器。舉例來說,在狀態S1中,在與提供功率值P21和頻率值F21給60 MHz電源供應器之相同的TTL訊號112的時脈邊緣,將功率值P11和頻率值F11提供給2 MHz電源供應器。
在一個實施例中,於狀態S1期間,在與提供功率值P21和頻率值F21給60 MHz電源供應器之幾乎相同的時間,將功率值P11和頻率值F11提供給2 MHz電源供應器。舉例來說,在狀態S1中,在發生TTL訊號112的時脈邊緣之前或之後的幾分之一秒之內,例如在幾微秒、毫秒、奈秒等等之後,將功率值P11和頻率值F11提供給2 MHz電源供應器。在此範例中,在時脈邊緣發生時,將功率值P21及頻率值F21提供給60 MHz電源供應器。
在狀態S1期間該2 MHz電源供應器接收頻率值F11和功率值P11。在接收值F11和P11之後,2 MHz電源供應器隨即產生頻率F11的RF功率,且該RF功率具有功率值P11。此外,60 MHz電源供應器在狀態S1期間接收頻率值F21和功率值P21。在接收值F21和P21之後,60 MHz電源供應器產生頻率F21的RF功率,且該RF功率具有功率值P21。
在一個實施例中,RF電源供應器包含一驅動器,其之後有一放大器。該放大器提供經由一傳輸線而至電漿腔室102之前向功率。舉例來說,2 MHz電源供應器的放大器提供經由傳輸線230及阻抗匹配電路182而至電漿腔室102的前向功率,該前向功率具有與功率值P11成正比(例如相同、倍數等等)的功率值,且具有頻率值F11。作為另一範例,60 MHz電源供應器的放大器提供具有與功率值P21成正比的功率值且具有頻率值F21的前向功率,經由傳輸線232及阻抗匹配電路186而至電漿腔室102。
當TTl訊號112的狀態係S0,沒有功率值提供至2 MHz電源供應器,且電源控制器154提供功率值P20至60 MHz控制器。在狀態S0期間,沒有頻率值提供至2 MHz電源供應器,且AFT 120提供頻率值F20至60 MHz電源供應器。
此外,在一個實施例中,當TTL訊號112的狀態係S0,電源控制器142限制自身提供功率值P11至2 MHz電源供應器,並且電源控制器152限制自身提供功率值P21至60 MHz電源供應器。此外,在這個實施例中,AFT 114限制自身提供頻率值F11至2 MHz電源供應器,且AFT 118限制自身提供頻率值F21至60 MHz電源供應器。
在一個實施例中,在狀態S0期間,在提供功率值P20和頻率值F20至60 MHz電源供應器的同時,不提供功率及頻率值至2 MHz電源供應器。舉例來說,在狀態S1中,在與提供功率值P20和頻率值F20至60 MHz電源供應器相同的TTL訊號112的時脈邊緣,提供功率值0和頻率值0至2 MHz電源供應器。
2 MHz電源供應器在狀態S0期間沒有接收任何頻率和功率值,例如接收頻率值0和功率值0。在沒有接收功率和頻率值時,2 MHz電源供應器產生於頻率零之RF功率及具有功率值零的RF功率。此外,60 MHz電源供應器在狀態S0期間接收頻率值F20和功率值P20。在接收值F20及P20時,60 MHz電源供應器隨即產生頻率F20的RF功率及RF功率具有功率值P20。
2 MHz電源供應器的放大器不供應經由傳輸線230和阻抗匹配電路182而至電漿腔室102的前向功率(例如供應具有功率值零且具有頻率值零的前向功率)。60 MHz電源供應器的放大器供應具有與功率值P20成正比的功率值且具有頻率值F20的前向功率,其經由傳輸線232和阻抗匹配電路186而至電漿腔室102。
在一個實施例中,在狀態S1和S0其中一者或二者期間,感測器210感測在傳輸線230之上的反射功率,該反射功率係反射自電漿腔室102的電漿的RF功率。此外,在狀態S1和S0其中一者或二者期間,當前向功率自2 MHz RF電源供應器經由傳輸線230傳送至電漿腔室102之時,感測器210在傳輸線230之上感測前向功率。類似地,在狀態S1和S0其中一者或二者期間,感測器212感測自電漿腔室102之電漿的反射功率。由感測器212所感測的反射功率係在傳輸線232之上自電漿腔室102的電漿所反射。此外,在狀態S1和S0其中一者或二者期間,當前向功率自60 MHz RF電源供應器經由傳輸線232傳送至電漿腔室102之時,感測器212感測在傳輸線232之上的前向功率。
類比至數位轉換器(ADC)220將由感測器210所感測的反射功率訊號和前向功率訊號自類比型式轉換為數位形式,並且ADC 222將感測器212所感測的反射功率訊號和前向功率訊號自類比型式轉換為數位形式。在狀態S1和S0其中一者或二者期間,DSP 140接收由感測器210所感測的反射功率訊號和前向功率訊號的數位值,並且DSP 150接收由感測器212所感測的反射功率訊號和前向功率訊號的數位值。DSP 140在狀態S1和S0其中一者或二者期間計算一關係,例如數位反射功率訊號和數位前向功率訊號的比例、電壓駐波比(VSWR)等等,以產生Γ值。Γ值1表示電源和負載阻抗之間高程度的不匹配,且Γ值0表示電源和負載阻抗之間低程度的不匹配。類似地,DSP 150計算在狀態S1和S0其中一者或二者期間數位反射功率訊號和數位前向功率訊號之間的關係,以產生Γ值。VSWR係計算為等於RC-1和RC+1的比例,其中RC係反射係數。
在狀態S1期間一Γ值由DSP 140傳送至AFT 114,且在狀態S1期間一Γ值由DSP 150傳送至AFT 118。在狀態S1期間,AFT 114基於接收自DSP 140的Γ值判定頻率值,且AFT 118基於接收自DSP 150的Γ值判定頻率值。在狀態S1期間,AFT 114基於依據該Γ值所產生的頻率值調整頻率值F11,且提供經調整的頻率值到2 MHz電源供應器。此外,在狀態S1期間,AFT 118基於依據Γ值所產生的頻率值調整頻率值F21,且提供經調整的頻率值到60 MHz電源供應器。
此外,在狀態S1期間,電源控制器142基於接收自DSP 140的Γ值判定頻率值判定一功率值,且電源控制器152基於接收自DSP 150的Γ值判定頻率值判定一功率值。在狀態S1期間,電源控制器142基於依據Γ值所產生的功率值調整功率值P11,且提供該經調整的功率值至2 MHz電源供應器。此外,在狀態S1期間,電源控制器152基於依據Γ值所產生的功率值調整功率值P21,且提供該經調整的功率值至60 MHz電源供應器。
在狀態S1期間,2 MHz電源供應器產生具有接收自AFT 114之經調整的頻率值且具有接收自電源控制器142之經調整的功率值的功率訊號,並且經由阻抗匹配電路182供應該功率訊號至電漿腔室102。類似地,在狀態S1期間,60 MHz電源供應器產生具有接收自AFT 118之經調整的頻率值且具有接收自電源控制器152之經調整的功率值的功率訊號,並且經由阻抗匹配電路186供應該功率訊號至電漿腔室102。
再者,在狀態S0期間,不提供功率值及頻率值至2 MHz電源供應器,且不使用在狀態S0期間產生的Γ值調整2 MHz電源供應器的零頻率及功率值。在狀態S0期間,AFT 120基於接收自DSP 150的Γ值判定頻率值。在狀態S0期間,AFT 120基於由Γ值所產生的頻率值調整頻率值F20,並提供經調整的頻率值至60 MHz電源供應器。此外,在狀態S0期間,電源控制器154基於接收自DSP 150的Γ值判定功率值。在狀態S0期間,電源控制器154基於依據該Γ值所產生的功率值調整功率值P20,且提供經調整的功率值至60 MHz電源供應器。在狀態S0期間,60 MHz電源供應器產生具有接收自AFT 120之經調整的頻率值且具有接收自電源控制器154的經調整的功率值的一功率訊號,且經由阻抗匹配電路186供應該功率訊號至電漿腔室102。
電源控制器142、AFT 114、及DSP 140係產生器控制器270的部件。產生器控制器270、ADC 220、感測器210、及2 MHz電源供應器係2 MHz產生器274的部件。類似地,電源控制器152、電源控制器154、AFT 118和120、及DSP 150係產生器控制器272的部件。產生器控制器272、ADC 222、感測器212、及60 MHz電源供應器係60 MHz產生器276的部件。
在一個實施例中,系統180不包括阻抗匹配電路182及/或186。在一個實施例中,使用一單一控制器替代電源控制器142及AFT 114,使用一單一控制器替代電源控制器152及AFT 118,且使用一單一控制器替代電源控制器154及AFT 120。
在一實施例中除了使用2及60 MHz電源供應器外亦使用27 MHz電源供應器,27 MHz產生器係類似於60 MHz產生器276,除了27 MHz產生器包含27 MHz電源供應器而非60 MHz電源供應器。該27 MHz產生器係經由一阻抗匹配電路(未顯示)及傳輸線(未顯示)而連接至電漿腔室102的下電極104。此外,27 MHz電源供應器係連接工具UI 190以接收TTL訊號112。27 MHz產生器包含二個電源控制器、二個AFT、一個DSP、一個ADC、一個感測器、及27 MHz電源供應器。
圖2係一實施例,其中表250顯示隨TTL訊號112狀態的變化之RF功率訊號狀態的變化,以及顯示基於Γ值的改變之功率訊號的頻率及/或功率值的調整。當TTL訊號112係在狀態S1,由2及60 MHz電源供應器所供應的功率訊號亦具有狀態S1。舉例來說,在TTL訊號112狀態變化的同時,由2 MHz電源供應器所供應的功率訊號將其狀態由S1變化至S0或由S0變化至S1。此外,在這個範例中,在TTL訊號112的狀態變化的同時,由60 MHz電源供應器所供應的功率訊號將其狀態由S1變化至S0或由S0變化至S1。作為另一範例,在TTL訊號112的狀態變化發生期間的幾乎同一時間,由2 MHz電源供應器所供應的功率訊號將其狀態由S1變化至S0或由S0變化至S1。此外,在這個範例中,在與TTL訊號112的狀態變化發生的幾乎同一時間,由60 MHz電源供應器所供應的功率訊號將其狀態由S1變化至S0或由S0變化至S1。
此外,如圖2所顯示,在狀態S1期間,基於在狀態S1期間及在狀態S0期間所產生的Γ值,調整頻率值F11及F21以及功率值P21,並且基於在狀態S0期間所產生的Γ值,調整頻率值F20及功率值P20。
圖3係系統262的實施例的示圖,系統262係用於降低依據電漿阻抗變化調整電源控制器及/或頻率調諧器的時間量,其中電源控制器及/或頻率調諧器提供非零值。系統262類似於圖1的系統180,除了系統262包含電源控制器172及AFT 264之外,電源控制器172及AFT 264每一者提供非零值。
DSP 140自一對應的記憶體位置提供經辨識的狀態S0至AFT 264以及至電源控制器172。舉例來說,DSP 140指示AFT 264及電源控制器172在工作週期的時間t2和t3之間TTL訊號112係在狀態S0。AFT 264基於TTL訊號 112的狀態判定頻率值,且功率控制器172基於TTL訊號112的狀態判定功率值。舉例來說,AFT 264判定當TTL訊號112狀態係S0時將頻率值F10提供至2 MHz電源供應器,並且電源控制器172判定當TTL訊號112狀態係S0時將功率值P10提供至2 MHz電源供應器。在一個實施例中,數值F10和P10係正值。
在訓練程序期間,頻率值F10和功率值P10係以類似於前述之方式加以產生。頻率值F10係儲存於AFT 264之中,而功率值P10係儲存於電源控制器172之中。當TTL訊號112的狀態係S0時,功率控制器172提供功率值P10至2 MHz電源供應器,且AFT 264提供頻率值F10至2 MHz電源供應器。
此外,在一個實施例中,當TTL訊號112的狀態係S1時,電源控制器172限制其自身提供功率值P10至2 MHz電源供應器。並且,在這個實施例中,AFT 264限制其自身提供頻率值F10至2 MHz電源供應器。
在一實施例中,在狀態S0期間,在與提供功率值P20和頻率值F20至60 MHz電源供應器的同時,提供功率值P10和頻率值F10至2 MHz電源供應器。舉例來說,在狀態S0,在提供功率值P20和頻率值F20至60 MHz電源供應器的相同TTL訊號112時脈邊緣期間,將功率值P10和頻率值F10提供至2 MHz電源供應器。
在一個實施例中,在狀態S0期間,在與提供功率值P20及頻率值F20至60 MHz電源供應器之幾乎相同時間,將功率值P10和頻率值F10提供至2 MHz電源供應器。舉例來說,在狀態S0中,在發生TTL訊號112的時脈邊緣之前或之後的幾分之一秒內,將功率值P10和頻率值F10提供給2 MHz電源供應器。在這個例子中,在發生該時脈邊緣期間,將功率值P20及頻率值F20提供至60 MHz電源供應器。
在狀態S0期間2 MHz電源供應器接收頻率值F10及功率值P10。在接收到值F10及P10,2 MHz電源供應器產生頻率F10的RF功率且該RF功率具有功率值P10。2 MHz電源供應器的放大器供應具有與功率值P10成正比的功率值及具有頻率值F10的前向功率,其經由傳輸線230及阻抗匹配電路182而至電漿腔室102。
在一個實施例中,在狀態S0期間,AFT 264基於接收自DSP 140的Γ值判定一頻率值。在狀態S0期間,AFT 264基於產生自Γ值的頻率值調整頻率值F10,且提供經調整的頻率值至2 MHz電源供應器。此外,在狀態S0期間,電源控制器172基於接收自DSP 140的Γ值判定一功率值。在狀態S0期間,電源控制器172基於依據Γ值產生的功率值調整功率值P10,且提供經調整的功率值至2 MHz電源供應器。此外,在狀態S0期間,2 MHz電源供應器產生具有接收自AFT 264的經調整的頻率值及具有接收自電源控制器172的經調整的功率值之功率訊號,且提供該功率訊號經由阻抗匹配電路182而至電漿腔室102。
電源控制器142和172、AFT 114和264、及DSP 140係產生器控制器290的部件。產生器控制器290、ADC 220、感測器210、及2 MHz電源供應器係2 MHz產生器292的部件。
圖4A顯示實施例圖表302、304、306、及308。圖表302、304、306、及308每一者將以千瓦(kW)為單位的功率值描繪為時間t的函數。如圖表302所示,2 MHz功率訊號,其為2 MHz電源供應器供應之功率訊號,在狀態S1期間具有功率值a1,以及在狀態S0期間具有功率值0。功率值a1係功率值P11的例子。此外,60 MHz功率訊號,其為由60 MHz電源供應器所供應的功率訊號,在狀態S1期間具有功率值a2且在狀態S0期間具有功率值a3。功率值a2係功率值P21的例子,且功率值a3係功率值P20的例子。
如圖表304所示,60 MHz功率訊號在狀態S1和S0期間具有功率值a2。此外,如圖表306所示,2 MHz訊號在狀態S0期間具有功率值a4。功率值a4係功率值P10的範例。如圖表308所示,當2 MHz訊號具有功率值a4時,60 MHz訊號具有功率值a2。
圖4B顯示實施例圖表310、312、314、及316。圖表310、312、314、及316每一者將以千瓦為單位的功率值描繪為時間t的函數。如圖表310所顯示,60 MHz訊號係自功率值a2轉變為功率值零,而非自功率值a2轉變為功率值a3(圖4A)。
此外,如圖表312所顯示,60 MHz訊號自功率值a2轉變至功率值a5,功率值a5係功率值P20的例子。如圖表314所顯示,在狀態S0期間,當2 MHz訊號具有非零功率值a4時,60 MHz訊號具有功率值零。如圖表316所顯示,在狀態S0期間,當2 MHz訊號具有非零功率值a4時,60 MHz功率訊號具有非零功率值a5。
圖5A顯示實施例圖表318、320、322、及324。圖表318、320、322、及324每一者將以千瓦為單位的功率值描繪為時間t的函數。圖表318係類似於圖表302(圖4A),圖表320係類似於圖表304(圖4A),圖表320係類似於圖表306(圖4A),且圖表322係類似於圖表308(圖4A),除了圖表318、320、322、及324包含27 MHz訊號的圖形。27 MHz訊號係產生自27 MHz產生器的27 MHz電源供應器(未顯示)。27 MHz訊號在狀態S1及S0二者期間係具有功率值a6的RF訊號。
圖5B顯示實施例圖表326、328、330、及332。圖表326、328、330、及332每一者將以千瓦為單位的功率值描繪為時間t的函數。圖表326係類似於圖表310(圖4B),圖表328係類似於圖表312(圖4B),圖表330係類似於圖表314(圖4B),且圖表332係類似於圖表316(圖4B),除了圖表326、328、330、及332包含具有功率值a6的27 MHz訊號的圖形。
圖5C顯示實施例圖表334、336、338、及340。圖表334、336、338、及340每一者將以千瓦為單位的功率值描繪為時間t的函數。圖表334係類似於圖表302(圖4A),圖表336係類似於圖表304(圖4A),圖表338係類似於圖表306(圖4A),且圖表340係類似於圖表308(圖4A),除了圖表334、336、338、及340包含27 MHz訊號的圖形。該27 MHz訊號係自在狀態S1期間具有功率值a7轉變至在狀態S0期間具有功率值a8。功率值a7係小於功率值a8。
圖5D顯示實施例圖表342、344、346、及348。圖表342、344、346、及348每一者將以千瓦為單位的功率值描繪為時間t的函數。圖表342係類似於圖表310(圖4B),圖表344係類似於圖表312(圖4B),圖表346係類似於圖表314(圖4B),且圖表348係類似於圖表316(圖4B),除了圖表342、344、346、及348包含具有功率值a7及a8的27 MHz訊號的圖形。
圖5E顯示實施例圖表350、352、354、及356。圖表350、352、354、及356每一者將以千瓦為單位的功率值描繪為時間t的函數。圖表350係類似於圖表302(圖4A),圖表352係類似於圖表304(圖4A),圖表354係類似於圖表306(圖4A),且圖表356係類似於圖表308(圖4A),除了圖表350、352、354、及356包含27 MHz訊號的圖形。該27 MHz訊號從在狀態S1期間具有功率值a9轉變至在狀態S0期間具有功率值a10。功率值a9係大於功率值a10。
圖5F顯示實施例圖表358、360、362、及364。圖表358、360、362、及364每一者將以千瓦為單位的功率值描繪為時間t的函數。圖表358係類似於圖表310(圖4B),圖表360係類似於圖表312(圖4B),圖表362係類似於圖表314(圖4B),且圖表364係類似於圖表316(圖4B),除了圖表358、360、362、及364包含具有功率值a9及a10的27 MHz訊號的圖形之外。
應注意的是,在以上顯示的圖表302、304、306、308、310、312、314、316、318、320、322、324、326、328、330、332、334、336、338、340、342、344、346、348、350、352、354、356、及358之中,將2 MHz訊號顯示為實線,將60 MHz訊號顯示為虛線,且將27 MHz訊號顯示為點線。
應注意的是,在一個實施例中,RF訊號(例如27 MHz訊號、60 MHz訊號等等)的狀態(例如高、低等等)係與另一RF訊號(例如2 MHz訊號)的狀態加以同步,而非將2 MHz訊號、27 MHz訊號、及60 MHz訊號的狀態與TTL訊號112的狀態同步。
圖6係系統311實施例的方塊圖,系統311係用於基於TTL訊號112的狀態而選擇於AFT 114及264之間。當TTL訊號112係在狀態S1,系統311的選擇邏輯128選擇AFT 114,而當TTL訊號112係在狀態S0,選擇邏輯128選擇AFT 264。選擇邏輯128的範例包含一多工器。當選擇邏輯128包含多工器,TTL訊號112係被接收於該多工器的選擇輸入處。舉例來說,TTL訊號112的一低狀態係於該多工器的一第一選擇輸入接收,而TTL訊號112的一高狀態係於該多工器的一第二選擇輸入接收。在一個實施例中,選擇邏輯128包含一處理器。在一實施例中,選擇邏輯128係實現於DSP 140之內。
當AFT 114被選擇,AFT 114提供頻率值F11至2 MHz電源供應器。類似地,當選擇AFT 264,AFT 264提供頻率值F10至2 MHz電源供應器。
2 MHz電源供應器產生2 MHz訊號,該2 MHz訊號係與接收自時脈源313的時脈訊號同步。在一個實施例中,時脈源313的時脈訊號係與TTL訊號112同步。在一個實施例中,時脈源313的時脈訊號具有與TTL訊號112幾乎相同的相位。舉例來說,時脈源313的時脈訊號的前緣係在TTL訊號112的前緣之後或之前幾分之一秒。在一個實施例中,取代來自時脈源313的時脈訊號,將TTL訊號112提供至2 MHz電源供應器。
在利用圖1描述的實施例中,取代選擇於AFT 114及264之間,在狀態S1期間選擇AFT 114,而在狀態S0期間則不選擇AFT。舉例來說,在狀態S0期間,選擇邏輯128不選擇任何AFT。
在一個實施例中,選擇邏輯128係在電源控制器142及172(圖3)之間選擇,而非AFT 114及264。當電源控制器142在狀態S1期間被選擇,電源控制器142提供功率值P11至2 MHz電源供應器,並且當電源控制器172在狀態S0期間被選擇之時,電源控制器172提供功率值P10至2 MHz電源供應器。
此外,在利用圖1所描述的實施例中,替代選擇於電源控制器142及172之間,在狀態S1期間選擇電源控制器142,且在狀態S0期間不選擇電源控制器。
在一個實施例中,將選擇邏輯128實現於60 MHz產生器276之內(圖1)。這個實施例係類似於利用圖6所描述的實施例,除了取代在AFT 114及264之間選擇,選擇邏輯128係在AFT 118及120之間選擇(圖1)。當在狀態S1期間選擇AFT 118之時,AFT 118提供頻率值F21至60 MHz電源供應器,且當在狀態S0期間選擇AFT 120之時,AFT 120提供頻率值F20至60 MHz電源供應器。此外,在這個實施例中,實現選擇邏輯128,以在電源控制器152及154之間選擇。當電源控制器152在狀態S1期間受到選擇,電源控制器152提供功率值P21至60 MHz電源供應器,且電源控制器152提供功率值P21至60 MHz電源供應器,且當在狀態S0期間選擇電源控制器154之時,電源控制器154提供功率值P20至60 MHz電源供應器。
在一個實施例中,以類似於在2 MHz產生器274(圖1)或292(圖3)之內或在60 MHz產生器276(圖1和3)之內實現選擇邏輯128的方式,在27 MHz產生器之內實現選擇邏輯128。
將任何Γ值基於狀態S1或S0藉由選擇邏輯128傳送至AFT 114或264。舉例來說,當狀態係S1時,DSP 140提供一第一Γ值至選擇邏輯128,且該第一Γ值係基於在狀態S1期間所測得的反射和前向功率加以判定。在這個例子中,在狀態S1期間已選擇AFT 114的選擇邏輯128將接收自DSP 140的第一Γ值傳送至AFT 114。作為另一範例,當狀態係S0時,DSP 140提供一第二Γ值至選擇邏輯128,且該第二Γ值係基於在狀態S0期間所測得之反射和前向功率加以判定。在這個例子中,在狀態S0期間已選擇AFT 264的選擇邏輯128將接收自DSP 140的第二Γ值傳送至AFT 264。
類似地,在使用電源控制器142及172(圖3)的實施例中,選擇邏輯128在狀態S1期間將接收自DSP 140的第一Γ值傳送至電源控制器142,並且將接收自DSP 140 的第二Γ值傳送至電源控制器172。此外,在其中使用AFT 114而不使用AFT 264的實施例中,在狀態S0期間選擇邏輯128限制其自身傳送第二Γ值。
此外,在其中選擇邏輯128係實現於60 MHz產生器276(圖1)之內且連接至電源控制器152及154的實施例之中,選擇邏輯128在狀態S1期間將接收自DSP 150的第三Γ值傳送至電源控制器152,並且在狀態S0期間將接收自DSP 150的第四Γ值傳送至電源控制器154。在這個實施例中,第三Γ值係基於在狀態S1期間在傳輸線232上的前向及反射功率而加以產生。並且,在這個實施例中,藉由感測器212感測前向及反射功率二者。在這個實施例中,第四Γ值係基於在狀態S0期間在傳輸線232上的前向及反射功率而產生。
此外,在其中選擇邏輯128係實現於60 MHz產生器之內且連接至AFT 118及120的實施例中,選擇邏輯128在狀態S1期間將接收自DSP 150的第三Γ值傳送至AFT 118,且在狀態S1期間將接收自DSP 150的第四Γ值傳送至AFT 120。
圖7係方法321的實施例的流程圖,該方法用於判定是產生具有第一組功率值及第一組頻率的RF訊號或產生具有第二組功率值及第二組頻率的RF訊號。在操作323之中,在電漿腔室102(圖1)之內將電漿點燃,例如產生。並且,在操作325之中,TTL訊號112被例如產生器274(圖1)、產生器292(圖3)等等之2 MHz產生器所接收,且被60 MHz產生器276(圖1及3)所接收。舉例來說,DSP 140(圖1)自工具UI 190接收TTL訊號112,且DSP 150(圖1)自工具UI 190接收TTL訊號112。
在操作327之中,例如DSP 140、DSP 150等等之DSP,判定TTL 訊號112的狀態係S1或S0。舉例來說,DSP 140判定TTL訊號112的狀態係高或低。作為另一範例,DSP 150 判定TTL訊號112的狀態係1或0。
回應判定TTL訊號112的狀態係S1的情況,在操作329中,藉由例如DSP 140、DSP 150等等之DSP將TTL訊號112傳送至對應的AFT或電源控制器,例如AFT 114、AFT 118、電源控制器142、電源控制器152等等,以達成狀態S1。舉例來說,狀態S1的識別係自DSP 140傳送至AFT 114及電源控制器142,以達成功率值P11及頻率值F11,俾以進一步達成狀態S1。在這個例子中,基於包含對應頻率值F11之狀態S1的一第一查找表,AFT 114提供頻率值F11。此外,在這個例子中,基於包含對應功率值P11之狀態S1的一第二查找表,電源控制器142提供功率值P11。在這個例子中,第一查找表係儲存於AFT 114之內,且第二查找表係儲存於電源控制器142之內。
作為另一範例,狀態S1係自DSP 150傳送至AFT 118及電源控制器152,以達成功率值P21及頻率值F21,俾以進一步達成狀態S1。在這個例子中,基於包含對應頻率值F21之狀態S1的一第三查找表,AFT 118提供頻率值F21。此外,在這個例子中,基於包含對應功率值P21之狀態S1的一第四查找表,電源控制器152提供功率值P21。在這個例子中,第三查找表係儲存於AFT 118之內,且第四查找表係儲存於電源控制器152之內。
在操作331之中,反射功率(其係自電漿腔室102之內的電漿所反射的RF功率)以及前向功率,係在傳輸線230(圖1)之上加以量測。反射和前向功率係藉由感測器210(圖1)加以量測。前向功率及反射功率的測得類比值係藉由感測器210提供至ADC 220,其將類比值轉換為數位值。此外,在操作331之中,在傳輸線232(圖1)上量測反射功率及前向功率。反射和前向功率係藉由感測器212(圖1)加以量測。前向功率及反射功率的測得類比值係藉由感測器212提供至ADC 222,其將類比值轉換為數位值。
在操作333中,DSP 140接收由感測器210所測得的前向及反射功率的數位值,且從該值判定Γ值。並且,在操作333中,DSP 150接收由感測器212所測得的前向及反射功率的數位值,且從該值判定Γ值。
在操作335中,Γ值由DSP 140提供至AFT 114以調整頻率值F11,且由DSP 140提供至電源控制器142以調整功率值P11。舉例來說,AFT 114自對應基於對狀態S1在傳輸線230上的訊號所測得的Γ值之查找表,檢索一頻率值(不同於頻率值F11),且提供該不同的頻率值至2 MHz電源供應器。2 MHz電源供應器產生具有該不同頻率值的RF訊號。此外,在操作335中,Γ值由DSP 140提供至電源控制器142以調整功率值P11。舉例來說,電源控制器142自對應基於對狀態S1之在傳輸線230上的訊號所測得的Γ值之查找表,檢索一功率值(不同於功率值P11),且提供該不同的功率值至2 MHz電源供應器。2 MHz電源供應器產生具有該不同功率值的RF訊號。
此外,在操作335中,Γ值由DSP 150提供至AFT 118以調整頻率值F21,且由DSP 150提供至電源控制器152以調整功率值P21。舉例來說,AFT 118自對應基於對狀態S1在傳輸線232上的訊號所測得的Γ值之查找表檢索一頻率值(不同於頻率值F21),且提供該不同的頻率值至60 MHz電源供應器。60 MHz電源供應器產生具有該不同頻率值的RF訊號。作為另一範例,電源控制器152自對應基於對狀態S1在傳輸線232上的訊號所測得的Γ值之查找表檢索一功率值(不同於功率值P21),且提供該不同的功率值至60 MHz電源供應器。60 MHz電源供應器產生具有該不同功率值的RF訊號。方法321在操作335之後重複操作325。
回應判定TTL訊號112的狀態係S0的情況,在操作337中,藉由例如DSP 140、DSP 150等等之DSP將TTL訊號112傳送至對應的AFT或電源控制器,例如AFT 264、AFT 120、電源控制器172、電源控制器154等等,以達成狀態S0。舉例來說,狀態S0的識別係自DSP 140傳送至AFT 264及電源控制器172,以達成功率值P10及頻率值F10,俾以進一步達成狀態S0。在這個例子中,基於包含對應頻率值F10之狀態S0的一第五查找表,AFT 264提供頻率值F10。此外,在這個例子中,基於包含對應功率值P10之狀態S0的一第六查找表,電源控制器172提供功率值P10。在這個例子中,第五查找表係儲存於AFT 264之內,且第六查找表係儲存於電源控制器172之內。
作為另一範例,狀態S0之識別係自DSP 150傳送至AFT 120-及電源控制器154,以達成功率值P20及頻率值F20,俾以進一步達成狀態S0。在這個例子中,基於包含對應頻率值F20之狀態S0的一第七查找表,AFT 120提供頻率值F20。此外,在這個例子中,基於包含對應功率值P20之狀態S0的一第八查找表,電源控制器154提供功率值P20。在這個例子中,第七查找表係儲存於AFT 120之內,且第八查找表係儲存於電源控制器154之內。
操作339係與操作331相同,而操作341係與操作333相同。在操作343中,Γ值藉由DSP 140提供至AFT 264以調整頻率值F10,且藉由DSP 140提供至電源控制器172以調整功率值P10。舉例來說,AFT 264自對應基於對狀態S0在傳輸線230上的訊號所測得的Γ值之查找表,檢索一頻率值(不同於頻率值F10),且提供該不同的頻率值至2 MHz電源供應器。2 MHz電源供應器產生具有該不同頻率值的RF訊號。此外,在操作343中,Γ值由DSP 140提供至電源控制器172以調整功率值P10。舉例來說,電源控制器172自對應基於對狀態S0之在傳輸線230上的訊號所測得的Γ值之查找表,檢索一功率值(不同於功率值P10),且提供該不同的功率值至2 MHz電源供應器。2 MHz電源供應器產生具有該不同功率值的RF訊號。
此外,在操作343中,Γ值由DSP 150提供至AFT 120以調整頻率值F20,且由DSP 150提供至電源控制器154以調整功率值P20。舉例來說,AFT 120自對應基於對狀態S0之在傳輸線232上的訊號所測得的Γ值之查找表,檢索一頻率值(不同於頻率值F20),且提供該不同的頻率值至60 MHz電源供應器。60 MHz電源供應器產生具有該不同頻率值的RF訊號。此外,在操作343中,Γ值由DSP 150提供至電源控制器154以調整功率值P20。舉例來說,電源控制器154自對應基於對狀態S0之在傳輸線232上的訊號所測得的Γ值之查找表,檢索一功率值(不同於功率值P20),且提供該不同的功率值至60 MHz電源供應器。60 MHz電源供應器產生具有該不同功率值的RF訊號。方法321在操作343之後重複操作325。
在一個實施例中,操作331、333、335、339、341、及343係可選用的。舉例來說,將操作325在操作329及337之後重複,而不執行操作331、333、335、339、341、及343。
應注意的是,雖然上述實施例係關於將2 MHz RF訊號及/或60 MHz訊號及/或27 MHz訊號提供至下電極104並將上電極110接地,在數個實施例中,將2 MHz、60 MHz、及27 MHz訊號提供至上電極110並將下電極104接地。
又應注意的是,在一個實施例中,電漿阻抗的變化沒有用以產生TTL訊號112的狀態S1及S0。狀態S1及S0係獨立於電漿阻抗的變化。
吾人亦注意到,在一個實施例中,例如頻率輸入、功率輸入等等之輸入,或例如功率準位、頻率準位之準位,包含在另一數值的閾值之內的一個以上數值。舉例來說,功率準位包含在功率值P21的閾值之內的功率值P21及其他功率值。在這個例子中,功率準位不包含另一狀態之任何功率值,例如狀態S0的功率值P20。作為另一範例,頻率輸入包含在頻率值F11的閾值之內的頻率值F11及其他頻率值。在這個例子中,頻率輸入不包含另一狀態的任何頻率值,例如狀態S0的頻率值F10。
吾人注意到雖然參照平行板電漿腔室描述上述實施例,在一個實施例中,上述實施例係應用於其他類型的電漿腔室,例如包含電感耦合電漿(ICP)反應器之電漿腔室、包含電子迴旋共振(ECR)反應器之電漿腔室等等。舉例來說,2 MHz及60 MHz電源供應器係耦合至在ICP電漿腔室之內的電感器。
在一個實施例中,藉由產生器控制器的DSP執行產生器控制器的AFT及/或電源控制器所執行的操作。舉例來說,此處所述為由AFT 118及120所執行的操作係藉由DSP 150(圖3)加以執行。作為另一範例,此處所述為由AFT 114、AFT 264、電源控制器142、及電源控制器172所執行的操作係藉由DSP 140(圖3)加以執行。
此處所述實施例可利用各種電腦系統配置加以實施,該各種電腦系統配置包含手持裝置、微處理器系統、基於微處理器或可程式消費性電子裝置、迷你電腦、大型電腦等等。該等實施例亦可實施於分散式計算環境,其中藉由透過網路連結的遠端處理裝置執行工作。
基於上述實施例,應理解的是該等實施例可利用各種電腦實施操作,其涉及儲存於電腦系統中的的資料。這些操作係需要物理量的物理性處理者。形成部分之實施例的此處所述的任何操作係有用的機械操作。該等實施例亦關於執行這些操作的裝置或設備。該設備可特別建構用於特殊用途電腦。當定義為特殊用途電腦,該電腦亦可執行非該特殊用途的其他處理、程式執行或常式,而仍能夠用於該特殊用途操作。或者是,可藉由利用儲存於電腦記憶體、快取記憶體、或由網路取得的一個以上電腦程式選擇性啟動或設定的通用電腦,執行該等操作。當資料係在網路上取得,該資料可藉由網路上的其他電腦(例如雲端計算資源)加以處理。
一個以上實施例可製作為電腦可讀媒體上的電腦可讀碼。該電腦可讀媒體係可儲存資料的任何資料儲存裝置,該資料之後可由電腦系統讀出。電腦可讀媒體的範例包含硬碟、網路附接儲存器(NAS)、ROM、RAM、光碟唯讀記憶體(CD-ROM)、可錄CD(CD-R)、可重寫CD(CD-RW)、磁帶、及其他光學和非光學資料儲存裝置。電腦可讀媒體可包含分布於網路連接電腦系統上的電腦可讀有形媒體,使得電腦可讀碼被分散式地儲存和執行。
雖然該方法操作以特定的順序描述,應理解的是其他內務處理操作可在該等操作之間加以執行,或者可調整操作,使得該等操作在些許不同的時間發生,或者可分布於一系統之中,該系統允許在各種與處理相關聯的時間間隔處理操作的發生,只要重疊操作的處理係以所欲的方式執行。
來自任何實施例的一個以上特徵,可與任何其他實施例的一個以上特徵結合,而不偏離本揭露內容之各種實施例所述之範疇。
雖然前述實施例以理解清楚為目的而相當程度詳細地加以描述,顯而易見的是,在隨附申請專利範圍的範疇之內可實施某些變化和修改。因此,本實施例係視為例示性而非限制性,且該等實施例係不限定於此處所提供的細節,而是可在隨附實施例的範疇和均等物之內加以修改。
102‧‧‧電漿腔室
104‧‧‧下電極
106‧‧‧上表面
108‧‧‧基板
110‧‧‧上電極
112‧‧‧TTL訊號
114、118、及120‧‧‧自動頻率調諧器(AFT)
128‧‧‧選擇邏輯
140‧‧‧DSP
150‧‧‧DSP
142、152、及154‧‧‧電源控制器
172‧‧‧電源控制器
180‧‧‧系統
182‧‧‧阻抗匹配電路
186‧‧‧阻抗匹配電路
190‧‧‧工具使用者介面(UI)
210‧‧‧感測器
212‧‧‧感測器
220‧‧‧類比至數位轉換器(ADC)
222‧‧‧ADC
230‧‧‧傳輸線
232‧‧‧傳輸線
250‧‧‧表
262‧‧‧系統
264‧‧‧AFT
270‧‧‧產生器控制器
272‧‧‧產生器控制器
274‧‧‧2 MHz產生器
276‧‧‧60 MHz產生器
290‧‧‧產生器控制器
292‧‧‧2 MHz產生器
302、304、306、及308‧‧‧圖表
310、312、314、及316‧‧‧圖表
318、320、322、及324‧‧‧圖表
326、328、330、及332‧‧‧圖表
334、336、338、及340‧‧‧圖表
342、344、346、及348‧‧‧圖表
350、352、354、及356‧‧‧圖表
358、360、362、及364‧‧‧圖表
321‧‧‧方法
323‧‧‧操作
325‧‧‧操作
327‧‧‧操作
329‧‧‧操作
331‧‧‧操作
333‧‧‧操作
335‧‧‧操作
337‧‧‧操作
339‧‧‧操作
341‧‧‧操作
343‧‧‧操作
參照以下說明以及隨附圖式,可最佳地理解實施例。
根據本揭露內容所述實施例,圖1係一系統的方塊圖,用於降低按照電漿阻抗的變化調整電源控制器及/或頻率調諧器的時間量。
根據本揭露內容所述實施例,圖2係一實施例,其中一表顯示隨電晶體-電晶體邏輯(TTL)訊號狀態的變化之射頻(RF)功率訊號狀態的變化,以及顯示基於Γ值的改變之功率訊號的頻率及/或功率值的調整。
根據本揭露內容所述實施例,圖3係系統的示圖,該系統係用於降低依據電漿阻抗變化調整電源控制器及/或頻率調諧器的時間量,其中電源控制器及/或頻率調諧器提供非零值。
根據本揭露內容所述實施例,圖4A顯示二個RF訊號的圖表,其中RF訊號其中一者具有一固定值或變化的值。
根據本揭露內容所述實施例,圖4B顯示二個RF訊號的圖表,其中RF訊號二者皆具有變化的值。
根據本揭露內容所述實施例,圖5A顯示三個RF訊號的圖表,其中RF訊號其中一者具有一固定值,而該等RF訊號的另一者具有一固定值或變化的值。
根據本揭露內容所述實施例,圖5B顯示三個RF訊號的圖表,其中RF訊號其中一者具有一固定值,而其餘的二個RF訊號具有變化的值。
根據本揭露內容所述實施例,圖5C顯示三個RF訊號的圖表,其中RF訊號其中一者具有一固定值或變化的值,而其餘的二個RF訊號具有變化的值。
根據本揭露內容所述實施例,圖5D顯示圖表,其中所有三個RF訊號具有變化的值。
根據本揭露內容所述實施例,圖5E顯示三個RF訊號的圖表,其中RF訊號其中一者具有一固定值或變化的值,而其餘的RF訊號具有變化的值。
根據本揭露內容所述實施例,圖5F顯示圖表,其中所有三個RF訊號具有變化的值。
根據本揭露內容所述實施例,圖6係一系統的方塊圖,該系統用於基於TTL訊號的狀態而選擇於複數自動頻率調諧器(AFT)之間。
根據本揭露內容所述實施例,圖7係方法的流程圖,該方法判定產生具有第一組功率值及第一組頻率的RF訊號或產生具有第二組功率值及第二組頻率的RF訊號。
102‧‧‧電漿腔室
104‧‧‧下電極
106‧‧‧上表面
108‧‧‧基板
110‧‧‧上電極
112‧‧‧TTL訊號
114、118、及120‧‧‧自動頻率調諧器(AFT)
140‧‧‧DSP
150‧‧‧DSP
142、152、及154‧‧‧電源控制器
180‧‧‧系統
182‧‧‧阻抗匹配電路
186‧‧‧阻抗匹配電路
190‧‧‧工具使用者介面(UI)
210‧‧‧感測器
212‧‧‧感測器
220‧‧‧類比至數位轉換器(ADC)
222‧‧‧ADC
230‧‧‧傳輸線
232‧‧‧傳輸線
270‧‧‧產生器控制器
272‧‧‧產生器控制器
274‧‧‧2MHz產生器
276‧‧‧60MHz產生器

Claims (27)

  1. 一種電力及頻率的調整系統,包含:   一電漿腔室,包括:一下電極,該下電極具有用於支持一基板的一表面;及一上電極,配置在該下電極上方,該上電極係電接地;   一個主產生器,連接至該下電極,該主產生器包括用於將一個主射頻訊號供給至該下電極的一個主電源供應器;   一個副產生器,連接至該下電極,該副產生器包括用於將一個副射頻訊號供給至該下電極的一個副電源供應器;   一數位脈衝源,用於產生一脈衝訊號,該數位脈衝源係連接至該主產生器及該副產生器,其中該脈衝訊號在二個狀態之間轉變,   該主產生器包括一第一自動頻率控制器,用以當該脈衝訊號在第一狀態之時將一第一頻率輸入提供至該主電源供應器;   該副產生器包括一第二自動頻率控制器,用以當該脈衝訊號在該第一狀態之時將一第二頻率輸入提供至該副電源供應器;   該副產生器包括一第三自動頻率控制器,用以當該脈衝訊號在第二狀態之時將一第三頻率輸入提供至該副電源供應器。
  2. 如申請專利範圍第1項之電力及頻率的調整系統,更包含一選擇器,連接於該數位脈衝源與該第二及第三自動頻率控制器之間,以在該第二自動頻率控制器和該第三自動頻率控制器之間選擇,而將該第二頻率輸入或該第三頻率輸入提供至該副電源供應器,該選擇器建構成基於該脈衝訊號的狀態而在該第二自動頻率控制器和該第三自動頻率控制器之間選擇。
  3. 如申請專利範圍第1項之電力及頻率的調整系統,其中該二個狀態其中一者係一開啟狀態,而該二個狀態的另一者係一關閉狀態。
  4. 如申請專利範圍第1項之電力及頻率的調整系統,其中該主射頻訊號的頻率低於該副射頻訊號的頻率。
  5. 如申請專利範圍第1項之電力及頻率的調整系統,   其中當該脈衝訊號在該第一狀態時該主射頻訊號係在一第一功率準位,且當該脈衝訊號在該第二狀態時該主射頻訊號係在一第二功率準位,其中該第一功率準位大於該第二功率準位,   其中當該脈衝訊號在該第一狀態時該副射頻訊號係在一第三功率準位,且當該脈衝訊號在該第二狀態時該副射頻訊號係在一第四功率準位,其中該第三功率準位低於該第四功率準位。
  6. 如申請專利範圍第5項之電力及頻率的調整系統,其中該第三功率準位係零或正值。
  7. 如申請專利範圍第1項之電力及頻率的調整系統,   其中當該脈衝訊號在該第一狀態時該主射頻訊號係在一第一功率準位,且當該脈衝訊號在該第二狀態時該主射頻訊號係在一第二功率準位,其中該第一功率準位大於該第二功率準位,   其中當該脈衝訊號在該第一狀態時該副射頻訊號係在一第三功率準位,且當該脈衝訊號在該第二狀態時該副射頻訊號係在一第四功率準位,該第三功率準位係與該第四功率準位相同。
  8. 如申請專利範圍第7項之電力及頻率的調整系統,其中該第三功率準位係正值。
  9. 如申請專利範圍第1項之電力及頻率的調整系統,   其中當該脈衝訊號在該第一狀態時該主射頻訊號係在一第一功率準位,且當該脈衝訊號在該第二狀態時該主射頻訊號係在一第二功率準位,其中該第一功率準位大於該第二功率準位,   其中當該脈衝訊號在該第一狀態時該副射頻訊號係在一第三功率準位,且當該脈衝訊號在該第二狀態時該副射頻訊號係在一第四功率準位,該第三功率準位大於該第四功率準位。
  10. 如申請專利範圍第9項之電力及頻率的調整系統,其中該第三功率準位係正值。
  11. 如申請專利範圍第1項之電力及頻率的調整系統,其中該數位脈衝源包含一時脈振盪器或電晶體-電晶體邏輯(TTL)。
  12. 如申請專利範圍第1項之電力及頻率的調整系統,其中該第一頻率輸入係不同於該第二頻率輸入及該第三頻率輸入。
  13. 如申請專利範圍第2項之電力及頻率的調整系統,其中該選擇器包含一多工器。
  14. 如申請專利範圍第1項之電力及頻率的調整系統,其中該脈衝訊號係電晶體-電晶體邏輯訊號,其中該電晶體-電晶體邏輯訊號係被該主產生器及該副產生器加以接收。
  15. 一種電力及頻率的調整系統,包含:   一數位脈衝源,用於產生一脈衝訊號;   一個主產生器,包括:     一個主電源供應器,連接至一電極,以將一個主射頻訊號供給至該電極;     一個主處理器,連接至該數位脈衝源,以接收該脈衝訊號,該主處理器用於辨識該脈衝訊號的二個狀態的一第一狀態及該二個狀態的一第二狀態;     一電源控制器,連接至該主處理器,用以基於該脈衝訊號係在該第一狀態或該第二狀態而判定是否提供一主功率值至該主電源供應器;及     一自動頻率控制器,連接至該主處理器,以從該主處理器接收狀態辨識,該自動頻率控制器建構成當該脈衝訊號係在該第一狀態時提供該主射頻訊號的頻率;   一個副產生器,包括:     一個副電源供應器,連接至該電極,以將一個副射頻訊號供給至該電極;   一個副處理器,連接至該數位脈衝源,以接收該脈衝訊號,俾以辨識該脈衝訊號係在該第一狀態或該第二狀態;   一第一電源控制器,連接至該副處理器,用以當該脈衝訊號係在該第一狀態時提供一第一副功率值至該副電源供應器;   一第二電源控制器,連接至該副處理器,用以當該脈衝訊號係在該第二狀態時提供一第二副功率值至該副電源供應器;     一第一自動頻率控制器,連接至該副處理器,以自該副處理器接收狀態辨識,該第一自動頻率控制器建構成當該脈衝訊號係在該第一狀態時提供該副射頻訊號的一第一頻率輸入;及     一第二自動頻率控制器,連接至該副處理器,以自該副處理器接收狀態辨識,該第二自動頻率控制器建構成當該脈衝訊號係在該第二狀態時提供該副射頻訊號的一第二頻率輸入。
  16. 如申請專利範圍第15項之電力及頻率的調整系統,其中該副產生器包括一選擇器,用以將接收自該副處理器的該第一頻率輸入傳送至該副電源供應器,或將接收自該副處理器的該第二頻率輸入傳送至該副電源供應器。
  17. 一種電力及頻率的調整系統,包含:   一電漿腔室,包括:一下電極,該下電極具有用於支持一基板的一表面;及一上電極,配置在該下電極上方,該上電極係電接地;   一數位脈衝源,用於產生一脈衝訊號,其中該脈衝訊號在二個狀態之間轉變;   一個主產生器,包括:     一個主電源供應器,連接至該下電極,以將一個主射頻訊號供給至該下電極;     一個主處理器,連接至該數位脈衝源,以接收該脈衝訊號,該主處理器係用於辨識該脈衝訊號的該二個狀態的一第一狀態和該二個狀態的一第二狀態;     一電源控制器,連接至該主處理器,用以基於該脈衝訊號係在該第一狀態或該第二狀態而判定是否提供一主功率值至該主電源供應器;及     一自動頻率控制器,連接至該主處理器,以自該主處理器接收狀態辨識,該自動頻率控制器建構成用以當脈衝訊號於該第一狀態之時提供該主射頻訊號的頻率;及   一個副產生器,包括:     一個副電源供應器,連接至該下電極,以將一個副射頻訊號供給至該下電極;     一個副處理器,連接至該數位脈衝源,以接收該脈衝訊號以辨識該脈衝訊號係在該第一狀態或該第二狀態;     一第一電源控制器,連接至該副處理器,俾以當該脈衝訊號係在該第一狀態時提供一第一副功率值至該副電源供應器;     一第二電源控制器,連接至該副處理器,俾以當該脈衝訊號係在該第二狀態時提供一第二副功率值至該副電源供應器;     一第一自動頻率控制器,連接至該副處理器,以自該副處理器接收狀態辨識,該第一自動頻率控制器建構成當該脈衝訊號係在該第一狀態時提供該副射頻訊號的頻率;及     一第二自動頻率控制器,連接至該副處理器,以自該副處理器接收狀態辨識,該第二自動頻率控制器建構成當該脈衝訊號係在該第二狀態時提供該副射頻訊號的頻率。
  18. 如申請專利範圍第17項之電力及頻率的調整系統,其中該二個狀態其中一者係一開啟狀態,且該二個狀態的另一者係一關閉狀態。
  19. 如申請專利範圍第17項之電力及頻率的調整系統,   其中當該脈衝訊號係在該第一狀態時該主射頻訊號係在一第一功率準位,且當該脈衝訊號係在該第二狀態時該主射頻訊號係在一第二功率準位,其中該第一功率準位係大於該第二功率準位,   其中該第一副功率值係低於該第二副功率值。
  20. 如申請專利範圍第17項之電力及頻率的調整系統,   其中當該脈衝訊號係在該第一狀態時該主射頻訊號係在一第一功率準位,且當該脈衝訊號係在該第二狀態時該主射頻訊號係在一第二功率準位,其中該第一功率準位係大於該第二功率準位,   其中該第一副功率值係與該第二副功率值相同。
  21. 如申請專利範圍第17項之電力及頻率的調整系統,   其中當該脈衝訊號係在該第一狀態時該主射頻訊號係在一第一功率準位,且當該脈衝訊號係在該第二狀態時該主射頻訊號係在一第二功率準位,其中該第一功率準位係大於該第二功率準位,   其中該第一副功率值係大於該第二副功率值。
  22. 如申請專利範圍第17項之電力及頻率的調整系統,其中當該脈衝訊號係在該第一狀態時該主功率值係一正功率值。
  23. 如申請專利範圍第17項之電力及頻率的調整系統,其中該第一副功率值係低於、相同於、或大於該第二副功率值。
  24. 一種電力及頻率的調整系統,包含:   一數位脈衝源,用於產生一脈衝訊號;   一個主產生器,包括:     一個主電源供應器,連接至一電極,以將一個主射頻訊號供給至該電極;     一個主處理器,連接至該數位脈衝源,以接收該脈衝訊號,該主處理器係用於辨識該脈衝訊號的二個狀態的一第一狀態和該二個狀態的一第二狀態;     一第一主電源控制器,連接至該主處理器,用以在該脈衝訊號係在該第一狀態之時將一第一主功率值提供至該主電源供應器;     一第二主電源控制器,連接至該主處理器,用以在該脈衝訊號係在該第二狀態之時將一第二主功率值提供至該主電源供應器;     一第一主自動頻率控制器,連接至該主處理器,以自該主處理器接收狀態辨識,該第一主自動頻率控制器建構成用以當脈衝訊號於該第一狀態之時提供一第一主頻率輸入至該主射頻訊號;     一第二主自動頻率控制器,連接至該主處理器,以自該主處理器接收狀態辨識,該第二主自動頻率控制器建構成用以當脈衝訊號於該第二狀態之時提供一第二主頻率輸入至該主射頻訊號;   一個副產生器,包括:     一個副電源供應器,連接至該電極,以供給一個副射頻訊號至該電極;     一個副處理器,連接至該數位脈衝源,以接收該脈衝訊號,俾以辨識該脈衝訊號係在該第一狀態或該第二狀態;     一第一副電源控制器,連接至該副處理器,用以在該脈衝訊號係在該第一狀態之時將一第一副功率值提供至該副電源供應器;     一第二副電源控制器,連接至該副處理器,用以在該脈衝訊號係在該第二狀態之時將一第二副功率值提供至該副電源供應器;     一第一副自動頻率控制器,連接至該副處理器,以自該副處理器接收狀態辨識,該第一副自動頻率控制器建構成用以當脈衝訊號於該第一狀態之時提供一第一副頻率輸入至該副射頻訊號;及     一第二副自動頻率控制器,連接至該副處理器,以自該副處理器接收狀態辨識,該第二副自動頻率控制器建構成用以當脈衝訊號於該第二狀態之時提供一第二副頻率輸入至該副射頻訊號。
  25. 如申請專利範圍第24項之電力及頻率的調整系統,其中該主產生器包含一選擇器,該選擇器建構成將接收自該主處理器的該第一主頻率輸入傳送至該主電源供應器,或將接收自該主處理器的該第二主頻率輸入傳送至該主電源供應器,   其中該副產生器包含一選擇器,該選擇器建構成將接收自該副處理器的該第一副頻率輸入傳送至該副電源供應器,或將接收自該副處理器的該第二副頻率輸入傳送至該副電源供應器。
  26. 一種電力及頻率的調整系統,包含:   一電漿腔室,包括:一下電極,該下電極具有用於支持一基板的一表面;及一上電極,配置在該下電極上方,該上電極係電接地;   一數位脈衝源,用於產生一脈衝訊號,其中該脈衝訊號在二個狀態之間轉變;   一主產生器,包括:     一個主電源供應器,連接至該下電極,以將一個主射頻訊號供給至該下電極;     一個主處理器,連接至該數位脈衝源,以接收該脈衝訊號,該主處理器係用於辨識該脈衝訊號的該二個狀態的一第一狀態和該二個狀態的一第二狀態;     一第一主電源控制器,連接至該主處理器,用以在該脈衝訊號係在該第一狀態之時將一第一主功率值提供至該主電源供應器;     一第二主電源控制器,連接至該主處理器,用以在該脈衝訊號係在該第二狀態之時將一第二主功率值提供至該主電源供應器;     一第一主自動頻率控制器,連接至該主處理器,以自該主處理器接收狀態辨識,該第一主自動頻率控制器建構成用以當脈衝訊號於該第一狀態之時提供該主射頻訊號的頻率;     一第二主自動頻率控制器,連接至該主處理器,以自該主處理器接收狀態辨識,該第二主自動頻率控制器建構成用以當該脈衝訊號於該第二狀態之時提供該主射頻訊號的頻率;及   一個副產生器,包括:     一個副電源供應器,連接至該下電極,以供給一個副射頻訊號至該下電極;     一個副處理器,連接至該數位脈衝源,以接收該脈衝訊號,俾以辨識該脈衝訊號係在該第一狀態或該第二狀態;     一第一副電源控制器,連接至該副處理器,用以在該脈衝訊號係在該第一狀態之時將一第一副功率值提供至該副電源供應器;     一第二副電源控制器,連接至該副處理器,用以在該脈衝訊號係在該第二狀態之時將一第二副功率值提供至該副電源供應器;     一第一副自動頻率控制器,連接至該副處理器,以自該副處理器接收狀態辨識,該第一副自動頻率控制器建構成用以當脈衝訊號於該第一狀態之時提供該副射頻訊號的頻率;及     一第二副自動頻率控制器,連接至該副處理器,以自該副處理器接收狀態辨識,該第二副自動頻率控制器建構成用以當脈衝訊號於該第二狀態之時提供該副射頻訊號的頻率。
  27. 如申請專利範圍第26項之電力及頻率的調整系統,其中該第一主功率值係等於、大於、或小於該第二主功率值。
TW106125416A 2012-02-22 2013-02-21 電力及頻率之基於狀態的調整 TWI640226B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201261602040P 2012-02-22 2012-02-22
US61/602,040 2012-02-22
US13/531,491 US9114666B2 (en) 2012-02-22 2012-06-22 Methods and apparatus for controlling plasma in a plasma processing system
US13/531,491 2012-06-22
US13/620,386 US9197196B2 (en) 2012-02-22 2012-09-14 State-based adjustment of power and frequency
US13/620,386 2012-09-14

Publications (2)

Publication Number Publication Date
TW201737763A true TW201737763A (zh) 2017-10-16
TWI640226B TWI640226B (zh) 2018-11-01

Family

ID=48981370

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106125416A TWI640226B (zh) 2012-02-22 2013-02-21 電力及頻率之基於狀態的調整
TW102106071A TWI640225B (zh) 2012-02-22 2013-02-21 電力及頻率之基於狀態的調整

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW102106071A TWI640225B (zh) 2012-02-22 2013-02-21 電力及頻率之基於狀態的調整

Country Status (6)

Country Link
US (2) US9197196B2 (zh)
JP (1) JP6420528B2 (zh)
KR (2) KR102025950B1 (zh)
CN (1) CN103298235B (zh)
SG (1) SG193130A1 (zh)
TW (2) TWI640226B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI784989B (zh) * 2016-12-05 2022-12-01 美商蘭姆研究公司 基於電漿系統狀態使用變數的電漿系統及控制變數的方法及電腦系統

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8952765B2 (en) 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
CN103730316B (zh) * 2012-10-16 2016-04-06 中微半导体设备(上海)有限公司 一种等离子处理方法及等离子处理装置
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
CN103476196B (zh) * 2013-09-23 2016-02-03 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体处理方法
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
CN104242298B (zh) * 2014-07-17 2016-09-14 浙江大学 一种全分布式结构的孤岛交流微电网的频率控制方法
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
KR102156893B1 (ko) * 2014-09-30 2020-09-17 세메스 주식회사 플라즈마 공정 챔버의 셀프 바이어스 전압 측정 장치 및 방법
EP3029711B1 (en) * 2014-12-03 2019-10-16 Comet AG Frequency tuning of a RF-generator within a plasma process
US9667303B2 (en) * 2015-01-28 2017-05-30 Lam Research Corporation Dual push between a host computer system and an RF generator
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
JP6541540B2 (ja) * 2015-10-06 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US10009028B2 (en) * 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
PL3648550T3 (pl) * 2017-06-27 2021-11-22 Canon Anelva Corporation Urządzenie do przetwarzania plazmowego
EP3648552B1 (en) * 2017-06-27 2022-04-13 Canon Anelva Corporation Plasma treatment device
PL3648554T3 (pl) * 2017-06-27 2021-11-22 Canon Anelva Corporation Urządzenie do przetwarzania plazmowego
CN114666965A (zh) 2017-06-27 2022-06-24 佳能安内华股份有限公司 等离子体处理装置
US10002746B1 (en) * 2017-09-13 2018-06-19 Lam Research Corporation Multi regime plasma wafer processing to increase directionality of ions
US10224183B1 (en) 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
US10304660B1 (en) 2018-03-21 2019-05-28 Lam Research Corporation Multi-level pulsing of DC and RF signals
WO2020003557A1 (ja) 2018-06-26 2020-01-02 キヤノンアネルバ株式会社 プラズマ処理装置、プラズマ処理方法、プログラムおよびメモリ媒体
CN110648888B (zh) * 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
US10504744B1 (en) * 2018-07-19 2019-12-10 Lam Research Corporation Three or more states for achieving high aspect ratio dielectric etch
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム
JP2022122425A (ja) * 2021-02-10 2022-08-23 東京エレクトロン株式会社 プラズマ処理装置及び監視装置
US11706723B2 (en) 2021-06-09 2023-07-18 XP Power Limited Radio frequency generator with automatic level control
JP2023097863A (ja) 2021-12-28 2023-07-10 株式会社ダイヘン 高周波電源システム
CN116840734B (zh) * 2023-07-07 2024-04-05 西安航空学院 一种数字脉冲参数检测系统及方法

Family Cites Families (224)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4314879A (en) 1979-03-22 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Production of field-reversed mirror plasma with a coaxial plasma gun
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS6113625A (ja) * 1984-06-29 1986-01-21 Hitachi Ltd プラズマ処理装置
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5645796A (en) 1990-08-31 1997-07-08 Abtox, Inc. Process for plasma sterilizing with pulsed antimicrobial agent treatment
US5084239A (en) 1990-08-31 1992-01-28 Abtox, Inc. Plasma sterilizing process with pulsed antimicrobial agent treatment
US5244629A (en) 1990-08-31 1993-09-14 Caputo Ross A Plasma sterilizing process with pulsed antimicrobial agent pretreatment
US5254237A (en) * 1991-03-01 1993-10-19 Snaper Alvin A Plasma arc apparatus for producing diamond semiconductor devices
DE9109503U1 (zh) 1991-07-31 1991-10-17 Magtron Magneto Elektronische Geraete Gmbh, 7583 Ottersweier, De
US5202623A (en) 1992-02-26 1993-04-13 Digital Equipment Corporation Laser-activated plasma chamber for non-contact testing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
DE69408405T2 (de) * 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
JP3424182B2 (ja) * 1994-09-13 2003-07-07 アネルバ株式会社 表面処理装置
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5917286A (en) 1996-05-08 1999-06-29 Advanced Energy Industries, Inc. Pulsed direct current power supply configurations for generating plasmas
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
WO1999063585A1 (fr) 1998-06-02 1999-12-09 Nikon Corporation Organe d'alignement de balayage, son procede de fabrication et procede de fabrication de dispositif
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP3533105B2 (ja) 1999-04-07 2004-05-31 Necエレクトロニクス株式会社 半導体装置の製造方法と製造装置
JP2000306884A (ja) 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US6441620B1 (en) 2000-06-20 2002-08-27 John Scanlan Method for fault identification in a plasma process
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
JP3670206B2 (ja) 2000-11-06 2005-07-13 アルプス電気株式会社 プラズマ処理装置又はプラズマ処理システムの性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
JP3670209B2 (ja) 2000-11-14 2005-07-13 アルプス電気株式会社 プラズマ処理装置の性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6726804B2 (en) 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
JP4270872B2 (ja) 2001-03-16 2009-06-03 東京エレクトロン株式会社 インピーダンスをモニターするシステム並びに方法
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US7678705B2 (en) * 2001-07-05 2010-03-16 Tegal Corporation Plasma semiconductor processing system and method
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
CN1305353C (zh) 2001-12-10 2007-03-14 东京毅力科创株式会社 高频电源及其控制方法、和等离子体处理装置
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US6826489B2 (en) 2002-02-14 2004-11-30 Scientific Systems Research Limited Fault classification in a plasma process chamber
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
WO2003102724A2 (en) 2002-05-29 2003-12-11 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040000385A1 (en) 2002-06-26 2004-01-01 Ratte Robert W. Fishing sinker
WO2004003822A1 (en) 2002-06-28 2004-01-08 Tokyo Electron Limited Controlling a material processing tool and performance data
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
TWI259546B (en) 2002-06-28 2006-08-01 Tokyo Electron Ltd Method and system for predicting process performance using material processing tool and sensor data
WO2004003968A2 (en) 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
JP4141803B2 (ja) * 2002-11-05 2008-08-27 シャープ株式会社 プラズマ処理装置
TW200420201A (en) 2002-12-16 2004-10-01 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
JP4388287B2 (ja) 2003-02-12 2009-12-24 東京エレクトロン株式会社 プラズマ処理装置及び高周波電力供給装置
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
WO2004105101A2 (en) 2003-05-16 2004-12-02 Tokyo Electron Limited A process system health index and method of using the same
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7867457B2 (en) 2003-06-20 2011-01-11 Drexel University Plasma reactor for the production of hydrogen-rich gas
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7015414B2 (en) 2003-09-30 2006-03-21 Tokyo Electron Limited Method and apparatus for determining plasma impedance
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US20050217795A1 (en) * 2004-03-30 2005-10-06 Armen Avoyan Method of plasma etch endpoint detection using a V-I probe diagnostics
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
US7430496B2 (en) 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
EP1803142A1 (en) 2004-09-24 2007-07-04 Zond, Inc. Apparatus for generating high-current electrical discharges
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7820020B2 (en) 2005-02-03 2010-10-26 Applied Materials, Inc. Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece with a lighter-than-copper carrier gas
US9607719B2 (en) 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
ATE441203T1 (de) * 2005-06-10 2009-09-15 Bird Technologies Group Inc System und verfahren zur analyse des stromflusses in halbleiter-plasmaerzeugungssystemen
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
EP1783904B1 (de) * 2005-10-17 2008-04-16 HÜTTINGER Elektronik GmbH + Co. KG HF-Plasmaversorgungseinrichtung
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
TWI425767B (zh) 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8920600B2 (en) 2006-08-22 2014-12-30 Mattson Technology, Inc. Inductive plasma source with high coupling efficiency
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US20080119055A1 (en) * 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US20080178803A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with ion distribution uniformity controller employing plural vhf sources
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US7771606B2 (en) 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
US7737042B2 (en) 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US8073646B2 (en) 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
CN101295345B (zh) 2007-04-29 2010-06-16 晨星半导体股份有限公司 射频识别读取装置
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US7589473B2 (en) 2007-08-06 2009-09-15 Plasma Surgical Investments, Ltd. Pulsed plasma device and method for generating pulsed plasma
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US7965805B2 (en) * 2007-09-21 2011-06-21 Qualcomm Incorporated Signal generator with signal tracking
JP5026916B2 (ja) 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
DK2599506T3 (en) 2007-11-06 2018-10-08 Creo Medical Ltd Microwave Plasma Masterization Applicator
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8324525B2 (en) 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
KR101510775B1 (ko) * 2008-11-24 2015-04-10 삼성전자주식회사 동기식 펄스 플라즈마 에칭 장비
JP5141519B2 (ja) 2008-12-02 2013-02-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の運転方法
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US9305750B2 (en) 2009-06-12 2016-04-05 Lam Research Corporation Adjusting current ratios in inductively coupled plasma processing systems
KR101315950B1 (ko) * 2009-06-24 2013-10-08 엘지전자 주식회사 플라즈마 증착 장치 및 이 장치를 이용한 박막 제조 방법
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8901004B2 (en) 2009-07-27 2014-12-02 Lam Research Corporation Plasma etch method to reduce micro-loading
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
JP5642181B2 (ja) 2009-08-21 2014-12-17 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 基体を処理する装置及び基体の処理方法
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
JP2013511814A (ja) 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
JP5458942B2 (ja) * 2010-02-19 2014-04-02 三菱電機株式会社 デジタル制御電源装置
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
JP2012033409A (ja) 2010-07-30 2012-02-16 Origin Electric Co Ltd 直流プラズマ用逆極性パルス発生回路及び直流プラズマ電源装置
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US9907908B2 (en) 2011-03-08 2018-03-06 Baxter International Inc. Non-invasive radio frequency medical fluid level and volume detection system and method
CA2742060C (en) 2011-05-31 2013-09-10 Vln Advanced Technologies Inc. Reverse-flow nozzle for generating cavitating or pulsed jets
US8692467B2 (en) 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
US8872429B2 (en) 2011-07-28 2014-10-28 Kirk Rosener Pulsed plasma generator
US8974684B2 (en) 2011-10-28 2015-03-10 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9514959B2 (en) 2012-10-30 2016-12-06 American Air Liquide, Inc. Fluorocarbon molecules for high aspect ratio oxide etch
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI784989B (zh) * 2016-12-05 2022-12-01 美商蘭姆研究公司 基於電漿系統狀態使用變數的電漿系統及控制變數的方法及電腦系統

Also Published As

Publication number Publication date
JP6420528B2 (ja) 2018-11-07
US20160044775A1 (en) 2016-02-11
TWI640226B (zh) 2018-11-01
KR102130921B1 (ko) 2020-08-05
CN103298235A (zh) 2013-09-11
US10231321B2 (en) 2019-03-12
JP2013191554A (ja) 2013-09-26
SG193130A1 (en) 2013-09-30
KR102025950B1 (ko) 2019-09-26
TW201410080A (zh) 2014-03-01
KR20130096678A (ko) 2013-08-30
US20130213573A1 (en) 2013-08-22
US9197196B2 (en) 2015-11-24
CN103298235B (zh) 2017-04-12
TWI640225B (zh) 2018-11-01
KR20190112254A (ko) 2019-10-04

Similar Documents

Publication Publication Date Title
TWI640226B (zh) 電力及頻率之基於狀態的調整
US11361942B2 (en) Adjustment of power and frequency based on three or more states
TWI687965B (zh) 用於執行邊緣斜坡修整及降低射頻訊號功率位準變動對電漿阻抗的影響之方法
JP6400272B2 (ja) インピーダンスに基づいた電力および周波数の調整
TWI599272B (zh) 根據三個或更多狀態之功率及頻率調整
JP2014089945A5 (zh)