KR20130096678A - 전력 및 주파수의 상태 기반 조절 - Google Patents

전력 및 주파수의 상태 기반 조절 Download PDF

Info

Publication number
KR20130096678A
KR20130096678A KR20130019481A KR20130019481A KR20130096678A KR 20130096678 A KR20130096678 A KR 20130096678A KR 20130019481 A KR20130019481 A KR 20130019481A KR 20130019481 A KR20130019481 A KR 20130019481A KR 20130096678 A KR20130096678 A KR 20130096678A
Authority
KR
South Korea
Prior art keywords
primary
state
signal
power
pulsed signal
Prior art date
Application number
KR20130019481A
Other languages
English (en)
Other versions
KR102025950B1 (ko
Inventor
주니어 존 씨 발코어
브래드포드 제이 린다커
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/531,491 external-priority patent/US9114666B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20130096678A publication Critical patent/KR20130096678A/ko
Application granted granted Critical
Publication of KR102025950B1 publication Critical patent/KR102025950B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02JCIRCUIT ARRANGEMENTS OR SYSTEMS FOR SUPPLYING OR DISTRIBUTING ELECTRIC POWER; SYSTEMS FOR STORING ELECTRIC ENERGY
    • H02J3/00Circuit arrangements for ac mains or ac distribution networks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03JTUNING RESONANT CIRCUITS; SELECTING RESONANT CIRCUITS
    • H03J7/00Automatic frequency control; Automatic scanning over a band of frequencies
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Abstract

전력 및 주파수의 상태 기반 조절을 위한 시스템들 및 방법들이 설명된다. 시스템의 일차 발생기는 일차 무선 주파수 (RF) 신호를 전극에 공급하는 일차 전원 공급부를 구비한다. 일차 발생기는 펄스형 신호가 제 1 상태에 있는 경우에 일차 전원 공급부에 제 1 주파수 입력을 제공하는 자동 주파수 제어기 (AFC) 를 더 구비한다. 시스템의 이차 발생기는 전극에 이차 RF 신호를 공급하는 이차 전원 공급부를 구비한다. 이차 발생기는 또한 펄스형 신호가 제 1 상태에 있는 경우에 이차 전원 공급부에 제 2 주파수 입력을 제공하는 AFC 를 구비한다. 이차 발생기는 펄스형 신호가 제 2 상태에 있는 경우에 이차 전원 공급부에 제 3 주파수 입력을 제공하는 AFC 를 구비한다. 시스템은 펄스형 신호를 발생하는 디지털 펄싱 소스를 구비한다.

Description

전력 및 주파수의 상태 기반 조절{STATE-BASED ADJUSTMENT OF POWER AND FREQUENCY}
본 실시형태들은 플라즈마 임피던스에서의 변화에 대한 응답 시간을 개선하는 것에 관한 것이고, 더 상세하게는, 전력 및 주파수의 상태 기반 조절을 위한 장치, 방법들, 및 컴퓨터 프로그램들에 관한 것이다.
일부 플라즈마 프로세싱 시스템들에서, 다수의 무선 주파수 (RF) 신호들은 플라즈마 챔버 내의 하나 이상의 전극들에 제공된다. RF 신호들은 플라즈마 챔버 내에서 플라즈마를 발생하는 것을 돕는다. 플라즈마는 다양한 동작들, 예컨대, 하부 전극 상에 배치된 기판을 세정하는, 기판을 식각하는 등을 위해 이용된다.
RF 신호를 발생하는 RF 공급부 및 플라즈마 챔버 사이에는, 임피던스 정합 회로가 보통 배치된다. 임피던스 정합 회로는 부하, 예컨대, 플라즈마 챔버 내의 플라즈마의 임피던스를, 소스, 예컨대, RF 전원 공급부의 임피던스와 정합시킨다. 그러나, 특정 상황들에서, 임피던스 정합은 플라즈마 임피던스에서의 변화를 반영할 만큼 빠르지 않다.
이 상황에서 본 개시물에서 설명되는 실시형태들이 생겨난다.
이 개시물의 실시형태들은 전력 및 주파수의 상태 기반 조절을 위한 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 본 실시형태들은 수많은 방법들, 예컨대, 프로세스, 장치, 시스템, 디바이스, 또는 컴퓨터 판독가능 매체 상의 방법으로 구현될 수 있다는 것이 이해되어야 한다. 여러 실시형태들이 아래에서 설명된다.
일 실시형태에서, 디지털 펄싱 (pulsing) 신호의 상태에서의 변화로, 다수의 RF 소스들에 의해 발생된 RF 신호들의 전력 및/또는 주파수들은 변경된다. 예를 들어, 디지털 펄싱 신호의 상태가 S1인 경우, 제 1 RF 소스는 제 1 전력 값 및 제 1 주파수를 갖는 전력 신호를 발생하고 제 2 RF 소스는 제 2 전력 값 및 제 2 주파수를 갖는 전력 신호를 발생한다. 상태 S1의 수신은 제 1 전력 값으로 그리고 제 1 주파수로 전력 신호의 발생을 그리고 제 2 전력 값으로 그리고 제 2 주파수로 전력 신호의 발생을 트리거링한다. 이 예에서, 디지털 펄싱 신호의 상태가 S0인 경우, 제 1 RF 소스는 제 3 전력 값과 제 1 주파수와 동일할 수도 있거나 또는 동일하지 않을 수도 있는 제 3 주파수를 갖는 전력 신호를 발생한다. 더구나, 이 예에서, 디지털 펄싱 신호의 상태가 S0인 경우, 제 2 RF 소스는 제 4 전력 값과 제 2 주파수와 동일할 수도 있거나 또는 동일하지 않을 수도 있는 제 4 주파수를 갖는 전력 신호를 발생한다. 상태 S0의 수신은 제 3 전력 값으로 그리고 제 3 주파수로 전력 신호의 발생을 그리고 제 4 전력 값으로 그리고 제 4 주파수로 전력 신호의 발생을 트리거링한다. 이 트리거링은 플라즈마 챔버 내의 플라즈마 임피던스에서의 변화에 응답하기 위한 응답 시간이 결과적으로 적어지게 한다. 하나의 실시형태에서, 제 2 및 제 4 전력 값들은 동일하다.
일 실시형태에서, 시스템은 전극에 커플링된 일차 (primary) 발생기를 구비한다. 일차 발생기는 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 전원 공급부를 구비한다. 일차 발생기는 펄스형 (pulsed) 신호가 제 1 상태에 있는 경우에 일차 전원 공급부에 제 1 주파수 입력을 제공하는 자동 주파수 제어기 (AFC) 를 더 구비한다. 시스템은 또한 전극에 커플링되는 이차 (secondary) 발생기를 구비한다. 이차 발생기는 전극에 이차 RF 신호를 공급하는 이차 전원 공급부를 구비한다. 이차 발생기는 또한 펄스형 신호가 제 1 상태에 있는 경우에 이차 전원 공급부에 제 2 주파수 입력을 제공하는 AFC 를 구비한다. 이차 발생기는 펄스형 신호가 제 2 상태에 있는 경우에 이차 전원 공급부에 제 3 주파수 입력을 제공하는 AFC 를 구비한다. 시스템은 펄스형 신호를 발생하는 디지털 펄싱 소스를 구비한다.
하나의 실시형태에서, 시스템은 기판을 지지하기 위한 표면을 갖는 하부 전극을 더 구비하는 플라즈마 챔버를 구비한다. 플라즈마 챔버는 하부 전극 위에 배치된 상부 전극을 구비한다. 상부 전극은 전기적으로 접지된다. 시스템은 하부 전극에 커플링된 일차 발생기를 구비한다. 일차 발생기는 하부 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 전원 공급부를 구비한다. 시스템은 또한 하부 전극에 커플링된 이차 발생기를 구비한다. 이차 발생기는 하부 전극에 이차 RF 신호를 공급하는 이차 전원 공급부를 구비한다. 시스템은 펄스형 신호를 발생하는 디지털 펄싱 소스를 구비한다. 디지털 펄싱 소스는 일차 발생기 및 이차 발생기에 커플링된다. 일차 발생기는 펄스형 신호가 제 1 상태에 있는 경우에 일차 전원 공급부에 제 1 주파수 입력을 제공하는 제 1 자동 주파수 제어기 (AFC) 를 구비한다. 이차 발생기는 펄스형 신호가 제 1 상태에 있는 경우에 이차 전원 공급부에 제 2 주파수 입력을 제공하는 제 2 AFC 를 구비한다. 더구나, 이차 발생기는 펄스형 신호가 제 2 상태에 있는 경우에 이차 전원 공급부에 제 3 주파수 입력을 제공하는 제 3 AFC 를 구비한다.
일 실시형태에서, 시스템은 펄스형 신호를 발생하는 디지털 펄싱 소스를 구비한다. 시스템은 일차 발생기를 더 구비한다. 일차 발생기는, 전극에 커플링되어 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 전원 공급부를 구비한다. 일차 발생기는 펄싱 소스에 커플링되어 펄스형 신호를 수신하는 일차 프로세서를 구비한다. 일차 프로세서는 펄스형 신호의 2 개의 상태들 중 제 1 상태 및 2 개의 상태들 중 제 2 상태를 식별하는데 사용된다. 일차 발생기는 또한, 일차 프로세서에 커플링되어 펄스형 신호가 제 1 상태에 있는지 또는 제 2 상태에 있는지에 기초하여 일차 전원 공급부에 일차 전력 값을 제공할 지의 여부를 결정하는 전력 제어기를 구비한다. 일차 발생기는, 일차 프로세서에 커플링되어 일차 프로세서로부터 상태 식별 (identification) 을 수신하는 자동 주파수 제어기 (AFC) 를 구비한다. AFC는 펄스형 신호가 제 1 상태에 있는 경우에 일차 RF 신호의 주파수를 제공하도록 구성된다.
이 실시형태에서, 시스템은 이차 발생기를 더 구비한다. 이차 발생기는, 전극에 커플링되어 전극에 이차 RF 신호를 공급하는 이차 전원 공급부를 구비한다. 이차 발생기는, 펄싱 소스에 커플링되어 펄스형 신호가 제 1 상태에 있는지 또는 제 2 상태에 있는지를 식별하기 위해 펄스형 신호를 수신하는 이차 프로세서를 구비한다. 이차 발생기는 또한, 이차 프로세서에 커플링되어 펄스형 신호가 제 1 상태에 있는 경우에 이차 전원 공급부에 제 1 이차 전력 값을 제공하는 제 1 전력 제어기를 구비한다. 이차 발생기는, 이차 프로세서에 커플링되어 펄스형 신호가 제 2 상태에 있는 경우에 이차 전원 공급부에 제 2 이차 전력 값을 제공하는 제 2 전력 제어기를 구비한다. 이차 발생기는, 이차 프로세서에 커플링되어 이차 프로세서로부터 상태 식별을 수신하는 제 1 AFC 를 더 구비한다. 제 1 AFC는 펄스형 신호가 제 1 상태에 있는 경우에 이차 RF 신호의 제 1 주파수 입력을 제공하도록 구성된다. 이차 발생기는, 이차 프로세서에 커플링되어 이차 프로세서로부터 상태 식별을 수신하는 제 2 AFC 를 구비한다. 제 2 AFC는 펄스형 신호가 제 2 상태에 있는 경우에 이차 RF 신호의 제 2 주파수 입력을 제공하도록 구성된다.
일 실시형태에서, 시스템은 기판을 지지하기 위한 표면을 갖는 하부 전극을 더 구비한 플라즈마 챔버를 구비한다. 플라즈마 챔버는 하부 전극 위에 배치된 상부 전극을 구비한다. 상부 전극은 전기적으로 접지된다. 시스템은 2 개의 상태들 간에 전이하는 펄스형 신호를 발생하는 디지털 펄싱 소스를 구비한다. 시스템은 일차 발생기를 더 구비한다. 일차 발생기는, 하부 전극에 커플링되어 하부 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 전원 공급부를 구비한다. 일차 발생기는, 펄싱 소스에 커플링되어 펄스형 신호를 수신하는 일차 프로세서를 더 구비한다. 일차 프로세서는 펄스형 신호의 2 개의 상태들 중 제 1 상태 및 2 개의 상태들 중 제 2 상태를 식별하기 위해 사용된다. 일차 발생기는, 또한 일차 프로세서에 커플링되어 펄스형 신호가 제 1 상태에 있는지 또는 제 2 상태에 있는지에 기초하여 일차 전원 공급부에 일차 전력 값을 제공할 지의 여부를 결정하는 전력 제어기를 구비한다. 일차 발생기는 일차 프로세서에 커플링되어 일차 프로세서로부터 상태 식별을 수신하는 자동 주파수 제어기 (AFC) 를 구비한다. AFC는 펄스형 신호가 제 1 상태에 있는 경우에 일차 RF 신호의 주파수를 제공하도록 구성된다.
이 실시형태에서, 시스템은 하부 전극에 커플링되어 하부 전극에 이차 RF 신호를 공급하는 이차 전원 공급부를 구비한 이차 발생기를 더 구비한다. 이차 발생기는, 펄싱 소스에 커플링되어 펄스형 신호가 제 1 상태에 있는지 또는 제 2 상태에 있는지를 식별하기 위해 펄스형 신호를 수신하는 이차 프로세서를 구비한다. 이차 발생기는, 이차 프로세서에 커플링되어 펄스형 신호가 제 1 상태에 있는 경우에 이차 전원 공급부에 제 1 이차 전력 값을 제공하는 제 1 전력 제어기를 더 구비한다. 이차 발생기는 또한, 이차 프로세서에 커플링되어 펄스형 신호가 제 2 상태에 있는 경우에 이차 전원 공급부에 제 2 이차 전력 값을 제공하는 제 2 전력 제어기를 구비한다. 이차 발생기는, 이차 프로세서에 커플링되어 이차 프로세서로부터 상태 식별을 수신하는 제 1 AFC 를 구비한다. 제 1 AFC는 펄스형 신호가 제 1 상태에 있는 경우에 일차 RF 신호의 주파수를 제공하도록 구성된다. 이차 발생기는, 이차 프로세서에 커플링되어 이차 프로세서로부터 상태 식별을 수신하는 제 2 AFC 를 구비한다. 제 2 AFC는 펄스형 신호가 제 2 상태에 있는 경우에 이차 RF 신호의 주파수를 제공하도록 구성된다.
하나의 실시형태에서, 시스템은 펄스형 신호를 발생하는 디지털 펄싱 소스를 구비한다. 시스템은 일차 발생기를 구비한다. 일차 발생기는 또한, 전극에 커플링되어 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 전원 공급부를 구비한다. 일차 발생기는, 펄싱 소스에 커플링되어 펄스형 신호를 수신하는 일차 프로세서를 구비한다. 일차 프로세서는 펄스형 신호의 2 개의 상태들 중 제 1 상태 및 2 개의 상태들 중 제 2 상태를 식별하는데 사용된다. 일차 발생기는, 일차 프로세서에 커플링되어 펄스형 신호가 제 1 상태에 있는 경우에 일차 전원 공급부에 제 1 일차 전력 값을 제공하는 제 1 일차 전력 제어기를 구비한다. 일차 발생기는 또한, 일차 프로세서에 커플링되어 펄스형 신호가 제 2 상태에 있는 경우에 일차 전원 공급부에 제 2 일차 전력 값을 제공하는 제 2 일차 전력 제어기를 구비한다. 일차 발생기는, 일차 프로세서에 커플링되어 일차 프로세서로부터 상태 식별을 수신하는 제 1 일차 자동 주파수 제어기 (AFC) 를 구비한다. 제 1 AFC는 펄스형 신호가 제 1 상태에 있는 경우에 일차 RF 신호에 제 1 일차 주파수 입력을 제공하도록 구성된다. 일차 발생기는, 일차 프로세서에 커플링되어 일차 프로세서로부터 상태 식별을 수신하는 제 2 일차 AFC 를 구비한다. 제 2 일차 AFC는 펄스형 신호가 제 2 상태에 있는 경우에 일차 RF 신호에 제 2 일차 주파수 입력을 제공하도록 구성된다.
이 실시형태에서, 시스템은 이차 발생기를 구비한다. 이차 발생기는, 전극에 커플링되어 전극에 이차 RF 신호를 공급하는 이차 전원 공급부를 구비한다. 이차 발생기는, 펄싱 소스에 커플링되어 펄스형 신호가 제 1 상태에 있는지 또는 제 2 상태에 있는지를 식별하기 위해 펄스형 신호를 수신하는 이차 프로세서를 더 구비한다. 이차 발생기는, 이차 프로세서에 커플링되어 펄스형 신호가 제 1 상태에 있는 경우에 이차 전원 공급부에 제 1 이차 전력 값을 제공하는 제 1 이차 전력 제어기를 구비한다. 이차 발생기는, 이차 프로세서에 커플링되어 펄스형 신호가 제 2 상태에 있는 경우에 이차 전원 공급부에 제 2 이차 전력 값을 제공하는 제 2 이차 전력 제어기를 구비한다. 이차 발생기는, 이차 프로세서에 커플링되어 이차 프로세서로부터 상태 식별을 수신하는 제 1 이차 AFC 를 구비한다. 제 1 이차 AFC는 펄스형 신호가 제 1 상태에 있는 경우에 이차 RF 신호에 제 1 이차 주파수 입력을 제공하도록 구성된다. 이차 발생기는 이차 프로세서에 커플링되어 이차 프로세서로부터 상태 식별을 수신하는 제 2 이차 AFC 를 구비한다. 제 2 이차 AFC는 펄스형 신호가 제 2 상태에 있는 경우에 이차 RF 신호에 제 2 이차 주파수 입력을 제공하도록 구성된다.
하나의 실시형태에서, 시스템은 기판을 지지하기 위한 표면을 갖는 하부 전극을 구비하는 플라즈마 챔버를 구비한다. 플라즈마 챔버는 하부 전극 위에 배치된 상부 전극을 구비한다. 상부 전극은 전기적으로 접지된다. 시스템은 펄스형 신호를 발생하는 디지털 펄싱 소스를 구비한다. 펄스형 신호는 2 개의 상태들 간을 전이한다. 시스템은 일차 발생기를 구비한다. 일차 발생기, 하부 전극에 커플링되어 하부 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 전원 공급부를 구비한다. 일차 발생기는 펄싱 소스에 커플링되어 펄스형 신호를 수신하는 일차 프로세서를 더 구비한다. 일차 프로세서는 펄스형 신호의 2 개의 상태들 중 제 1 상태 및 2 개의 상태들 중 제 2 상태를 식별하기 위해 사용된다. 일차 발생기는, 일차 프로세서에 커플링되어 펄스형 신호가 제 1 상태에 있는 경우에 일차 전원 공급부에 제 1 일차 전력 값을 제공하는 제 1 일차 전력 제어기를 구비한다. 일차 발생기는, 일차 프로세서에 커플링되어 펄스형 신호가 제 2 상태에 있는 경우에 일차 전원 공급부에 제 2 일차 전력 값을 제공하는 제 2 일차 전력 제어기를 더 구비한다. 일차 발생기는 또한, 일차 프로세서에 커플링되어 일차 프로세서로부터 상태 식별을 수신하는 제 1 일차 자동 주파수 제어기 (AFC) 를 구비한다. 제 1 AFC는 펄스형 신호가 제 1 상태에 있는 경우에 일차 RF 신호의 주파수를 제공하도록 구성된다. 일차 발생기는, 일차 프로세서에 커플링되어 일차 프로세서로부터 상태 식별을 수신하는 제 2 일차 AFC 를 구비한다. 제 2 일차 AFC는 펄스형 신호가 제 2 상태에 있는 경우에 일차 RF 신호의 주파수를 제공하도록 구성된다.
이 실시형태에서, 시스템은, 하부 전극에 커플링되어 하부 전극에 이차 RF 신호를 공급하는 이차 전원 공급부를 더 구비한 이차 발생기를 구비한다. 이차 발생기는 펄싱 소스에 커플링되어 펄스형 신호가 제 1 상태에 있는지 또는 제 2 상태에 있는지를 식별하기 위해 펄스형 신호를 수신하는 이차 프로세서를 구비한다. 이차 발생기는, 이차 프로세서에 커플링되어 펄스형 신호가 제 1 상태에 있는 경우에 이차 전원 공급부에 제 1 이차 전력 값을 제공하는 제 1 이차 전력 제어기를 더 구비한다. 이차 발생기는, 이차 프로세서에 커플링되어 펄스형 신호가 제 2 상태에 있는 경우에 이차 전원 공급부에 제 2 이차 전력 값을 제공하는 제 2 이차 전력 제어기를 구비한다. 이차 발생기는, 이차 프로세서에 커플링되어 이차 프로세서로부터 상태 식별을 수신하는 제 1 이차 AFC 를 더 구비한다. 제 1 이차 AFC는 펄스형 신호가 제 1 상태에 있는 경우에 이차 RF 신호의 주파수를 제공하도록 구성된다. 이차 발생기는 이차 프로세서에 커플링되어 이차 프로세서로부터 상태 식별을 수신하는 제 2 이차 AFC로서, 펄스형 신호가 제 2 상태에 있는 경우에 이차 RF 신호의 주파수를 제공하도록 구성된 제 2 이차 AFC 를 구비한다.
일 실시형태에서, 방법은 디지털 펄싱 신호를 수신하는 단계를 포함한다. 디지털 펄싱 신호는 2 개의 상태들을 가진다. 이 방법은 디지털 펄싱 신호가 2 개의 상태들 중 제 1 상태에 있는 경우에 일차 RF 전원 공급부에 제 1 주파수 입력을 인가하는 것에서부터 디지털 펄싱 신호가 2 개의 상태들 중 제 2 상태에 있는 경우에 일차 RF 전원 공급부에 제 2 주파수 입력을 인가하는 것으로 스위칭하는 단계를 더 구비한다. 이 방법은 디지털 펄싱 신호가 제 1 상태에 있는 경우에 이차 RF 전원 공급부에 인가할 제 3 주파수 입력을 결정하는 단계를 포함한다.
위에서 설명된 실시형태들의 일부 이점들은 플라즈마 챔버 내의 플라즈마 임피던스에서의 변화에 응답하는 응답 시간을 줄이는 것을 포함한다. 예를 들어, 상태 신호, 예컨대, 트랜지스터-트랜지스터 로직 (TTL) 신호 등이 다수의 RF 전력 공급부들에 의해 공급된 주파수 및/또는 전력을 제어하는데 이용되는 경우, RF 공급부들 중 제 1 RF 공급부는 RF 공급부들 중 제 2 RF 공급부의 전력 및/또는 주파수에서의 변화에 응답하는 시간을 필요로 하지 않는다. 보통, 제 1 RF 공급부에 입력되는 주파수 및/또는 전력이 변하는 경우, 플라즈마 임피던스에는 변화가 있고 제 1 RF 공급부는 임피던스에서의 변화에 반응한다. 이 반응은 시간이 걸리며, 이는 플라즈마 챔버 내에서 발생하는 프로세스, 예컨대, 에칭, 증착 (deposition), 세정 등에 부정적 영향을 준다. RF 공급부들이 소정의 주파수들 및/또는 소정의 전력를 갖는 상태 신호의 상태의 변화에 반응하는 경우, 플라즈마 임피던스에서의 변화에 반응하는 시간은 감소된다. 이 시간에서의 감소는 결과적으로 프로세스에 부정적 영향을 주는 시간이 감소되게 한다.
다른 양태들은 첨부 도면들에 연계하여 취해지는 다음의 상세한 설명으로부터 명백하게 될 것이다.
실시형태들은 첨부 도면들에 연계하여 취해질 다음의 설명을 참조하여 최상으로 이해될 수도 있다.
도 1은 본 개시물에서 설명되는 실시형태에 따라서, 플라즈마 임피던스에서의 변화에 따라 전력 제어기들 및/또는 주파수 튜너들을 튜닝하는 시간량을 감소시키기 위한 시스템의 블록도이다.
도 2는 본 개시물에서 설명되는 실시형태에 따라서, 트랜지스터-트랜지스터 로직 (TTL) 신호의 상태에서의 변화들과 함께 무선 주파수 (RF) 전력 신호들의 상태에서의 변화들을 보여주고 감마에서의 변화에 기초한 전력 신호들의 주파수들 및/또는 전력 값들에서의 조절을 보여주는 테이블의 실시형태이다.
도 3은 본 개시물에서 설명되는 실시형태에 따라서, 전력 제어기들 및/또는 주파수 튜너들이 0이 아닌 값들을 제공하지 않는 경우의, 플라즈마 임피던스에서의 변화에 따라 전력 제어기들 및/또는 주파수 튜너들을 튜닝하는 시간량을 감소시키기 위한 시스템의 다이어그램이다.
도 4a는 본 개시물에서 설명되는 실시형태에 따라서, RF 신호들 중 하나는 상수 값 또는 가변 값들을 가지는 두 개의 RF 신호들을 갖는 그래프들을 도시한다.
도 4b는 본 개시물에서 설명되는 실시형태에 따라서, RF 신호들 양쪽 모두가 가변 값들을 갖는 두 개의 RF 신호들을 가지는 그래프들을 도시한다.
도 5a는 본 개시물에서 설명되는 실시형태에 따라서, RF 신호들 중 하나는 상수 값을 가지고 RF 신호들 중 다른 하나는 상수 값 또는 가변 값들을 가지는 3 개의 RF 신호들을 갖는 그래프들을 도시한다.
도 5b는 본 개시물에서 설명되는 실시형태에 따라서, RF 신호들 중 하나는 상수 값을 가지고 나머지 2 개의 RF 신호들은 가변 값들을 가지는 3 개의 RF 신호들을 갖는 그래프들을 도시한다.
도 5c는 본 개시물에서 설명되는 실시형태에 따라서, RF 신호들 중 하나는 상수 값 또는 가변 값들을 가지고 나머지 2 개의 RF 신호들은 가변 값들을 가지는 3 개의 RF 신호들을 갖는 그래프들을 도시한다.
도 5d는 본 개시물에서 설명되는 실시형태에 따라서, 모든 3 개의 RF 신호들이 가변 값들을 가지는 그래프들을 도시한다.
도 5e는 본 개시물에서 설명되는 실시형태에 따라서, RF 신호들 중 하나는 상수 값 또는 가변 값들을 가지고 나머지 RF 신호들은 가변 값들을 가지는 3 개의 RF 신호들을 갖는 그래프들을 도시한다.
도 5f는 본 개시물에서 설명되는 실시형태에 따라서, 모든 3 개의 RF 신호들이 가변 값들을 가지는 그래프들을 도시한다.
도 6은 본 개시물에서 설명되는 실시형태에 따라서, TTL 신호의 상태에 기초하여 자동 주파수 튜너들 (AFT들) 간을 선택하기 위한 시스템의 블록도이다.
도 7은 본 개시물에서 설명되는 실시형태에 따라서, 전력 값들의 제 1 세트 및 주파수들의 제 1 세트를 갖는 RF 신호들을 발생할 것인지 또는 전력 값들의 제 2 세트 및 주파수들의 제 2 세트를 갖는 RF 신호들을 발생할 것인지를 결정하기 위한 방법의 흐름도이다.
다음의 실시형태들은 전력 및 주파수의 상태 기반 조절을 위한 시스템들 및 방법들을 설명한다. 본 발명은 이러한 특정 세부사항들의 일부 또는 전부 없이도 실용화될 수도 있다는 것이 명백할 것이다. 다른 경우들에서, 주지의 프로세스 동작들은 본 실시형태들을 불필요하게 모호하게 하지 않기 위하여 상세히 설명되고 있지 않다.
도 1은 플라즈마 임피던스에서의 변화에 따라 전력 제어기들 및/또는 주파수 튜너들을 튜닝하는 시간량을 감소시키기 위한 시스템 (180) 의 일 실시형태의 블록도이다. 2 메가헤르츠 (MHz) 무선 주파수 (RF) 전원 공급부는 RF 전력을 임피던스 정합 회로 (182) 를 경유하여 플라즈마 챔버 (102) 의 하부 전극 (104) 에 공급한다. 마찬가지로, 60 MHz 전원 공급부는 RF 전력을 임피던스 정합 회로 (186) 를 경유하여 하부 전극 (104) 에 공급한다. 하나의 실시형태에서, 60 MHz 소스 대신, 27 MHz 소스가 RF 전력을 하부 전극 (104) 에 제공하는데 사용된다는 점에 주의해야 한다. 더구나, 2 MHz, 27 MHz, 및 60 MHz의 값들이 예들로서 제공되고 제한되지 않는다는 점에 주의해야 한다. 예를 들어, 2 MHz 전원 공급부 대신, 2.5 MHz 전원 공급부가 사용될 수도 있고 60 MHz 전원 공급부 대신, 65 MHz 전원 공급부가 사용될 수도 있다. 다른 실시형태에서, 2 MHz 소스 및 60 MHz 소스들 외에도, 27 MHz 소스가 RF 전력을 하부 전극 (104) 에 제공하기 위해 사용된다.
임피던스 정합 회로는 임피던스 정합 회로에 커플링된 전원의 임피던스를 임피던스 정합 회로에 커플링된 부하의 임피던스와 정합시키기 위해 전기 회로 컴포넌트들, 예컨대, 인덕터들, 커패시터들 등을 구비한다. 예를 들어, 임피던스 정합 회로 (182) 는 2 MHz 전원 공급부의 임피던스를 플라즈마 챔버 (102) 내에서 발생된 플라즈마의 임피던스와 일치시킨다. 다른 예로서, 임피던스 정합 회로 (186) 는 60 MHz 전원 공급부의 임피던스를 플라즈마 챔버 (102) 내에서 발생된 플라즈마의 임피던스와 일치시킨다. 또 다른 예로서, 임피던스 정합 회로 (182) 는 2 MHz 전원 공급부의 임피던스를 플라즈마 챔버 (102) 의 일 부분, 예컨대, 플라즈마 및 하부 전극 (104) 의 임피던스와 일치시킨다. 하나의 실시형태에서, 임피던스 정합 회로는 임피던스 정합 회로에 커플링된 RF 전원 공급부의 임피던스 및 제 1 부하의 임피던스 사이의 정합을 용이하게 하기 위해 튜닝된다. 전원 및 부하 사이의 임피던스 정합은 전력이 부하로부터 전원 쪽으로 반사될 기회들을 줄인다.
플라즈마 챔버 (102) 는 하부 전극 (104), 상부 전극 (110), 및 다른 컴포넌트들 (미도시), 예컨대, 상부 전극 (110) 을 둘러싸는 상부 유전체 링, 상부 유전체 링을 둘러싸는 하부 전극 연장부, 하부 전극을 둘러싸는 하부 유전체 링, 하부 유전체 링을 둘러싸는 하부 전극 연장부, 상부 플라즈마 배제 존 (plasma exclusion zone; PEZ) 링, 하부 PEZ 링 등을 구비한다. 상부 전극 (110) 은 하부 전극 (104) 의 반대편에서 마주하게 위치된다. 기판 (108), 예컨대, 반도체 웨이퍼는, 하부 전극 (104) 의 상부 표면 (106) 상에 지지된다. 집적 회로들, 예컨대, 주문형 집적 회로 (ASIC), 프로그램가능 로직 디바이스 (PLD) 등은 기판 (108) 에서 성장되고 집적 회로들은 다양한 디바이스들, 예컨대, 셀 폰들, 테블릿들, 스마트 폰들, 컴퓨터들, 랩톱들, 네트워킹 장비 등에서 사용된다. 하부 전극 (104) 은 금속, 예컨대, 양극처리된 (anodized) 알루미늄, 알루미늄 합금 등으로 만들어진다. 또한, 상부 전극 (110) 은 금속, 예컨대, 알루미늄, 알루미늄 합금 등으로 만들어진다.
하나의 실시형태에서, 상부 전극 (110) 은 중앙 가스 피드 (미도시) 에 커플링된 홀을 구비한다. 중앙 가스 피드는 하나 이상의 프로세스 가스들을 가스 공급부 (미도시) 로부터 수신한다. 프로세스 가스들의 예들은 산소 함유 가스, 이를테면 O2를 포함한다. 프로세스 가스의 다른 예들은 플루오린 함유 가스, 예컨대, 테트라플루오로메탄 (CF4), 육불화 황 (SF6), 헥사플루오로에탄 (C2F6) 등을 포함한다. 상부 전극 (110) 은 접지된다. 하부 전극 (104) 은 임피던스 정합 회로 (182) 를 경유하여 2MHz RF 전원 공급부에 그리고 임피던스 정합 회로 (186) 를 경유하여 60 MHz RF 전원 공급부에 커플링된다.
프로세스 가스가 상부 전극 (110) 및 하부 전극 (104) 사이에 공급되는 경우 그리고 전원공급부, 예컨대, 2MHz 전원 공급부 및/또는 60 MHz 전원 공급부가 대응하는 임피던스 정합 회로를 경유하여 하부 전극 (104) 에 전력을 공급하는 경우, 프로세스 가스는 플라즈마 챔버 (102) 내에서 플라즈마를 발생하기 위해 점화된다. 예를 들어, 2 MHz 공급부는 프로세스 가스를 점화하여 플라즈마를 발생하기 위해 임피던스 정합 회로 (182) 를 경유하여 전력을 공급한다.
컴퓨터 (미도시) 상의 도구 사용자 인터페이스 (UI) (190) 는 디지털 펄싱 신호인 트랜지스터-트랜지스터 로직 (TTL) 신호 (112) 를 발생하는데 사용된다. 하나의 실시형태에서, 컴퓨터는 TTL 회로를 구비한다. 본원에서 사용되는 바와 같이, 컴퓨터 대신, 프로세서, 제어기, ASIC, 또는 PLD가 사용되고, 이들 용어들은 본원에서 교환적으로 이용된다. TTL 신호 (112) 는 상태들인 S1 및 S0을 포함한다. TTL 신호 (112) 는 50% 듀티 사이클을 가진다. 하나의 실시형태에서, TTL 신호 (112) 는 5%부터 95%까지에 이르는 듀티 사이클을 가진다. 상태 S1의 일 예는 온 상태, 1의 값을 갖는 상태, 또는 하이 상태를 포함한다. 상태 S0의 일 예는 오프 상태, 0의 값을 갖는 상태, 또는 로우 상태를 포함한다. 하이 값은 로우 값보다 크다.
다른 실시형태에서, 컴퓨터 대신, 클록 발진기, 예컨대, 수정 발진기가 아날로그 클록 신호를 발생하는데 사용되며, 그 아날로그 클록 신호는 아날로그-디지털 변환기에 의해 TTL 신호 (112) 에 유사한 디지털 신호로 변환된다. 예를 들어, 수정 발진기는 수정 발진기에 가까운 또는 그것 상의 전극에 전압을 인가함으로써 전기장에서 발진하도록 만들어진다.
TTL 신호 (112) 는 디지털 신호 프로세서 (DSP) (140) 및 다른 DSP (150) 에 보내진다. 각각의 DSP (140 및 150) 는 TTL 신호 (112) 를 수신하고 TTL 신호 (112) 의 상태들 (S0 및 S1) 을 식별한다. 예를 들어, DSP (140) 는 상태 S0 및 상태 S1 간을 구별한다. 다른 예로서, DSP (140) 는 TTL 신호 (112) 가 기간들의 제 1 세트 동안에 제 1 크기를 가지고 기간들의 제 2 세트 동안에 제 2 크기를 가진다고 결정한다. DSP (140) 는 TTL 신호 (112) 가 기간들의 제 1 세트 동안에 상태 S1을 가지고 기간들의 제 2 세트 동안에 상태 S0을 가진다고 결정한다. 또 다른 예로서, DSP (140) 는 TTL 신호 (112) 의 크기를 사전저장된 값과 비교하여 TTL 신호 (112) 의 크기가 기간들의 제 1 세트 동안에 사전저장된 값보다 크다는 것과 TTL 신호 (112) 의 상태 S0 동안의 크기가 기간들의 제 2 세트 동안에 사전저장된 값보다 크지 않다고 결정한다. 클록 발진기가 사용되는 실시형태에서, 각각의 DSP (140 및 150) 는 클록 발진기로부터 아날로그 클록 신호를 수신하며, 그 아날로그 신호를 디지털 형태로 변환한 다음, 2 개의 상태 S0 및 S1을 식별한다.
각각의 DSP (140 및 150) 는 DSP 내의 하나 이상의 메모리 디바이스들의 메모리 로케이션들에 상태들 S0 및 S1을 저장한다. 멤버 디바이스의 예들은 랜덤 액세스 메모리 (RAM) 및 판독 전용 메모리 (ROM) 를 포함한다. 메모리 디바이스는 플래시 메모리, 하드 디스크, 저장 디바이스, 컴퓨터 판독가능 매체 등일 수도 있다.
각각의 DSP (140 및 150) 는 식별된 상태들 S0 및 S1을 대응하는 메모리 로케이션들로부터 대응하는 자동 주파수 튜너들 (AFT들) (114, 118, 및 120) 로, 그리고 대응하는 전력 제어기들 (142, 152, 및 154) 로 제공한다. 예를 들어, DSP (140) 는 TTL 신호 (112) 가 듀티 사이클의 시간들 (t1 및 t2) 사이에서 상태 S1에 있다는 것을 AFT (114) 및 전력 제어기 (142) 에 표시한다. 다른 예로서, DSP (150) 는 TTL 신호 (112) 가 듀티 사이클의 시간들 (t1 및 t2) 사이에서 상태 S1에 있다는 것을 AFT (118) 및 전력 제어기 (152) 에 표시한다. 또 다른 예로서, DSP (150) 는 TTL 신호 (112) 가 듀티 사이클의 시간들 (t2 및 t3) 사이에서 상태 S0에 있다는 것을 AFT (120) 및 전력 제어기 (154) 에 표시한다. 용어 튜너 및 제어기는 본원에서 교환적으로 이용된다. AFT의 일 예는 미국특허 제6,020,794호에서 제공되며, 그 미국특허는 그것의 전체가 참조로 본원에 통합된다.
각각의 AFT (114, 118, 및 120) 는 TTL 신호 (112) 의 상태에 기초하여 주파수 값을 결정하고 각각의 전력 제어기 (142, 152, 및 154) 는 TTL 신호 (112) 의 상태에 기초하여 전력 값을 결정한다. 예를 들어, AFT (114) 는 TTL 신호 (112) 의 상태가 S1인 경우에 주파수 값 F11이 2 MHz 전원 공급부에 제공되는 것이라고 결정하고 전력 제어기 (142) 는 TTL 신호 (112) 의 상태가 S1인 경우에 전력 값 P11이 2 MHz 전원 공급부에 제공되는 것이라고 결정한다. 다른 예로서, AFT (118) 는 TTL 신호 (112) 의 상태가 S1인 경우에 주파수 값 F21이 60 MHz 전원 공급부에 제공되는 것이라고 그리고 TTL 신호 (112) 의 상태가 S0인 경우에 주파수 값 F20이 60 MHz 전원 공급부에 제공되는 것이라고 결정한다. 또 다른 예로서, 전력 제어기 (150) 는 TTL 신호 (112) 의 상태가 S0인 경우에 전력 값 P20이 60 MHz 전원 공급부에 제공되는 것이라고 TTL 신호 (112) 의 상태가 S1인 경우에 전력 값 P21이 60 MHz 전원 공급부에 제공되는 것이라고 결정한다.
하나의 실시형태에서, 주파수 값 F21 및 전력 값 P21은 훈련 루틴에 기초하여 발생된다. 훈련 루틴 동안, 2 MHz 전원 공급부가 그것의 RF 전력 신호를 로우 전력 값에서부터 하이 전력 값으로 변경하는 경우, 플라즈마 챔버 (102) 및 60 MHz 전원 공급부 내의 하나 이상의 부분들 사이에는 임피던스 부정합이 존재한다. 하이 전력 값은 로우 전력 값보다 높다. 2 MHz 전원 공급부는 그것의 RF 전력 신호를 TTL 신호 (112) 또는 2 MHz RF 전원 공급부에 공급되는 클록 신호의 상태가 S0에서 S1로 변경되는 경우에 변경시킨다. 이 경우, 60 MHz 전원 공급부는 2 MHz 전원 공급부가 하이 전력 값에서 전력을 공급하는 것을 시작하는 경우에 튜닝되는 그것의 주파수 및 전력을 가진다. 임피던스 부정합을 감소시키기 위해, 60 MHz 전원 공급부는 주파수 값에 대한 그리고 전력 값에 대한 튜닝, 예컨대, 수렴화를 시작한다. 수렴 (convergence) 은 DSP (150) 에 의해 표준 편차 또는 다른 테크닉에 기초하여 결정될 수도 있다. 주파수 값 및 전력 값으로 수렴하기 위한 더 많은 시간을 60 MHz 전원 공급부에 허용하기 위해, 2 MHz 전원 공급부는 통상의 기간보다 연장된 기간 동안 하이 전력 값으로 유지된다. 통상의 기간은 임피던스 부정합이 감소되지, 예컨대, 제거되지 않는 시간량이다. 통상의 기간의 일 예는 TTL 신호 (112) 의 절반 사이클과 동일하다. 60 MHz 전원 공급부가 주파수 값 및 전력 값으로 수렴하는 경우, 수렴된 주파수 값은 AFT (118) 내에 주파수 값 F21로서 저장되고 변환된 전력 값은 전력 제어기 (152) 내에 전력 값 P21로서 저장된다. 마찬가지로, 훈련 루틴 동안, 주파수 값들 F20 및 F11과 전력 값들 P11 및 P20은 발생된다. 주파수 값 F20은 AFT (120) 에 저장되며, 주파수 값 F11은 AFT (114) 에 저장되며, 전력 값 P11은 전력 제어기 (142) 에 저장되고, 전력 값 P20은 전력 제어기 (154) 에 저장된다.
TTL 신호 (112) 의 상태가 S1인 경우, 전력 제어기 (142) 는 P11의 전력 값을 2 MHz 전원 공급부에 제공하고 전력 제어기 (152) 는 P21의 전력 값을 60 MHz 제어기에 제공한다. 상태 S1 동안, AFT (114) 는 F11의 주파수 값을 2 MHz 전원 공급부에 제공하고 AFT (118) 는 F21의 주파수 값을 60 MHz 전원 공급부에 제공한다.
더구나, 하나의 실시형태에서, TTL 신호 (112) 의 상태가 S1인 경우, 전력 제어기 (154) 는 P20의 전력 값을 60 MHz 전원 공급부에 공급하는 것으로부터 자신을 제한한다. 또한, 이 실시형태에서, AFT (120) 는 F20의 주파수 값을 60 MHz 전원 공급부에 제공하는 것으로부터 자신을 제한한다.
일 실시형태에서, 상태 S1 동안, P11의 전력 값 및 F11의 주파수 값은 P21의 전력 값 및 F21의 주파수 값의 60 MHz 전원 공급부로의 제공과 동시에 2 MHz 전원 공급부에 제공된다. 예를 들어, 상태 S1에서, P11의 전력 값 및 F11의 주파수 값은 P21의 전력 값 및 F21의 주파수 값이 60 MHz 전원 공급부에 제공되는 동안인 TTL 신호 (112) 의 클록 에지와 동일한 클록 에지 동안 2 MHz 전원 공급부에 제공된다.
하나의 실시형태에서, 상태 S1 동안, P11의 전력 값 및 F11의 주파수 값은 P21의 전력 값 및 F21의 주파수 값의 60 MHz 전원 공급부로의 제공의 시간과 거의 동일한 시간에 2 MHz 전원 공급부에 제공된다. 예를 들어, 상태 S1에서, P11의 전력 값 및 F11의 주파수 값은 TTL 신호 (112) 의 클록 에지의 발생 전 또는 후의 1초의 몇 분의 1 내에, 예컨대, 수 마이크로초, 밀리초, 나노초 등의 후에, 2 MHz 전원 공급부에 제공된다. 이 예에서, P21의 전력 값 및 F21의 주파수 값은 클록 에지의 발생 동안 60 MHz 전원 공급부에 제공된다.
2 MHz 전원 공급부는 상태 S1 동안 F11의 주파수 값 및 P11의 전력 값을 수신한다. 값들 F11 및 P11을 수신 시, 2 MHz 전원 공급부는 주파수 F11에서 RF 전력을 발생하고 그 RF 전력은 P11의 전력 값을 가진다. 더구나, 60 MHz 전원 공급부는 상태 S1 동안에 F21의 주파수 값 및 P21의 전력 값을 수신한다. 값들 F21 및 P21을 수신 시, 60 MHz 전원 공급부는 주파수 F21에서 RF 전력을 발생하고 그 RF 전력은 P21의 전력 값을 가진다.
하나의 실시형태에서, RF 전원 공급부는 드라이버와 그 뒤의 증폭기를 구비한다. 증폭기는 순방향 전력을 송신 라인을 경유하여 플라즈마 챔버 (102) 에 공급한다. 예를 들어, 2 MHz 전원 공급부의 증폭기는, 전력 값 P11에 비례하는, 예컨대 그것과 동일한, 그것의 배수인 등의 전력 값을 가지고 주파수 값 F11을 가지는 순방향 전력을 송신 라인 (230) 및 임피던스 정합 회로 (182) 를 경유하여 플라즈마 챔버 (102) 에 공급한다. 다른 예로서, 60 MHz 전원 공급부의 증폭기는, P21의 전력 값에 비례하는 전력 값을 가지고 주파수 값 F21을 가지는 순방향 전력을 송신 라인 (232) 및 임피던스 정합 회로 (186) 를 경유하여 플라즈마 챔버 (102) 에 공급한다.
TTL 신호 (112) 의 상태가 S0인 경우, 전력 값은 2 MHz 전원 공급부에 제공되지 않고 전력 제어기 (154) 는 P20의 전력 값을 60 MHz 제어기에 제공한다. 상태 S0 동안, 주파수 값은 2 MHz 전원 공급부에 제공되지 않고 AFT (120) 는 F20의 주파수 값을 60 MHz 전원 공급부에 제공한다.
더구나, 하나의 실시형태에서, TTL 신호 (112) 의 상태가 S0인 경우, 전력 제어기 (142) 는 P11의 전력 값을 2 MHz 전원 공급부에 제공하는 것으로부터 자신을 제한하고 전력 제어기 (152) 는 P21의 전력 값을 60 MHz 전원 공급부에 제공하는 것으로부터 자신을 제한한다. 또한, 이 실시형태에서, AFT (114) 는 F11의 주파수 값을 2 MHz 전원 공급부에 제공하는 것으로부터 자신을 제한하고 AFT (118) 는 F21의 주파수 값을 60 MHz 전원 공급부에 제공하는 것으로부터 자신을 제한한다.
하나의 실시형태에서, 상태 S0 동안, 전력 및 주파수 값들의 2 MHz 전원 공급부로의 제공의 결여는 P20의 전력 값 및 F20의 주파수 값의 60 MHz 전원 공급부로의 제공과 동시에 수행된다. 예를 들어, 상태 S1에서, 0의 전력 값 및 0의 주파수 값은 P20의 전력 값 및 F20의 주파수 값이 60 MHz 전원 공급부에 제공되는 동안의 클록 에지와 동일한 TTL 신호 (112) 의 동일한 클록 에지 동안 2 MHz 전원 공급부에 제공된다.
2 MHz 전원 공급부는 임의의 주파수 및 전력 값들을 수신하지 않는다, 예컨대, 0의 주파수 값 및 0의 전력 값을, 상태 S0 동안 수신한다. 전력 및 주파수 값들을 수신하지 않을 시, 2 MHz 전력 공급부는 0의 주파수의 RF 전력 및 0의 전력 값을 갖는 RF 전력을 발생한다. 더구나, 60 MHz 전원 공급부는 상태 S0 동안 F20의 주파수 값 및 P20의 전력 값을 수신한다. 값들 F20 및 P20을 수신 시, 60 MHz 전원 공급부는 주파수 F20의 RF 전력을 발생하고 그 RF 전력은 P20의 전력 값을 가진다.
2 MHz 전원 공급부의 증폭기는 순방향 전력을 공급하지 않는다, 예컨대, 0의 전력 값을 가지고 0의 주파수 값을 가지는 순방향 전력을 송신 라인 (230) 및 임피던스 정합 회로 (182) 를 경유하여 플라즈마 챔버 (102) 에 공급한다. 60 MHz 전원 공급부의 증폭기는, P20의 전력 값에 비례하는 전력 값을 가지고 주파수 값 F20을 가지는 순방향 전력을 송신 라인 (232) 및 임피던스 정합 회로 (186) 를 경유하여 플라즈마 챔버 (102) 에 공급한다.
하나의 실시형태에서, 하나 또는 양쪽 모두의 상태들 S1 및 S0 동안, 센서 (210) 는 플라즈마 챔버 (102) 의 플라즈마로부터 반사된 RF 전력인 반사된 전력을 송신 라인 (230) 상에서 감지한다. 더구나, 하나 또는 양쪽 모두의 상태들 S1 및 S0 동안, 순방향 전력이 2 MHz RF 전원 공급부로부터 송신 라인 (230) 을 경유하여 플라즈마 챔버 (102) 로 전송되는 경우에 센서 (210) 는 송신 라인 (230) 상에서 순방향 전력을 감지한다. 마찬가지로, 하나 또는 양쪽 모두의 상태들 S1 및 S0 동안, 센서 (212) 는 플라즈마 챔버 (102) 의 플라즈마로부터 반사된 전력을 감지한다. 센서 (212) 에 의해 감지된 반사된 전력은 플라즈마 챔버 (102) 의 플라즈마로부터 송신 라인 (232) 상에서 반사된다. 더구나, 하나 또는 양쪽 모두의 상태들 S1 및 S0 동안, 순방향 전력이 60 MHz RF 전원 공급부로부터 송신 라인 (232) 을 경유하여 플라즈마 챔버 (102) 로 전송되는 경우에 센서 (212) 는 송신 라인 (232) 상에서 순방향 전력을 감지한다.
아날로그-디지털 변환기 (ADC) (220) 는 반사된 전력 신호들 및 센서 (210) 에 의해 감지된 순방향 전력 신호들을 아날로그 형태로부터 디지털 형태로 변환하고 ADC (222) 는 반사된 전력 신호들 및 센서 (212) 에 의해 감지된 순방향 전력 신호들을 아날로그로부터 디지털 형태로 변환한다. 하나 또는 양쪽 모두의 상태들 S1 및 S0 동안, DSP (140) 는 반사된 전력 신호 및 센서 (210) 에 의해 감지된 순방향 전력 신호의 디지털 값들을 수신하고 DSP (150) 는 반사된 전력 신호 및 센서 (212) 에 의해 감지된 순방향 전력 신호의 디지털 값들을 수신한다. DSP (140) 는 하나 또는 양쪽 모두의 상태들 S1 및 S0 동안, 디지털 반사된 전력 신호 및 디지털 순방향 전력 신호의 관계, 예컨대, 비율, 전압 정재파 비율 (voltage standing wave ratio; VSWR) 등을 계산하여 감마의 값들을 발생한다. 1의 감마 값은 소스 및 부하의 임피던스들 사이의 높은 부정합도를 나타내고 0의 감마 값은 소스 및 부하의 임피던스들 사이의 낮은 부정합도를 나타낸다. 마찬가지로, DSP (150) 는 하나 또는 양쪽 모두의 상태들 S1 및 S0 동안에 디지털 반사된 전력 신호 및 디지털 순방향 전력 신호 사이의 관계를 계산하여 감마의 값들을 발생한다. VSWR은 RC-1 및 RC+1의 비율과 동일한 것으로서 계산되며, 여기서 RC는 반사 계수이다.
감마 값은 상태 S1 동안에 DSP (140) 로부터 AFT (114) 로 전송되고 감마 값은 상태 S1 동안에 DSP (150) 로부터 AFT (118) 로 전송된다. 상태 S1 동안, AFT (114) 는 DSP (140) 로부터 수신된 감마의 값에 기초하여 주파수 값을 결정하고 AFT (118) 는 DSP (150) 로부터 수신된 감마의 값에 기초하여 주파수 값을 결정한다. 상태 S1 동안, AFT (114) 는 감마 값에 기초하여 발생된 주파수 값에 기초하여 F11의 주파수 값을 조절하고 조절된 주파수 값을 2 MHz 전원 공급부에 제공한다. 더구나, 상태 S1 동안, AFT (118) 는 감마 값에 기초하여 발생된 주파수 값에 기초하여 F21의 주파수 값을 조절하고 조절된 주파수 값을 60 MHz 전원 공급부에 제공한다.
더구나, 상태 S1 동안, 전력 제어기 (142) 는 DSP (140) 로부터 수신된 감마의 값에 기초하여 전력 값을 결정하고 전력 제어기 (152) 는 DSP (150) 로부터 수신된 감마의 값에 기초하여 전력 값을 결정한다. 상태 S1 동안, 전력 제어기 (142) 는 감마 값에 기초하여 발생된 전력 값에 기초하여 P11의 전력 값을 조절하고 조절된 전력 값을 2 MHz 전원 공급부에 제공한다. 더구나, 상태 S1 동안, 전력 제어기 (152) 는 감마 값에 기초하여 발생된 전력 값에 기초하여 P21의 전력 값을 조절하고 조절된 전력 값을 60 MHz 전원 공급부에 제공한다.
상태 S1 동안, 2 MHz 전원 공급부는 AFT (114) 로부터 수신된 조절된 주파수 값을 가지고 전력 제어기 (142) 로부터 수신된 조절된 전력 값을 가지는 전력 신호를 발생하고, 그 전력 신호를 임피던스 정합 회로 (182) 를 경유하여 플라즈마 챔버 (102) 에 공급한다. 마찬가지로, 상태 S1 동안, 60 MHz 전원 공급부는 AFT (118) 로부터 수신된 조절된 주파수 값을 가지고 전력 제어기 (152) 로부터 수신된 조절된 전력 값을 가지는 전력 신호를 발생하고, 그 전력 신호를 임피던스 정합 회로 (186) 를 경유하여 플라즈마 챔버 (102) 에 공급한다.
더욱이, 상태 S0 동안, 전력 및 주파수 값들의 2 MHz 전원 공급부로의 제공은 없고 및 2 MHz 전원 공급부의 0의 주파수 및 전력 값들을 조절하기 위한 상태 S0 동안 발생된 감마 값의 사용은 없다. 상태 S0 동안, AFT (120) 는 DSP (150) 로부터 수신된 감마의 값에 기초하여 주파수 값을 결정한다. 상태 S0 동안, AFT (120) 는 감마 값으로부터 발생된 주파수 값에 기초하여 F20의 주파수 값을 조절하고 조절된 주파수 값을 60 MHz 전원 공급부에 제공한다. 더구나, 상태 S0 동안, 전력 제어기 (154) 는 DSP (150) 로부터 수신된 감마의 값에 기초하여 전력 값을 결정한다. 상태 S0 동안, 전력 제어기 (154) 는 감마 값에 기초하여 발생된 전력 값에 기초하여 P20의 전력 값을 조절하고 조절된 전력 값을 60 MHz 전원 공급부에 제공한다. 상태 S0 동안, 60 MHz 전원 공급부는, AFT (120) 로부터 수신된 조절된 주파수 값을 가지고 전력 제어기 (154) 로부터 수신된 조절된 전력 값을 가지는 전력 신호를 발생하고, 그 전력 신호를 임피던스 정합 회로 (186) 를 경유하여 플라즈마 챔버 (102) 에 공급한다.
전력 제어기 (142), AFT (114), 및 DSP (140) 는 발생기 제어기 (270) 의 부분들이다. 발생기 제어기 (270), ADC (220), 센서 (210), 및 2 MHz 전원 공급부는 2 MHz 발생기 (274) 의 부분들이다. 마찬가지로, 전력 제어기 (152), 전력 제어기 (154), AFT들 (118 및 120), 및 DSP (150) 는 발생기 제어기 (272) 의 부분들이다. 발생기 제어기 (272), ADC (222), 센서 (212), 및 60 MHz 전원 공급부는 60 MHz 발생기 (276) 의 부분들이다.
하나의 실시형태에서, 시스템 (180) 은 임피던스 정합 회로들 (182 및/또는 186) 을 제외한다. 일 실시형태에서, 단일 제어기가 전력 제어기 (142) 및 AFT (114) 대신 사용되며, 단일 제어기가 전력 제어기 (152) 및 AFT (118) 대신 사용되고, 단일 제어기가 전력 제어기 (154) 및 AFT (120) 대신 사용된다.
2 및 60 MHz 전력 공급부들을 사용하는 것에 더하여 27 MHz 전원 공급부가 사용되는 실시형태에서, 27 MHz 발생기는 27 MHz 발생기가 60 MHz 전원 공급부 대신 27 MHz 전원 공급부를 구비한다는 것을 제외하면 60 MHz 발생기 (276) 와 유사하다. 27 MHz 발생기는 임피던스 정합 회로 (미도시) 및 송신 라인 (미도시) 을 경유하여 플라즈마 챔버 (102) 의 하부 전극 (104) 에 커플링된다. 더구나, 27 MHz 전원 공급부는 도구 UI (190) 와 커플링되어 TTL 신호 (112) 를 수신한다. 27 MHz 발생기는 2 개의 전력 제어기들, 2 개의 AFT들, DSP, ADC, 센서, 및 27 MHz 전원 공급부를 구비한다.
도 2는 TTL 신호 (112) 의 상태들에서의 변화와 함께 RF 전력 신호들의 상태들에서의 변화를 보여주고 감마에서의 변화에 기초하여 전력 신호들의 주파수들 및/또는 전력 값들에서의 조절을 보여주는 테이블 (250) 의 실시형태이다. TTL 신호 (112) 가 상태 S1에 있는 경우, 2 및 60 MHz 전력 공급부들에 의해 공급된 전력 신호들은 또한 상태 S1을 가진다. 예를 들어, 2 MHz 전원 공급부에 의해 공급된 전력 신호는 TTL 신호 (112) 의 상태에서의 변화와 동시에 그것의 상태를 S1에서 S0으로 또는 S0에서 S1으로 바꾼다. 또한, 이 예에서, 60 MHz 전원 공급부에 의해 공급된 전력 신호는 TTL 신호 (112) 의 상태에서의 변화와 동시에 그것의 상태를 S1에서 S0으로 또는 S0에서 S1로 바꾼다. 다른 예로서, 2 MHz 전원 공급부에 의해 공급된 전력 신호는 TTL 신호 (112) 의 상태에서의 변화가 일어나는 동안의 시간과 거의 동일한 시간에 그것의 상태를 S1에서 S0으로 또는 S0에서 S1으로 바꾼다. 또한, 이 예에서, 60 MHz 전원 공급부에 의해 공급된 전력 신호는 TTL 신호 (112) 의 상태에서의 변화가 일어나는 것과 거의 동일한 시간에 그것의 상태를 S1에서 S0으로 또는 S0에서 S1으로 바꾼다.
더구나, 도 2에 보인 바와 같이, 상태 S1 동안, 주파수 값들 F11 및 F21과 전력 값 P21은 상태 S1 동안에 발생된 감마 값에 기초하여 조절되고, 상태 S0 동안, 주파수 값 F20 및 전력 값 P20은 상태 S0 동안 발생된 감마 값에 기초하여 조절된다.
도 3은 전력 제어기들 및/또는 주파수 튜너들이 0이 아닌 값들을 제공하지 않는 경우의, 플라즈마 임피던스에서의 변화에 따라 전력 제어기들 및/또는 주파수 튜너들을 튜닝하는 시간량을 감소시키기 위한 시스템 (262) 의 일 실시형태의 다이어그램이다. 이 시스템 (262) 은, 시스템 (262) 이 전력 제어기 (172) 및 AFT (264) 를 구비하며 전력 제어기 및 AFT의 각각은 0이 아닌 값들을 제공한다는 것을 제외하면, 도 1의 시스템 (180) 과 유사하다.
DSP (140) 는 식별된 상태 S0를 대응하는 메모리 로케이션으로부터 AFT (264) 에 그리고 전력 제어기 (172) 에 제공한다. 일 예로, DSP (140) 는 TTL 신호 (112) 가 듀티 사이클의 시간들 t2 및 t3 사이에서 상태 S0에 있다는 것을 AFT (264) 및 전력 제어기 (172) 에 표시한다. AFT (264) 는 TTL 신호 (112) 의 상태에 기초하여 주파수 값을 결정하고 전력 제어기 (172) 는 TTL 신호 (112) 의 상태에 기초하여 전력 값을 결정한다. 예를 들어, AFT (264) 는 TTL 신호 (112) 의 상태가 S0인 경우에 주파수 값 F10이 2 MHz 전원 공급부에 제공되는 것이라고 결정하고 전력 제어기 (172) 는 TTL 신호 (112) 의 상태가 S0인 경우에 전력 값 P10이 2 MHz 전원 공급부에 제공되는 것이라고 결정한다. 하나의 실시형태에서, 값들 F10 및 P10은 양의 값들이다.
훈련 루틴 동안, 주파수 값 F10 및 전력 값 P10은 위에서 설명된 것과 유사한 방식으로 발생된다. 주파수 값 F10은 AFT (264) 에 저장되고 전력 값 P10은 전력 제어기 (172) 에 저장된다. TTL 신호 (112) 의 상태가 S0인 경우, 전력 제어기 (172) 는 P10의 전력 값을 2 MHz 전원 공급부에 제공하고 AFT (264) 는 F10의 주파수 값을 2 MHz 전원 공급부에 제공한다.
더구나, 하나의 실시형태에서, TTL 신호 (112) 의 상태가 S1인 경우, 전력 제어기 (172) P10의 전력 값을 2 MHz 전원 공급부에 제공하는 것으로부터 자신을 제한한다. 또한, 이 실시형태에서, AFT (264) 는 F10의 주파수 값을 2 MHz 전원 공급부에 제공하는 것으로부터 자신을 제한한다.
일 실시형태에서, 상태 S0 동안, P10의 전력 값 및 F10의 주파수 값은 P20의 전력 값 및 F20의 주파수 값의 60 MHz 전원 공급부로의 제공과 동시에 2 MHz 전원 공급부에 제공된다. 예를 들어, 상태 S0에서, P10의 전력 값 및 F10의 주파수 값은 P20의 전력 값 및 F20의 주파수 값이 60 MHz 전원 공급부에 제공되는 동안의 클록 에지와 동일한 TTL 신호 (112) 의 동일한 클록 에지 동안 2 MHz 전원 공급부에 제공된다.
하나의 실시형태에서, 상태 S0 동안, P10의 전력 값 및 F10의 주파수 값은 P20의 전력 값 및 F20의 주파수 값의 60 MHz 전원 공급부로의 제공의 시간과 거의 동일한 시간에 2 MHz 전원 공급부에 제공된다. 예를 들어, 상태 S0에서, P10의 전력 값 및 F10의 주파수 값은 TTL 신호 (112) 의 클록 에지의 발생 전 또는 후 1초의 몇 분의 1 내에 2 MHz 전원 공급부에 제공된다. 이 예에서, P20의 전력 값 및 F20의 주파수 값은 클록 에지의 발생 동안에 60 MHz 전원 공급부에 제공된다.
2 MHz 전원 공급부는 상태 S0 동안에 F10의 주파수 값 및 P10의 전력 값을 수신한다. 값들 F10 및 P10을 수신 시, 2 MHz 전원 공급부는 주파수 F10의 RF 전력을 발생하고 그 RF 전력은 P10의 전력 값을 가진다. 2 MHz 전원 공급부의 증폭기는, P10의 전력 값에 비례하는 전력 값을 가지고 주파수 값 F10을 가지는 순방향 전력을 송신 라인 (230) 및 임피던스 정합 회로 (182) 를 경유하여 플라즈마 챔버 (102) 에 공급한다.
일 실시형태에서, 상태 S0 동안, AFT (264) 는 DSP (140) 로부터 수신된 감마의 값에 기초하여 주파수 값을 결정한다. 상태 S0 동안, AFT (264) 는 감마 값으로부터 발생된 주파수 값에 기초하여 F10의 주파수 값을 조절하고 조절된 주파수 값을 2 MHz 전원 공급부에 제공한다. 더구나, 상태 S0 동안, 전력 제어기 (172) 는 DSP (150) 로부터 수신된 감마의 값에 기초하여 전력 값을 결정한다. 상태 S0 동안, 전력 제어기 (172) 는 감마 값에 기초하여 발생된 전력 값에 기초하여 P10의 전력 값을 조절하고 조절된 전력 값을 2 MHz 전원 공급부에 제공한다. 또한, 상태 S0 동안, 2 MHz 전원 공급부는, AFT (264) 로부터 수신된 조절된 주파수 값을 가지고 전력 제어기 (172) 로부터 수신된 조절된 전력 값을 가지는 전력 신호를 발생하고, 그 전력 신호를 임피던스 정합 회로 (182) 를 경유하여 플라즈마 챔버 (102) 에 공급한다.
전력 제어기들 (142 및 172), AFT들 (114 및 264), 및 DSP (140) 는 발생기 제어기 (290) 의 부분들이다. 발생기 제어기 (290), ADC (220), 센서 (210), 및 2 MHz 전원 공급부는 2 MHz 발생기 (292) 의 부분들이다.
도 4a는 그래프들 (302, 304, 306, 및 308) 의 실시형태들을 도시한다. 각각의 그래프 (302, 304, 306, 및 308) 는 킬로와트 (kW) 의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프 302에서 나타낸 바와 같이, 2 MHz 전원 공급부에 의해 공급된 전력 신호인 2 MHz 전력 신호는, 상태 S1 동안에 a1의 전력 값을 가지고 상태 S0 동안에 0의 전력 값을 가진다. 전력 값 a1은 전력 값 P11의 일 예이다. 또한, 60 MHz 전원 공급부에 의해 공급된 전력 신호인 60 MHz 전력 신호는, 상태 S1 동안에 a2의 전력 값을 가지고 상태 S0 동안에 a3의 전력 값을 가진다. a2의 전력 값은 전력 값 P21의 일 예이고 a3의 전력 값은 전력 값 P20의 일 예이다.
그래프 304에 나타낸 바와 같이, 60 MHz 전력 신호는 상태들 S1 및 S0 동안에 전력 값 a2를 가진다. 더구나, 그래프 306에 나타낸 바와 같이, 2 MHz 신호는 상태 S0 동안에 a4의 전력 값을 가진다. 전력 값 a4는 the 전력 값 P10의 일 예이다. 그래프 308에 나타낸 바와 같이, 60 MHz 신호는 2 MHz 신호가 a4의 전력 값을 가지는 경우에 a2의 전력 값을 가진다.
도 4b는 그래프들 (310, 312, 314, 및 316) 의 실시형태들을 도시한다. 각각의 그래프 (310, 312, 314, 및 316) 는 킬로와트의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프 310에 도시된 바와 같이, 60 MHz 신호가 a2의 전력 값으로부터 a3의 전력 값으로 전이하는 (도 4a) 대신, 60 MHz 신호는 a2의 전력 값으로부터 0의 전력 값으로 전이한다.
더구나, 그래프 312에 도시된 바와 같이, 60 MHz 신호는 a2의 전력 값으로부터 전력 값 P20의 일 예인 a5의 전력 값으로 전이한다. 그래프 314에 도시된 바와 같이, 60 MHz 신호는 2 MHz 신호가 a4의 0이 아닌 전력 값을 가지는 경우에 상태 S0 동안 0의 전력 값을 가진다. 그래프 316에 도시된 바와 같이, 60 MHz 전력 신호는 2 MHz 신호가 a4의 0이 아닌 전력 값을 가지는 경우에 상태 S0 동안 a5의 영이 아닌 전력 값을 가진다.
도 5a는 그래프들 (318, 320, 322, 및 324) 의 실시형태들을 도시한다. 각각의 그래프 (318, 320, 322, 및 324) 는 킬로와트의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프들 (318, 320, 322, 및 324) 이 27 MHz 신호의 선도를 포함한다는 것을 제외하면, 그래프 318은 그래프 302 (도 4a) 와 유사하며, 그래프 320은 그래프 304 (도 4a) 와 유사하며, 그래프 320은 그래프 306 (도 4a) 과 유사하고, 그래프 322는 그래프 308 (도 4a) 과 유사하다. 27 MHz 신호는 27 MHz 발생기의 27 MHz 전원 공급부 (미도시) 로부터 발생된다. 27 MHz 신호는 양쪽 모두의 상태들 S1 및 S0 동안 a6의 전력 값을 갖는 RF 신호이다.
도 5b는 그래프들 (326, 328, 330, 및 332) 의 실시형태들을 도시한다. 각각의 그래프 (326, 328, 330, 및 332) 는 킬로와트의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프들 (326, 328, 330, 및 332) 이 a6의 전력 값을 가지는 27 MHz 신호의 선도를 포함한다는 것을 제외하면, 그래프 326은 그래프 310 (도 4b) 과 유사하며, 그래프 328은 그래프 312 (도 4b) 와 유사하며, 그래프 330은 그래프 314 (도 4b) 와 유사하고, 그래프 332는 그래프 316 (도 4b) 과 유사하다.
도 5c는 그래프들 (334, 336, 338, 및 340) 의 실시형태들을 도시한다. 각각의 그래프 (334, 336, 338, 및 340) 는 킬로와트의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프들 (334, 336, 338, 및 340) 이 27 MHz 신호의 선도를 포함한다는 것을 제외하면, 그래프 334는 그래프 302 (도 4a) 와 유사하며, 그래프 336은 그래프 304 (도 4a) 와 유사하며, 그래프 338은 그래프 306 (도 4a) 과 유사하고, 그래프 340은 그래프 308 (도 4a) 과 유사하다. 27 MHz 신호는 상태 S1 동안에 a7의 전력 값을 갖는 것에서부터 상태 S0 동안에 a8의 전력 값을 갖는 것으로 전이한다. 전력 값 a7은 전력 값 a8 미만이다.
도 5d는 그래프들 (342, 344, 346, 및 348) 의 실시형태들을 도시한다. 각각의 그래프 (342, 344, 346, 및 348) 는 킬로와트의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프들 (342, 344, 346, 및 348) 이 a7 및 a8의 전력 값들을 가지는 27 MHz 신호의 선도를 포함한다는 것을 제외하면, 그래프 342는 그래프 310 (도 4b) 과 유사하며, 그래프 344는 그래프 312 (도 4b) 와 유사하며, 그래프 346은 그래프 314 (도 4b) 와 유사하고, 그래프 348는 그래프 316 (도 4b) 과 유사하다.
도 5e는 그래프들 (350, 352, 354, 및 356) 의 실시형태들을 도시한다. 각각의 그래프 (350, 352, 354, 및 356) 는 킬로와트의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프들 (350, 352, 354, 및 356) 이 27 MHz 신호의 선도를 포함한다는 것을 제외하면, 그래프 350은 그래프 302 (도 4a) 와 유사하며, 그래프 352은 그래프 304 (도 4a) 와 유사하며, 그래프 354는 그래프 306 (도 4a) 과 유사하고, 그래프 356은 그래프 308 (도 4a) 과 유사하다. 27 MHz 신호는 상태 S1 동안에 a9의 전력 값을 갖는 것에서부터 상태 S0 동안에 a10의 전력 값을 갖는 것으로 전이한다. 전력 값 a9은 전력 값 a10보다 크다.
도 5f는 그래프들 (358, 360, 362, 및 364) 의 실시형태들을 도시한다. 각각의 그래프 (358, 360, 362, 및 364) 는 킬로와트의 전력 값들을 시간의 함수 t로 그리고 있다. 그래프들 (358, 360, 362, 및 364) 이 a9 및 a10의 전력 값들을 가지는 27 MHz 신호의 선도를 포함한다는 것을 제외하면, 그래프 358은 그래프 310 (도 4b) 과 유사하며, 그래프 360은 그래프 312 (도 4b) 와 유사하며, 그래프 362는 그래프 314 (도 4b) 와 유사하고, 그래프 364는 그래프 316 (도 4b) 과 유사하다.
위에서 도시된 그래프들 (302, 304, 306, 308, 310, 312, 314, 316, 318, 320, 322, 324, 326, 328, 330, 332, 334, 336, 338, 340, 342, 344, 346, 348, 350, 352, 354, 356, 및 358) 에서, 2 MHz 신호는 실선으로 도시되며, 60 MHz 신호는 쇄선으로 도시되고, 27 MHz 신호는 점선으로 도시된다는 것에 주의해야 한다.
하나의 실시형태에서, 2 MHz 신호, 27 MHz 신호, 및 60 MHz 신호의 상태들, 예컨대, 하이, 로우 등을 TTL 신호 (112) 의 상태들과 동기화하는 대신, 하나의 실시형태에서, RF 신호, 예컨대, 27 MHz 신호, 60 MHz 신호 등의 상태는, 다른 RF 신호, 예컨대, 2 MHz 신호 등의 상태와 동기화된다는 것에 주의한다.
도 6은 TTL 신호 (112) 의 상태에 기초하여 AFT들 (114 및 264) 간을 선택하기 위한 시스템 (310) 의 실시형태의 블록도이다. TTL 신호 (112) 가 상태 S1에 있는 경우, 시스템 (310) 의 선택 로직 (128) 은 AFT (114) 를 선택하고 TTL 신호 (112) 가 상태 S0에 있는 경우, 선택 로직 (128) 은 AFT (264) 를 선택한다. 선택 로직 (128) 의 예들은 멀티플렉서를 구비한다. 선택 로직 (128) 이 멀티플렉서를 구비하는 경우, TTL 신호 (112) 는 멀티플렉서의 선택 입력들을 수신한다. 예를 들어, TTL 신호의 로우 상태는 멀티플렉서의 제 1 선택 입력에서 수신되고 및 TTL 신호의 하이 상태는 멀티플렉서의 제 2 선택 입력에서 수신된다. 하나의 실시형태에서, 선택 로직 (128) 은 프로세서를 구비한다. 일 실시형태에서, 선택 로직 (128) 은 DSP (140) 내에 구현된다.
AFT (114) 가 선택되는 경우, AFT (114) 는 주파수 값 F11을 2 MHz 전원 공급부에 제공한다. 마찬가지로, AFT (264) 가 선택되는 경우, AFT (264) 는 주파수 값 F10을 2 MHz 전원 공급부에 제공한다.
2 MHz 전원 공급부는 클록 소스 (312) 로부터 수신되는 클록 신호와 동기하여 2 MHz 신호를 발생한다. 하나의 실시형태에서, 클록 소스 (312) 의 클록 신호는 TTL 신호 (112) 와 동기된다. 일 실시형태에서, 클록 소스 (312) 의 클록 신호는 TTL 신호 (112) 의 위상과 거의 동일한 위상을 가진다. 예를 들어, 클록 소스 (312) 의 클록 신호의 리딩 에지는 TTL 신호 (112) 의 리딩 에지 일 초의 일부 후 또는 전이다. 하나의 실시형태에서, 클록 소스 (312) 로부터의 클록 신호 대신, TTL 신호 (112) 는 2 MHz 전원 공급부에 제공된다.
도 1을 이용하여 예시된 실시형태에서, AFT들 (114 및 264) 간의 선택 대신, 상태 S1 동안, AFT (114) 이 선택되고 상태 S0 동안, AFT가 선택되지 않는다. 예를 들어, 상태 S0 동안, 선택 로직 (128) 은 임의의 AFT를 선택하지 않는다.
하나의 실시형태에서, 선택 로직 (128) 은 AFT들 (114 및 264) 대신 전력 제어기들 (142 및 172) (도 3) 간을 선택한다. 전력 제어기 (142) 가 상태 S1 동안에 선택되는 경우, 전력 제어기 (142) 는 전력 값 P11을 2 MHz 전원 공급부에 제공하고 전력 제어기 (172) 가 상태 S0 동안에 선택되는 경우, 전력 제어기 (172) 는 전력 값 P10을 2 MHz 전원 공급부에 제공한다.
더구나, 도 1을 이용하여 예시된 실시형태에서, 전력 제어기들 (142 및 172) 간의 선택 대신, 상태 S1 동안, 전력 제어기 (142) 가 선택되고 상태 S0 동안, 전력 제어기가 선택되지 않는다.
일 실시형태에서, 선택 로직 (128) 은 60 MHz 발생기 (276) (도 1) 내에 구현된다. 이 실시형태는, AFT들 (114 및 264) 간을 선택하는 대신, 선택 로직 (128) 이 AFT들 (118 및 120) (도 1) 간을 선택한다는 것을 제외하면, 도 6을 이용하여 설명된 실시형태와 유사하다. AFT (118) 가 상태 S1 동안에 선택되는 경우, AFT (118) 는 주파수 값 F21을 60 MHz 전원 공급부에 제공하고 AFT (120) 가 상태 S0 동안에 선택되는 경우, AFT (120) 는 주파수 값 F20을 60 MHz 전원 공급부에 제공한다. 더구나, 이 실시형태에서, 선택 로직 (128) 은 전력 제어기들 (152 및 154) 간을 선택하기 위해 구현된다. 전력 제어기 (152) 가 상태 S1 동안에 선택되는 경우, 전력 제어기 (152) 는 전력 값 P21을 60 MHz 전원 공급부에 제공하고 전력 제어기 (154) 가 상태 S0 동안에 선택되는 경우, 전력 제어기 (154) 는 전력 값 P20을 60 MHz 전원 공급부에 제공한다.
하나의 실시형태에서, 선택 로직 (128) 은 선택 로직 (128) 이 2 MHz 발생기 (274 (도 1) 또는 292 (도 3)) 또는 60 MHz 발생기 (276) (도 1 및 3) 내에 구현되는 유사한 방식으로 27 MHz 발생기 내에 구현된다.
감마의 임의의 값은 선택 로직 (128) 에 의해 상태 S1 또는 S0에 기초하여 AFT (114 또는 264) 로 전송된다. 예를 들어, 상태가 S1인 경우, DSP (140) 는 제 1 감마 값을 선택 로직 (128) 에 제공하고 제 1 감마 값은 상태 S1 동안에 측정되는 반사된 및 순방향 전력들에 기초하여 결정된다. 이 예에서, 상태 S1 동안에 AFT (114) 를 선택하는 선택 로직 (128) 은 DSP (140) 로부터 수신된 제 1 감마 값을 AFT (114) 에 전송한다. 다른 예로서, 상태가 S0인 경우, DSP (140) 는 제 2 감마 값을 선택 로직 (128) 에 제공하고 제 2 감마 값은 상태 S0 동안에 측정되는 반사된 및 순방향 전력들에 기초하여 결정된다. 이 예에서, 상태 S0 동안에 AFT (264) 를 선택하는 선택 로직 (128) 은 DSP (140) 로부터 수신된 제 2 감마 값을 AFT (264) 에 전송한다.
마찬가지로, 전력 제어기들 (142 및 172) (도 3) 이 사용되는 실시형태들에서, 선택 로직 (128) 은 상태 S1 동안 DSP (140) 로부터 수신된 제 1 감마 값을 전력 제어기 (142) 에 전송하고 DSP (140) 로부터 수신된 제 2 감마 값을 전력 제어기 (172) 에 전송한다. 더구나, AFT (114) 가 AFT (264) 사용 없이 사용되는 실시형태에서, 선택 로직 (128) 은 상태 S0 동안에 제 2 감마 값을 전송하는 것으로부터 자신을 제한한다.
더욱이, 선택 로직 (128) 이 60 MHz 발생기 (276) (도 1) 내에 구현되고 전력 제어기들 (152 및 154) 에 커플링되는 실시형태에서, 선택 로직 (128) 은 상태 S1 동안에 DSP (150) 로부터 수신된 제 3 감마 값을 전력 제어기 (152) 에 전송하고 DSP (150) 로부터 수신된 제 4 감마 값을 전력 제어기 (154) 에 전송한다. 이 실시형태에서, 제 3 감마 값은 상태 S1 동안에 송신 라인 (232) 상에서 순방향 및 반사된 전력들에 기초하여 발생된다. 또한, 이 실시형태에서, 순방향 및 전력들 양쪽 모두는 센서 (212) 에 의해 감지된다. 이 실시형태에서, 제 4 감마 값은 상태 S0 동안에 송신 라인 (232) 상에서 순방향 및 반사된 전력들에 기초하여 발생된다.
더욱이, 선택 로직 (128) 이 60 MHz 발생기 내에 구현되고 AFT들 (118 및 120) 에 커플링되는 실시형태에서, 선택 로직 (128) 은 상태 S1 동안에 DSP (150) 로부터 수신된 제 3 감마 값을 AFT (118) 에 전송하고 상태 S1 동안에 DSP (150) 로부터 수신된 제 4 감마 값을 AFT (120) 에 전송한다.
도 7은 전력 값들의 제 1 세트 및 주파수들의 제 1 세트를 갖는 RF 신호들을 발생할 것인지 또는 전력 값들의 제 2 세트 및 주파수들의 제 2 세트를 갖는 RF 신호들을 발생할 것인지를 결정하기 위한 방법 (321) 의 일시형태의 흐름도이다. 동작 323에서, 플라즈마는 플라즈마 챔버 (102) (도 1) 내에서 때려진다, 예컨대, 발생된다. 또한, 동작 325에서, TTL 신호 (112) 는 2 MHz 발생기, 예컨대, 발생기 (274) (도 1), 발생기 (292) (도 3) 등에 의해 수신되고, 60 MHz 발생기 (276) (도 1 및 3) 에 의해 수신된다. 예를 들어, DSP (140) (도 1) 는 도구 UI (190) 로부터 TTL 신호 (112) 를 수신하고 DSP (150) (도 2) 는 도구 UI (190) 로부터 TTL 신호 (150) 를 수신한다.
동작 327에서, DSP, 예컨대, DSP (140), DSP (150) 등은, TTL 신호 (112) 의 상태가 S1인지 또는 S0인지를 결정한다. 예를 들어, DSP (140) 는 TTL 신호 (112) 의 상태가 하이인지 또는 로우인지를 결정한다. 다른 예로서, DSP (150) 는 TTL 신호 (112) 의 상태가 1인지 또는 0인지를 결정한다.
TTL 신호 (112) 의 상태가 S1이라는 결정에 응답하여, 동작 329에서, TTL 신호 (112) 는 DSP, 예컨대, DSP (140), DSP (150) 등에 의해, 대응하는 AFT 또는 전력 제어기, 예컨대, AFT (114), AFT (118), 전력 제어기 (142), 전력 제어기 (152) 등에 상태 S1을 달성하기 위해 전송된다. 예를 들어, 상태 S1의 식별은 DSP (140) 로부터 AFT (114) 에 그리고 전력 제어기 (142) 에 전력 값 P11 및 주파수 값 F11을 달성하여 추가로 상태 S1을 달성하기 위해 전송된다. 이 예에서, AFT (114) 는 주파수 값 F11에 대응하는 상태 S1을 포함하는 제 1 룩업 테이블에 기초하여 주파수 값 F11을 제공한다. 더구나, 이 예에서, 전력 제어기 (142) 는 전력 값 P11에 대응하는 상태 S1을 포함하는 제 2 룩업 테이블에 기초하여 전력 값 P11을 제공한다. 이 예에서, 제 1 룩업 테이블은 AFT (114) 내에 저장되고 제 2 룩업 테이블은 전력 제어기 (142) 내에 제공된다.
다른 예로서, 상태 S1은 DSP (150) 로부터 AFT (118) 에 그리고 전력 제어기 (152) 에 전력 값 P21 및 주파수 값 F21을 달성하여 상태 S1을 달성하기 위해 전송된다. 이 예에서, AFT (118) 는 주파수 값 F21에 대응하는 상태 S1을 포함하는 제 3 룩업 테이블에 기초하여 주파수 값 F21을 제공한다. 더구나, 이 예에서, 전력 제어기 (152) 는 전력 값 P21에 대응하는 상태 S1을 포함하는 제 4 룩업 테이블에 기초하여 전력 값 P21을 제공한다. 이 예에서, 제 3 룩업 테이블은 AFT (118) 내에 저장되고 제 4 룩업 테이블은 전력 제어기 (152) 내에 저장된다.
동작 331에서, 플라즈마 챔버 (102) 내에서 플라즈마로부터 반사된 RF 전력인 반사된 전력과, 순방향 전력은 송신 라인 (230) (도 1) 상에서 측정된다. 반사된 및 순방향 전력들은 센서 (210) (도 1) 에 의해 측정된다. 순방향 전력 및 반사된 전력의 측정된 아날로그 값들은 센서 (210) 에 의해 ADC (220) 에 제공되고, 그 DAC는 아날로그 값들을 디지털 값들로 변환한다. 더구나, 동작 331에서, 반사된 전력 및 순방향 전력은 송신 라인 (232) (도 1) 상에서 측정된다. 반사된 및 순방향 전력들은 센서 (212) (도 1) 에 의해 측정된다. 순방향 전력 및 반사된 전력의 측정된 아날로그 값들은 센서 (212) 에 의해 ADC (222) 에 제공되고, 그 DAC는 아날로그 값들을 디지털 값들로 변환한다.
동작 333에서, DSP (140) 는 센서 (210) 에 의해 측정된 순방향 및 반사된 전력들의 디지털 값들을 수신하고 그 값들로부터 감마를 결정한다. 또한, 동작 333에서, DSP (150) 는 센서 (212) 에 의해 측정된 순방향 및 반사된 전력들의 디지털 값들을 수신하고 그 값들로부터 감마를 결정한다.
동작 335에서, 감마는 주파수 값 F11을 조절하기 위해 DSP (140) 에 의해 AFT (114) 에 제공되고 전력 값 P11을 조절하기 위해 DSP (140) 에 의해 전력 제어기 (142) 에 제공된다. 일 예로, AFT (114) 는 상태 S1에 대해 송신 라인 (230) 상의 신호들에 기초하여 측정된 감마에 대응하는 룩업 테이블로부터, 주파수 값 F11 과는 다른, 주파수 값을 취출하고 그 다른 주파수 값을 2 MHz 전원 공급부에 제공한다. 2 MHz 전원 공급부는 그 다른 주파수 값을 갖는 RF 신호를 발생한다. 더구나, 동작 335에서, 감마는 전력 값 P11을 조절하기 위해 DSP (140) 에 의해 전력 제어기 (142) 에 제공된다. 일 예로, 전력 제어기 (142) 는 상태 S1에 대해 송신 라인 (230) 상의 신호들에 기초하여 측정된 감마에 대응하는 룩업 테이블로부터, 전력 값 P11과는 다른, 전력 값을 취출하고 그 다른 전력 값을 2 MHz 전원 공급부에 제공한다. 2 MHz 전원 공급부는 그 다른 전력 값을 갖는 RF 신호를 발생한다.
더구나, 동작 335에서, 감마는 주파수 값 F21을 조절하기 위해 DSP (150) 에 의해 AFT (118) 에 제공되고 전력 값 P21을 조절하기 위해 DSP (150) 에 의해 전력 제어기 (152) 에 제공된다. 일 예로, AFT (118) 는 상태 S1에 대해 송신 라인 (232) 상의 신호들에 기초하여 측정된 감마에 대응하는 룩업 테이블로부터, 주파수 값 F21과는 다른, 주파수 값을 취출하고 그 다른 주파수 값을 60 MHz 전원 공급부에 제공한다. 60 MHz 전원 공급부는 그 다른 주파수 값을 갖는 RF 신호를 발생한다. 다른 예로, 전력 제어기 (152) 는 상태 S1에 대해 송신 라인 (232) 상의 신호들에 기초하여 측정된 감마에 대응하는 룩업 테이블로부터, 전력 값 P21과는 다른, 전력 값을 취출하고 그 다른 전력 값을 60 MHz 전원 공급부에 제공한다. 60 MHz 전원 공급부는 그 다른 전력 값을 갖는 RF 신호를 발생한다. 이 방법 (321) 은 동작 335 뒤에 동작 325를 반복한다.
TTL 신호 (112) 의 상태가 S0이라는 결정에 응답하여, 동작 337에서, TTL 신호 (112) 는 DSP, 예컨대, DSP (140), DSP (150) 등에 의해, 대응하는 AFT 또는 전력 제어기, 예컨대, AFT (264), AFT (120), 전력 제어기 (172), 전력 제어기 (154) 등에 상태 S0을 달성하기 위해 전송된다. 예를 들어, 상태 S0의 식별은 DSP (140) 로부터 AFT (264) 에 그리고 전력 제어기 (172) 에 전력 값 P10 및 주파수 값 F10을 달성하여 추가로 상태 S0을 달성하기 위해 전송된다. 이 예에서, AFT (264) 는 주파수 값 F10에 대응하는 상태 S0을 포함하는 제 5 룩업 테이블에 기초하여 주파수 값 F10을 제공한다. 더구나, 이 예에서, 전력 제어기 (172) 는 전력 값 P10에 대응하는 상태 S0을 포함하는 제 6 룩업 테이블에 기초하여 전력 값 P10을 제공한다. 이 예에서, 제 5 룩업 테이블은 AFT (264) 내에 저장되고 제 6 룩업 테이블은 전력 제어기 (172) 내에 저장된다.
다른 예로서, 상태 S0의 식별은 DSP (150) 로부터 AFT (120) 에 그리고 전력 제어기 (154) 에 전력 값 P20 및 주파수 값 F20을 달성하여 상태 S0을 달성하기 위해 전송된다. 이 예에서, AFT (120) 는 주파수 값 F20에 대응하는 상태 S0을 포함하는 제 7 룩업 테이블에 기초하여 주파수 값 F20을 제공한다. 더구나, 이 예에서, 전력 제어기 (154) 는 전력 값 P20에 대응하는 상태 S0을 포함하는 제 8 룩업 테이블에 기초하여 전력 값 P20을 제공한다. 이 예에서, 제 7 룩업 테이블은 AFT (120) 내에 저장되고 제 8 룩업 테이블은 전력 제어기 (154) 내에 저장된다.
동작 339는 동작 331과 동일하고 동작 341은 동작 333과 동일하다. 동작 343에서, 감마는 주파수 값 F10을 조절하기 위해 DSP (140) 에 의해 AFT (264) 에 제공되고 전력 값 P10을 조절하기 위해 DSP (140) 에 의해 전력 제어기 (172) 에 제공된다. 일 예로, AFT (264) 는 상태 S0에 대해 송신 라인 (230) 상의 신호들에 기초하여 측정된 감마에 대응하는 룩업 테이블로부터, 주파수 값 F10과는 다른, 주파수 값을 취출하고 그 다른 주파수 값을 2 MHz 전원 공급부에 제공한다. 2 MHz 전원 공급부는 그 다른 주파수 값을 갖는 RF 신호를 발생한다. 더구나, 동작 343에서, 감마는 전력 값 P10을 조절하기 위해 DSP (140) 에 의해 전력 제어기 (172) 에 제공된다. 일 예로, 전력 제어기 (172) 는 상태 S0에 대해 송신 라인 (230) 상의 신호들에 기초하여 측정된 감마에 대응하는 룩업 테이블로부터, 전력 값 P10과는 다른, 전력 값을 취출하고 그 다른 전력 값을 2 MHz 전원 공급부에 제공한다. 2 MHz 전원 공급부는 그 다른 전력 값을 갖는 RF 신호를 발생한다.
더구나, 동작 343에서, 감마는 주파수 값 F20을 조절하기 위해 DSP (150) 에 의해 AFT (120) 에 제공되고 전력 값 P20을 조절하기 위해 DSP (150) 에 의해 전력 제어기 (154) 에 제공된다. 일 예로, AFT (120) 는 상태 S0에 대해 송신 라인 (232) 상의 신호들에 기초하여 측정된 감마에 대응하는 룩업 테이블로부터, 주파수 값 F20과는 다른, 주파수 값을 취출하고 그 다른 주파수 값을 60 MHz 전원 공급부에 제공한다. 60 MHz 전원 공급부는 그 다른 주파수 값을 갖는 RF 신호를 발생한다. 더구나, 동작 343에서, 감마는 전력 값 P20을 조절하기 위해 DSP (150) 에 의해 전력 제어기 (154) 에 제공된다. 일 예로, 전력 제어기 (154) 는 상태 S0에 대해 송신 라인 (232) 상의 신호들에 기초하여 측정된 감마에 대응하는 룩업 테이블로부터, 전력 값 P21과는 다른, 전력 값을 취출하고 그 다른 전력 값을 60 MHz 전원 공급부에 제공한다. 60 MHz 전원 공급부는 그 다른 전력 값을 갖는 RF 신호를 발생한다. 이 방법 (320) 은 동작 343 뒤에 동작 325를 반복한다.
하나의 실시형태에서, 동작들 (331, 333, 335, 339, 341, 및 343) 은 옵션적이다. 예를 들어, 동작 325는 동작들 (329 및 337) 후에 반복되고 동작들 (331, 333, 335, 339, 341, 및 343) 은 수행되지 않는다.
위에서 설명된 실시형태들이 2 MHz RF 신호 및/또는 60 MHz 신호 및/또는 27 MHz 신호를 하부 전극 (104) 에 제공하고 상부 전극 (110) 을 접지시키는 것에 관련되지만, 여러 실시형태들에서, 2 MHz, 60 MHz, 및 27 MHz 신호들은 상부 전극 (110) 에 제공되는 한편 하부 전극 (104) 은 접지된다는 것에 주의해야 한다.
일 실시형태에서, 플라즈마의 임피던스에서의 변화는 TTL 신호 (112) 의 상태들 S1 및 S0을 발생시키는데 이용되지 않는다는 것에 추가로 주의해야 한다. 상태들 S1 및 S0은 플라즈마의 임피던스에서의 변화와는 독립적이다.
하나의 실시형태에서, 입력, 예컨대, 주파수 입력, 전력 입력 등, 또는 레벨, 예컨대, 전력 레벨, 주파수 레벨은, 다른 값의 임계치 내의 하나 이상의 값들을 포함한다는 것에 또한 주의한다. 예를 들어, 전력 레벨은 전력 값 P21과 전력 값 P21의 임계치 내에 있는 다른 전력 값들을 포함한다. 이 예에서, 전력 레벨은 다른 상태에 대해 임의의 전력 값들을, 예컨대, 상태 S0에 대해 전력 값 P20을 배제시킨다. 다른 예로서, 주파수 입력은 주파수 값 F11과 주파수 값 F11의 임계치 내에 있는 다른 주파수 값들을 포함한다. 이 예에서, 주파수 입력은 다른 상태에 대해 임의의 주파수 값들, 예컨대, 상태 S0에 대해 주파수 값 F10을 배제시킨다.
위에서 설명된 실시형태들이 평판 플라즈마 챔버를 참조하여 설명되었지만, 하나의 실시형태에서, 위에서 설명된 실시형태들은 플라즈마 챔버들의 다른 유형들, 예컨대, 유도 결합 플라즈마 (ICP) 반응기를 구비한 플라즈마 챔버, 전자-사이클로트론 공진 (ECR) 반응기 등에 적용된다는 것에 주의한다. 예를 들어, 2 MHz 및 60 MHz 전력 공급부들은 ICP 플라즈마 챔버 내의 인덕터에 커플링된다는 것에 주의한다.
하나의 실시형태에서, 발생기 제어기의 AFT 및/또는 전력 제어기에 의해 수행된 동작들은 발생기 제어기의 DSP에 의해 수행된다. 예를 들어, AFT (118 및 120) 에 의해 수행된 바와 같은 본원에서 설명된 동작들은 DSP (150) (도 3) 에 의해 수행된다. 다른 예로서, AFT (114), AFT (264), 전력 제어기 (142), 및 전력 제어기 (172) 에 의해 수행된 바와 같은 본원에서 설명된 동작들은 DSP (140) (도 3) 에 의해 수행된다.
본원에서 설명된 실시형태들은 핸드-헬드 디바이스들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그램가능 소비자 가전기기들, 마이크로컴퓨터들, 메인프레임 컴퓨터들 등을 포함한, 각종 컴퓨터 시스템 구성들으로 실용화될 수도 있다. 실시형태들은 또한 태스크들이 네트워크를 통해 링크되는 원격 프로세싱 디바이스들에 의해 수행되는 분산형 컴퓨팅 환경들에서 실용화될 수 있다.
위의 실시형태들을 염두하여, 실시형태들이 컴퓨터 시스템들에 저장된 데이터에 관계한 갖가지 컴퓨터-구현된 동작들을 채용할 수 있다는 것이 이해되어야 한다. 이들 동작들은 물리 량들의 물리적 조작을 필요로 하는 것들이다. 실시형태들의 부분을 형성하는 본원에서 설명된 동작들 중 임의의 것은 유용한 머신 동작들이다. 실시형태들은 또한 이들 동작들을 수행하기 위한 디바이스 또는 장치에 관련된다. 장치는 특수 목적 컴퓨터를 위해 특별히 구성될 수도 있다. 전용 컴퓨터로서 한정되는 경우, 그 컴퓨터는 또한 특수 목적의 부분이 아닐 수도 있지만 여전히 특수 목적을 위해 동작 가능한 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행할 수 있다. 대안으로, 동작들은 컴퓨터 메모리, 캐시에 저장되거나, 또는 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되거나 또는 구성되는 범용 컴퓨터에 의해 처리될 수도 있다. 데이터가 네트워크를 통해 획득되는 경우 그 데이터는 컴퓨팅 자원들의 네트워크, 예컨대, 클라우드 상의 다른 컴퓨터들에 의해 처리될 수도 있다.
하나 이상의 실시형태들은 또한 컴퓨터 판독가능 매체 상의 컴퓨터 판독가능 코드로서 제작될 수 있다. 컴퓨터 판독가능 매체는 컴퓨터 시스템에 의해 그 후에 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이다. 컴퓨터 판독가능 매체의 예들은 하드 드라이브들, 네트워크 결부형 (attached) 스토리지 (NAS), ROM, RAM, 콤팩트 디스크 -ROM들 (CD-ROM들), CD-R들 (CD-recordables), CD-RW들 (CD-rewritables), 자기 테이프들 및 다른 광학적 및 비-광학적 데이터 저장 디바이스들을 포함한다. 컴퓨터 판독가능 매체는 컴퓨터 판독가능 코드가 분산형태로 저장되고 실행되도록 네트워크-커플링된 컴퓨터 시스템 상에 분산된 컴퓨터 판독가능 유형의 (tangible) 매체를 구비할 수 있다.
방법 동작들이 특정 순서로 설명되었지만, 오버레이 동작들의 처리가 소망의 방식으로 수행되는 한, 다른 하우스키핑 (housekeeping) 동작들이 동작들 사이에서 수행될 수도 있거나, 또는 동작들은 약간 상이한 시간들에서 발생하도록 조절될 수도 있거나, 또는 처리 동작들의 발생을 그 처리에 연관된 갖가지 간격들로 허용하는 시스템에 분산될 수도 있다는 것이 이해되어야 한다.
임의의 실시형태로부터의 하나 이상의 특징들은 본 개시물에서 설명된 갖가지 실시형태들에서 설명되는 범위로부터 벗어남 없이 임의의 다른 실시형태의 하나 이상의 특징들과 조합될 수도 있다.
전술의 실시형태들이 이해의 명료함을 위해 다소 상세히 설명되었지만, 특정 변경들 및 변형들이 첨부의 청구항들의 범위 내에서 실용화될 수 있다는 것이 명백할 것이다. 따라서, 본 실시형태들은 예시적이지만 비제한적인 것으로 고려되는 것들이고, 그 실시형태들은 본원에서 주어진 상세내용들로 한정되는 것이 아니고, 첨부의 청구항들의 범위 및 동등물들 내에서 변형될 수도 있다.

Claims (30)

  1. 전극에 커플링된 일차 발생기로서, 상기 일차 발생기는 상기 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 전원 공급부를 구비하며, 상기 일차 발생기는 펄스형 신호가 제 1 상태에 있는 경우에 상기 일차 전원 공급부에 제 1 주파수 입력을 제공하는 자동 주파수 제어기 (AFC) 를 더 구비하는, 상기 일차 발생기;
    상기 전극에 커플링된 이차 발생기로서, 상기 이차 발생기는 상기 전극에 이차 RF 신호를 공급하는 이차 전원 공급부를 구비하며, 상기 이차 발생기는 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 이차 전원 공급부에 제 2 주파수 입력을 제공하는 AFC 를 구비하며, 상기 이차 발생기는 상기 펄스형 신호가 제 2 상태에 있는 경우에 상기 이차 전원 공급부에 제 3 주파수 입력을 제공하는 AFC 를 구비하는, 상기 이차 발생기; 및
    상기 펄스형 신호를 발생하는 디지털 펄싱 소스를 포함하는, 시스템.
  2. 제 1 항에 있어서,
    상기 이차 발생기는 디지털 신호 프로세서로부터 수신되는 상기 제 2 주파수 입력을 상기 이차 전원 공급부로 또는 상기 디지털 신호 프로세서로부터 수신되는 상기 제 3 주파수 입력을 상기 이차 전원 공급부로 전송하는 선택기를 구비하는, 시스템.
  3. 기판을 지지하기 위한 표면을 갖는 하부 전극 및 상기 하부 전극 위에 배치된 상부 전극을 구비하며, 상기 상부 전극은 전기적으로 접지된, 플라즈마 챔버;
    상기 하부 전극에 커플링된 일차 발생기로서, 상기 일차 발생기는 상기 하부 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 전원 공급부를 구비하는, 상기 일차 발생기;
    상기 하부 전극에 커플링된 이차 발생기로서, 상기 이차 발생기는 상기 하부 전극에 이차 RF 신호를 공급하는 이차 전원 공급부를 구비하는, 상기 이차 발생기; 및
    펄스형 신호를 발생하는 디지털 펄싱 소스로서, 상기 디지털 펄싱 소스는 상기 일차 발생기 및 상기 이차 발생기에 커플링되며, 상기 펄스형 신호는 2 개의 상태들 간에 전이되는, 상기 디지털 펄싱 소스를 포함하며,
    상기 일차 발생기는 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 일차 전원 공급부에 제 1 주파수 입력을 제공하는 제 1 자동 주파수 제어기 (AFC) 를 구비하며;
    상기 이차 발생기는 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 이차 전원 공급부에 제 2 주파수 입력을 제공하는 제 2 AFC 를 구비하며;
    상기 이차 발생기는 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 상기 이차 전원 공급부에 제 3 주파수 입력을 제공하는 제 3 AFC 를 구비하는, 시스템.
  4. 제 3 항에 있어서,
    상기 디지털 펄싱 소스 및 상기 제 2 및 제 3 AFC들 사이에 커플링되어, 상기 제 2 주파수 입력 또는 상기 제 3 주파수 입력을 상기 이차 전원 공급부에 제공하기 위해 상기 제 2 AFC 및 상기 제 3 AFC 간을 선택하는 선택기를 더 포함하며, 상기 선택기는 상기 펄스형 신호의 상기 상태들에 기초하여 상기 제 2 AFC 및 상기 제 3 AFC 간을 선택하도록 구성된, 시스템.
  5. 제 3 항에 있어서,
    상기 2 개의 상태들 중 하나는 온 상태이고 상기 2 개의 상태들 중 다른 하나는 오프 상태인, 시스템.
  6. 제 3 항에 있어서,
    상기 일차 RF 신호는 상기 이차 RF 신호보다 낮은 주파수를 가지는, 시스템.
  7. 제 3 항에 있어서,
    상기 일차 RF 신호는 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 제 1 전력 레벨에 있고 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 제 2 전력 레벨에 있으며, 상기 제 1 전력 레벨은 상기 제 2 전력 레벨보다 크며,
    상기 이차 RF 신호는 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 제 3 전력 레벨에 그리고 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 제 4 전력 레벨에 있으며, 상기 제 3 전력 레벨은 상기 제 4 전력 레벨보다 낮은, 시스템.
  8. 제 7 항에 있어서,
    상기 제 3 전력 레벨은 0 또는 양인, 시스템.
  9. 제 3 항에 있어서,
    상기 일차 RF 신호는 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 제 1 전력 레벨에 있고 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 제 2 전력 레벨에 있으며, 상기 제 1 전력 레벨은 상기 제 2 전력 레벨보다 크며,
    상기 이차 RF 신호는 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 제 3 전력 레벨에 그리고 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 제 4 전력 레벨에 있으며, 상기 제 3 전력 레벨은 상기 제 4 전력 레벨과 동일한, 시스템.
  10. 제 9 항에 있어서,
    상기 제 3 전력 레벨은 양인, 시스템.
  11. 제 3 항에 있어서,
    상기 일차 RF 신호는 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 제 1 전력 레벨에 있고 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 제 2 전력 레벨에 있으며, 상기 제 1 전력 레벨은 상기 제 2 전력 레벨보다 크며,
    상기 이차 RF 신호는 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 제 3 전력 레벨에 그리고 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 제 4 전력 레벨에 있으며, 상기 제 3 전력 레벨은 상기 제 4 전력 레벨보다 큰, 시스템.
  12. 제 11 항에 있어서,
    상기 제 3 전력 레벨은 0 또는 양인, 시스템.
  13. 제 3 항에 있어서,
    상기 디지털 펄스 소스는 클록 발진기 또는 트랜지스터-트랜지스터 로직 (TTL) 을 구비하는, 시스템.
  14. 제 3 항에 있어서,
    상기 제 1 주파수 입력은 상기 제 2 주파수 입력 및 상기 제 3 주파수 입력과 상이한, 시스템.
  15. 제 3 항에 있어서,
    상기 선택기는 멀티플렉서를 구비하는, 시스템.
  16. 펄스형 신호를 발생하는 디지털 펄싱 소스;
    일차 발생기; 및
    이차 발생기를 포함하며,
    상기 일차 발생기는,
    전극에 커플링되어 상기 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 전원 공급부;
    상기 디지털 펄싱 소스에 커플링되어 상기 펄스형 신호를 수신하는 일차 프로세서로서, 상기 펄스형 신호의 2 개의 상태들 중 제 1 상태 및 상기 2 개의 상태들 중 제 2 상태를 식별하는, 상기 일차 프로세서;
    상기 일차 프로세서에 커플링되어 상기 펄스형 신호가 상기 제 1 상태에 있는지 또는 상기 제 2 상태에 있는지에 기초하여 상기 일차 전원 공급부에 일차 전력 값을 제공할 지의 여부를 결정하는 전력 제어기; 및
    상기 일차 프로세서에 커플링되어 상기 일차 프로세서로부터 상태 식별 (identification) 을 수신하는 자동 주파수 제어기 (AFC) 로서, 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 일차 RF 신호의 주파수 제공하도록 구성된, 상기 자동 주파수 제어기 (AFC) 를 구비하고,
    상기 이차 발생기는,
    상기 전극에 커플링되어 상기 전극에 이차 RF 신호를 공급하는 이차 전원 공급부;
    상기 디지털 펄싱 소스에 커플링되어 상기 펄스형 신호가 상기 제 1 상태에 있는지 또는 상기 제 2 상태에 있는지를 식별하기 위해 상기 펄스형 신호를 수신하는 이차 프로세서;
    상기 이차 프로세서에 커플링되어 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 이차 전원 공급부에 제 1 이차 전력 값을 제공하는 제 1 전력 제어기;
    상기 이차 프로세서에 커플링되어 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 상기 이차 전원 공급부에 제 2 이차 전력 값을 제공하는 제 2 전력 제어기;
    상기 이차 프로세서에 커플링되어 상기 이차 프로세서로부터 상태 식별을 수신하는 제 1 AFC 로서, 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 이차 RF 신호의 제 1 주파수 입력을 제공하도록 구성된, 상기 제 1 AFC; 및
    상기 이차 프로세서에 커플링되어 상기 이차 프로세서로부터 상태 식별을 수신하는 제 2 AFC 로서, 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 상기 이차 RF 신호의 제 2 주파수 입력을 제공하도록 구성된, 상기 제 2 AFC 를 구비하는, 시스템.
  17. 제 16 항에 있어서,
    상기 이차 발생기는 상기 이차 프로세서로부터 수신되는 상기 제 1 주파수 입력을 상기 이차 전원 공급부에 또는 상기 이차 프로세서로부터 수신되는 상기 제 2 주파수 입력을 상기 이차 전원 공급부에 전송하는 선택기를 구비하는, 시스템.
  18. 기판을 지지하기 위한 표면을 갖는 하부 전극 및 상기 하부 전극 위에 배치된 상부 전극을 구비하며, 상기 상부 전극은 전기적으로 접지된, 플라즈마 챔버;
    펄스형 신호를 발생하는 디지털 펄싱 소스로서, 상기 펄스형 신호는 2 개의 상태들 간을 전이하는, 상기 디지털 펄싱 소스;
    일차 발생기; 및
    이차 발생기를 포함하며,
    상기 일차 발생기는,
    상기 하부 전극에 커플링되어 상기 하부 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 전원 공급부;
    상기 디지털 펄싱 소스에 커플링되어 상기 펄스형 신호를 수신하는 일차 프로세서로서, 상기 펄스형 신호의 상기 2 개의 상태들 중 제 1 상태 및 상기 2 개의 상태들 중 제 2 상태를 식별하는, 상기 일차 프로세서;
    상기 일차 프로세서에 커플링되어 상기 펄스형 신호가 상기 제 1 상태에 있는지 또는 상기 제 2 상태에 있는지에 기초하여 상기 일차 전원 공급부에 일차 전력 값을 제공할 지의 여부를 결정하는 전력 제어기; 및
    상기 일차 프로세서에 커플링되어 상기 일차 프로세서로부터 상태 식별을 수신하는 자동 주파수 제어기 (AFC) 로서, 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 일차 RF 신호의 주파수를 제공하도록 구성된, 상기 AFC 를 구비하고,
    상기 이차 발생기는,
    상기 하부 전극에 커플링되어 상기 하부 전극에 이차 RF 신호를 공급하는 이차 전원 공급부;
    상기 디지털 펄싱 소스에 커플링되어 상기 펄스형 신호가 상기 제 1 상태에 있는지 또는 상기 제 2 상태에 있는지를 식별하기 위해 상기 펄스형 신호를 수신하는 이차 프로세서;
    상기 이차 프로세서에 커플링되어 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 이차 전원 공급부에 제 1 이차 전력 값을 제공하는 제 1 전력 제어기;
    상기 이차 프로세서에 커플링되어 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 상기 이차 전원 공급부에 제 2 이차 전력 값을 제공하는 제 2 전력 제어기;
    상기 이차 프로세서에 커플링되어 상기 이차 프로세서로부터 상태 식별을 수신하는 제 1 AFC 로서, 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 이차 RF 신호의 주파수를 제공하도록 구성된, 상기 제 1 AFC; 및
    상기 이차 프로세서에 커플링되어 상기 이차 프로세서로부터 상태 식별을 수신하는 제 2 AFC 로서, 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 상기 이차 RF 신호의 주파수를 제공하도록 구성된, 상기 제 2 AFC 를 구비하는, 시스템.
  19. 제 18 항에 있어서,
    상기 2 개의 상태들 중 하나는 온 상태이고 상기 2 개의 상태들 중 다른 하나는 오프 상태인, 시스템.
  20. 제 18 항에 있어서,
    상기 일차 RF 신호는 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 제 1 전력 레벨에 있고 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 제 2 전력 레벨에 있으며, 상기 제 1 전력 레벨은 상기 제 2 전력 레벨보다 크며,
    상기 제 1 이차 전력 값은 상기 제 2 이차 전력 값보다 낮은, 시스템.
  21. 제 18 항에 있어서,
    상기 일차 RF 신호는 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 제 1 전력 레벨에 있고 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 제 2 전력 레벨에 있으며, 상기 제 1 전력 레벨은 상기 제 2 전력 레벨보다 크며,
    상기 제 1 이차 전력 값은 상기 제 2 이차 전력과 동일한, 시스템.
  22. 제 18 항에 있어서,
    상기 일차 RF 신호는 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 제 1 전력 레벨에 있고 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 제 2 전력 레벨에 있으며, 상기 제 1 전력 레벨은 상기 제 2 전력 레벨보다 크며,
    상기 제 1 이차 전력 값은 상기 제 2 이차 전력 값보다 큰, 시스템.
  23. 제 18 항에 있어서,
    상기 일차 전력 값은 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 양의 전력 값인, 시스템.
  24. 제 18 항에 있어서,
    상기 제 1 이차 전력 값은 상기 제 2 이차 전력 값보다 낮거나, 상기 제 2 이차 전력 값과 동일하거나, 또는 상기 제 2 이차 전력 값보다 큰, 시스템.
  25. 펄스형 신호를 발생하는 디지털 펄싱 소스;
    일차 발생기; 및
    이차 발생기를 포함하며,
    상기 일차 발생기는,
    전극에 커플링되어 상기 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 전원 공급부;
    상기 디지털 펄싱 소스에 커플링되어 상기 펄스형 신호를 수신하는 일차 프로세서로서, 상기 펄스형 신호의 2 개의 상태들 중 제 1 상태 및 상기 2 개의 상태들 중 제 2 상태를 식별하는, 상기 일차 프로세서;
    상기 일차 프로세서에 커플링되어 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 일차 전원 공급부에 제 1 일차 전력 값을 제공하는 제 1 일차 전력 제어기;
    상기 일차 프로세서에 커플링되어 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 상기 일차 전원 공급부에 제 2 일차 전력 값을 제공하는 제 2 일차 전력 제어기;
    상기 일차 프로세서에 커플링되어 상기 일차 프로세서로부터 상태 식별을 수신하는 제 1 일차 자동 주파수 제어기 (AFC) 로서, 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 일차 RF 신호에 제 1 일차 주파수 입력을 제공하도록 구성된, 상기 제 1 일차 AFC; 및
    상기 일차 프로세서에 커플링되어 상기 일차 프로세서로부터 상태 식별을 수신하는 제 2 일차 AFC 로서, 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 상기 일차 RF 신호에 제 2 일차 주파수 입력을 제공하도록 구성된, 상기 제 2 일차 AFC 를 구비하고,
    상기 이차 발생기는,
    상기 전극에 커플링되어 상기 전극에 이차 RF 신호를 공급하는 이차 전원 공급부;
    상기 디지털 펄싱 소스에 커플링되어 상기 펄스형 신호가 상기 제 1 상태에 있는지 또는 상기 제 2 상태에 있는지를 식별하기 위해 상기 펄스형 신호를 수신하는 이차 프로세서;
    상기 이차 프로세서에 커플링되어 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 이차 전원 공급부에 제 1 이차 전력 값을 제공하는 제 1 이차 전력 제어기;
    상기 이차 프로세서에 커플링되어 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 상기 이차 전원 공급부에 제 2 이차 전력 값을 제공하는 제 2 이차 전력 제어기;
    상기 이차 프로세서에 커플링되어 상기 이차 프로세서로부터 상태 식별을 수신하는 제 1 이차 AFC 로서, 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 이차 RF 신호에 제 1 이차 주파수 입력을 제공하도록 구성된, 상기 제 1 이차 AFC; 및
    상기 이차 프로세서에 커플링되어 상기 이차 프로세서로부터 상태 식별을 수신하는 제 2 이차 AFC 로서, 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 상기 이차 RF 신호에 제 2 이차 주파수 입력을 제공하도록 구성된, 상기 제 2 이차 AFC 를 구비하는, 시스템.
  26. 제 25 항에 있어서,
    상기 일차 발생기는 선택기를 구비하며, 상기 선택기는 상기 일차 프로세서로부터 수신되는 상기 제 1 일차 주파수 입력을 상기 일차 전원 공급부에 또는 상기 이차 프로세서로부터 수신되는 상기 제 2 일차 주파수 입력을 상기 일차 전원 공급부에 전송하도록 구성되며,
    상기 이차 발생기는 선택기를 구비하며, 상기 선택기는 상기 이차 프로세서로부터 수신되는 상기 제 1 이차 주파수 입력을 상기 이차 전원 공급부에 또는 상기 이차 프로세서로부터 수신되는 상기 제 2 이차 주파수 입력을 상기 이차 전원 공급부에 전송하도록 구성되는, 시스템.
  27. 기판을 지지하기 위한 표면을 갖는 하부 전극 및 상기 하부 전극 위에 배치된 상부 전극을 구비하며, 상기 상부 전극은 전기적으로 접지된, 플라즈마 챔버;
    펄스형 신호를 발생하는 디지털 펄싱 소스로서, 상기 펄스형 신호는 2 개의 상태들 간을 전이하는, 상기 디지털 펄싱 소스;
    일차 발생기; 및
    이차 발생기를 포함하며,
    상기 일차 발생기는,
    상기 하부 전극에 커플링되어 상기 하부 전극에 일차 무선 주파수 (RF) 신호를 공급하는 일차 전원 공급부;
    상기 디지털 펄싱 소스에 커플링되어 상기 펄스형 신호를 수신하는 일차 프로세서로서, 상기 펄스형 신호의 상기 2 개의 상태들 중 제 1 상태 및 상기 2 개의 상태들 중 제 2 상태를 식별하는, 상기 일차 프로세서;
    상기 일차 프로세서에 커플링되어 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 일차 전원 공급부에 제 1 일차 전력 값을 제공하는 제 1 일차 전력 제어기;
    상기 일차 프로세서에 커플링되어 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 상기 일차 전원 공급부에 제 2 일차 전력 값을 제공하는 제 2 일차 전력 제어기;
    상기 일차 프로세서에 커플링되어 상기 일차 프로세서로부터 상태 식별을 수신하는 제 1 일차 자동 주파수 제어기 (AFC) 로서, 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 일차 RF 신호의 주파수를 제공하도록 구성된, 상기 제 1 일차 AFC; 및
    상기 일차 프로세서에 커플링되어 상기 일차 프로세서로부터 상태 식별을 수신하는 제 2 일차 AFC 로서, 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 상기 일차 RF 신호의 주파수를 제공하도록 구성된, 상기 제 2 일차 AFC 를 구비하고,
    상기 이차 발생기는,
    상기 하부 전극에 커플링되어 상기 하부 전극에 이차 RF 신호를 공급하는 이차 전원 공급부;
    상기 디지털 펄싱 소스에 커플링되어 상기 펄스형 신호가 상기 제 1 상태에 있는지 또는 상기 제 2 상태에 있는지를 식별하기 위해 상기 펄스형 신호를 수신하는 이차 프로세서;
    상기 이차 프로세서에 커플링되어 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 이차 전원 공급부에 제 1 이차 전력 값을 제공하는 제 1 이차 전력 제어기;
    상기 이차 프로세서에 커플링되어 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 상기 이차 전원 공급부에 제 2 이차 전력 값을 제공하는 제 2 이차 전력 제어기;
    상기 이차 프로세서에 커플링되어 상기 이차 프로세서로부터 상태 식별을 수신하는 제 1 이차 AFC 로서, 상기 펄스형 신호가 상기 제 1 상태에 있는 경우에 상기 이차 RF 신호의 주파수를 제공하도록 구성된, 상기 제 1 이차 AFC; 및
    상기 이차 프로세서에 커플링되어 상기 이차 프로세서로부터 상태 식별을 수신하는 제 2 이차 AFC 로서, 상기 펄스형 신호가 상기 제 2 상태에 있는 경우에 상기 이차 RF 신호의 주파수를 제공하도록 구성된, 상기 제 2 이차 AFC 를 구비하는, 시스템.
  28. 제 27 항에 있어서,
    상기 제 1 일차 전력 값은 상기 제 2 일차 전력 값과 동일하거나, 상기 제 2 일차 전력 값보다 크거나, 또는 상기 제 2 일차 전력 값보다 낮은, 시스템.
  29. 디지털 펄싱 신호를 수신하는 단계로서, 상기 디지털 펄싱 신호는 2 개의 상태들을 갖는, 상기 수신하는 단계;
    상기 디지털 펄싱 신호가 상기 2 개의 상태들 중 제 1 상태에 있는 경우에 일차 RF 전원 공급부에 제 1 주파수 입력을 인가하는 것에서부터 상기 디지털 펄싱 신호가 상기 2 개의 상태들 중 제 2 상태에 있는 경우에 상기 일차 RF 전원 공급부에 제 2 주파수 입력을 인가하는 것으로 스위칭하는 단계; 및
    상기 디지털 펄싱 신호가 상기 제 1 상태에 있는 경우에 이차 RF 전원 공급부에 인가할 제 3 주파수 입력을 결정하는 단계를 포함하는, 방법.
  30. 제 29 항에 있어서,
    상기 방법은 집적회로들을 제조하기 위해 반도체 웨이퍼들을 처리하는데 사용되는, 방법.
KR1020130019481A 2012-02-22 2013-02-22 전력 및 주파수의 상태 기반 조절 KR102025950B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201261602040P 2012-02-22 2012-02-22
US61/602,040 2012-02-22
US13/531,491 US9114666B2 (en) 2012-02-22 2012-06-22 Methods and apparatus for controlling plasma in a plasma processing system
US13/531,491 2012-06-22
US13/620,386 US9197196B2 (en) 2012-02-22 2012-09-14 State-based adjustment of power and frequency
US13/620,386 2012-09-14

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020190116311A Division KR102130921B1 (ko) 2012-02-22 2019-09-20 전력 및 주파수의 상태 기반 조절

Publications (2)

Publication Number Publication Date
KR20130096678A true KR20130096678A (ko) 2013-08-30
KR102025950B1 KR102025950B1 (ko) 2019-09-26

Family

ID=48981370

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020130019481A KR102025950B1 (ko) 2012-02-22 2013-02-22 전력 및 주파수의 상태 기반 조절
KR1020190116311A KR102130921B1 (ko) 2012-02-22 2019-09-20 전력 및 주파수의 상태 기반 조절

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020190116311A KR102130921B1 (ko) 2012-02-22 2019-09-20 전력 및 주파수의 상태 기반 조절

Country Status (6)

Country Link
US (2) US9197196B2 (ko)
JP (1) JP6420528B2 (ko)
KR (2) KR102025950B1 (ko)
CN (1) CN103298235B (ko)
SG (1) SG193130A1 (ko)
TW (2) TWI640226B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160038447A (ko) * 2014-09-30 2016-04-07 세메스 주식회사 플라즈마 공정 챔버의 셀프 바이어스 전압 측정 장치 및 방법
KR20170098840A (ko) * 2014-12-03 2017-08-30 코멧 아게 플라즈마 프로세스 내에서 rf 발생기의 주파수 튜닝방법
KR20220101587A (ko) * 2015-01-28 2022-07-19 램 리써치 코포레이션 호스트 컴퓨터 시스템과 rf 생성기 사이의 듀얼 푸시

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8952765B2 (en) 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
CN103730316B (zh) 2012-10-16 2016-04-06 中微半导体设备(上海)有限公司 一种等离子处理方法及等离子处理装置
US9299574B2 (en) 2013-01-25 2016-03-29 Applied Materials, Inc. Silicon dioxide-polysilicon multi-layered stack etching with plasma etch chamber employing non-corrosive etchants
CN103476196B (zh) * 2013-09-23 2016-02-03 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体处理方法
US9318304B2 (en) 2013-11-11 2016-04-19 Applied Materials, Inc. Frequency tuning for dual level radio frequency (RF) pulsing
CN104242298B (zh) * 2014-07-17 2016-09-14 浙江大学 一种全分布式结构的孤岛交流微电网的频率控制方法
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
US9788405B2 (en) 2015-10-03 2017-10-10 Applied Materials, Inc. RF power delivery with approximated saw tooth wave pulsing
US9741539B2 (en) 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
JP6541540B2 (ja) * 2015-10-06 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置のインピーダンス整合のための方法
US9754767B2 (en) 2015-10-13 2017-09-05 Applied Materials, Inc. RF pulse reflection reduction for processing substrates
US10009028B2 (en) * 2016-09-30 2018-06-26 Lam Research Corporation Frequency and match tuning in one state and frequency tuning in the other state
US9872373B1 (en) 2016-10-25 2018-01-16 Applied Materials, Inc. Smart multi-level RF pulsing methods
KR102452835B1 (ko) * 2016-12-05 2022-10-07 램 리써치 코포레이션 다중 제어 모드
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
WO2019004188A1 (ja) * 2017-06-27 2019-01-03 キヤノンアネルバ株式会社 プラズマ処理装置
KR102361377B1 (ko) * 2017-06-27 2022-02-10 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
WO2019003309A1 (ja) 2017-06-27 2019-01-03 キヤノンアネルバ株式会社 プラズマ処理装置
KR102457976B1 (ko) * 2017-06-27 2022-10-25 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
US10002746B1 (en) * 2017-09-13 2018-06-19 Lam Research Corporation Multi regime plasma wafer processing to increase directionality of ions
US10304660B1 (en) 2018-03-21 2019-05-28 Lam Research Corporation Multi-level pulsing of DC and RF signals
US10224183B1 (en) 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
CN112292911A (zh) 2018-06-26 2021-01-29 佳能安内华股份有限公司 等离子体处理装置、等离子体处理方法、程序和存储介质
CN110648888B (zh) * 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 射频脉冲匹配方法及其装置、脉冲等离子体产生系统
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
US10504744B1 (en) * 2018-07-19 2019-12-10 Lam Research Corporation Three or more states for achieving high aspect ratio dielectric etch
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム
JP2022122425A (ja) * 2021-02-10 2022-08-23 東京エレクトロン株式会社 プラズマ処理装置及び監視装置
US11706723B2 (en) * 2021-06-09 2023-07-18 XP Power Limited Radio frequency generator with automatic level control
CN116840734B (zh) * 2023-07-07 2024-04-05 西安航空学院 一种数字脉冲参数检测系统及方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009246091A (ja) * 2008-03-31 2009-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US20090294061A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power rf generator
JP2011172410A (ja) * 2010-02-19 2011-09-01 Mitsubishi Electric Corp デジタル制御電源装置

Family Cites Families (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4314879A (en) 1979-03-22 1982-02-09 The United States Of America As Represented By The United States Department Of Energy Production of field-reversed mirror plasma with a coaxial plasma gun
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
JPS6113625A (ja) * 1984-06-29 1986-01-21 Hitachi Ltd プラズマ処理装置
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5645796A (en) 1990-08-31 1997-07-08 Abtox, Inc. Process for plasma sterilizing with pulsed antimicrobial agent treatment
US5244629A (en) 1990-08-31 1993-09-14 Caputo Ross A Plasma sterilizing process with pulsed antimicrobial agent pretreatment
US5084239A (en) 1990-08-31 1992-01-28 Abtox, Inc. Plasma sterilizing process with pulsed antimicrobial agent treatment
US5254237A (en) * 1991-03-01 1993-10-19 Snaper Alvin A Plasma arc apparatus for producing diamond semiconductor devices
DE9109503U1 (ko) 1991-07-31 1991-10-17 Magtron Magneto Elektronische Geraete Gmbh, 7583 Ottersweier, De
US5202623A (en) 1992-02-26 1993-04-13 Digital Equipment Corporation Laser-activated plasma chamber for non-contact testing
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
KR100276736B1 (ko) 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
DE69408405T2 (de) * 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
JP3424182B2 (ja) * 1994-09-13 2003-07-07 アネルバ株式会社 表面処理装置
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5917286A (en) 1996-05-08 1999-06-29 Advanced Energy Industries, Inc. Pulsed direct current power supply configurations for generating plasmas
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
AU4057999A (en) 1998-06-02 1999-12-20 Nikon Corporation Scanning aligner, method of manufacture thereof, and method of manufacturing device
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP3533105B2 (ja) 1999-04-07 2004-05-31 Necエレクトロニクス株式会社 半導体装置の製造方法と製造装置
JP2000306884A (ja) 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US6441620B1 (en) 2000-06-20 2002-08-27 John Scanlan Method for fault identification in a plasma process
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
JP3670206B2 (ja) 2000-11-06 2005-07-13 アルプス電気株式会社 プラズマ処理装置又はプラズマ処理システムの性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
JP3670209B2 (ja) 2000-11-14 2005-07-13 アルプス電気株式会社 プラズマ処理装置の性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6726804B2 (en) 2001-01-22 2004-04-27 Liang-Guo Wang RF power delivery for plasma processing using modulated power signal
WO2002075332A1 (en) 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US7678705B2 (en) * 2001-07-05 2010-03-16 Tegal Corporation Plasma semiconductor processing system and method
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
KR100557842B1 (ko) 2001-12-10 2006-03-10 동경 엘렉트론 주식회사 고주파 전원 및 그 제어 방법 및 플라즈마 처리 장치
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US6826489B2 (en) 2002-02-14 2004-11-30 Scientific Systems Research Limited Fault classification in a plasma process chamber
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
AU2003224727A1 (en) 2002-03-28 2003-10-13 Tokyo Electron Limited A system and method for determining the state of a film in a plasma reactor using an electrical property
AU2003239392A1 (en) 2002-05-29 2003-12-19 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040000385A1 (en) 2002-06-26 2004-01-01 Ratte Robert W. Fishing sinker
WO2004003969A2 (en) 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US7199327B2 (en) 2002-06-28 2007-04-03 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US7167766B2 (en) 2002-06-28 2007-01-23 Tokyo Electron Limited Controlling a material processing tool and performance data
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6808607B2 (en) 2002-09-25 2004-10-26 Advanced Energy Industries, Inc. High peak power plasma pulsed supply with arc handling
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
JP4141803B2 (ja) * 2002-11-05 2008-08-27 シャープ株式会社 プラズマ処理装置
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
JP4388287B2 (ja) 2003-02-12 2009-12-24 東京エレクトロン株式会社 プラズマ処理装置及び高周波電力供給装置
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
JP4468366B2 (ja) 2003-05-16 2010-05-26 東京エレクトロン株式会社 半導体製造過程の間にプロセスシステムをモニタする方法
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7867457B2 (en) 2003-06-20 2011-01-11 Drexel University Plasma reactor for the production of hydrogen-rich gas
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7015414B2 (en) 2003-09-30 2006-03-21 Tokyo Electron Limited Method and apparatus for determining plasma impedance
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
US20050217795A1 (en) * 2004-03-30 2005-10-06 Armen Avoyan Method of plasma etch endpoint detection using a V-I probe diagnostics
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
US7430496B2 (en) 2004-06-16 2008-09-30 Tokyo Electron Limited Method and apparatus for using a pressure control system to monitor a plasma processing system
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
EP2477207A3 (en) 2004-09-24 2014-09-03 Zond, Inc. Apparatus for generating high-current electrical discharges
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
JP4773079B2 (ja) 2004-11-26 2011-09-14 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US9607719B2 (en) 2005-03-07 2017-03-28 The Regents Of The University Of California Vacuum chamber for plasma electric generation system
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
DE602006008780D1 (de) * 2005-06-10 2009-10-08 Bird Technologies Group Inc System und verfahren zur analyse des stromflusses in halbleiter-plasmaerzeugungssystemen
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
EP1783904B1 (de) * 2005-10-17 2008-04-16 HÜTTINGER Elektronik GmbH + Co. KG HF-Plasmaversorgungseinrichtung
TWI425767B (zh) 2005-10-31 2014-02-01 Mks Instr Inc 無線電頻率電力傳送系統
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8920600B2 (en) 2006-08-22 2014-12-30 Mattson Technology, Inc. Inductive plasma source with high coupling efficiency
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US20080119055A1 (en) * 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US20080178803A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with ion distribution uniformity controller employing plural vhf sources
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US7718538B2 (en) 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US7771606B2 (en) 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
US7737042B2 (en) 2007-02-22 2010-06-15 Applied Materials, Inc. Pulsed-plasma system for etching semiconductor structures
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US8073646B2 (en) 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
CN101295345B (zh) 2007-04-29 2010-06-16 晨星半导体股份有限公司 射频识别读取装置
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US7589473B2 (en) 2007-08-06 2009-09-15 Plasma Surgical Investments, Ltd. Pulsed plasma device and method for generating pulsed plasma
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US7965805B2 (en) * 2007-09-21 2011-06-21 Qualcomm Incorporated Signal generator with signal tracking
JP5026916B2 (ja) 2007-10-19 2012-09-19 株式会社日立ハイテクノロジーズ プラズマ処理装置
ES2688300T3 (es) 2007-11-06 2018-10-31 Creo Medical Limited Aplicador para esterilización por plasma mediante microondas
CN101952945B (zh) 2007-11-29 2013-08-14 朗姆研究公司 控制微负载的脉冲式偏置等离子体工艺
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8324525B2 (en) 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
EP2321846A4 (en) 2008-08-12 2012-03-14 Applied Materials Inc ELECTROSTATIC FODDER ASSEMBLY
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
KR101510775B1 (ko) * 2008-11-24 2015-04-10 삼성전자주식회사 동기식 펄스 플라즈마 에칭 장비
JP5141519B2 (ja) 2008-12-02 2013-02-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理装置の運転方法
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US9305750B2 (en) 2009-06-12 2016-04-05 Lam Research Corporation Adjusting current ratios in inductively coupled plasma processing systems
KR101315950B1 (ko) * 2009-06-24 2013-10-08 엘지전자 주식회사 플라즈마 증착 장치 및 이 장치를 이용한 박막 제조 방법
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8901004B2 (en) 2009-07-27 2014-12-02 Lam Research Corporation Plasma etch method to reduce micro-loading
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
WO2011022612A2 (en) 2009-08-21 2011-02-24 Mattson Technology, Inc. Inductive plasma source
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
CN102612864B (zh) 2009-11-19 2015-06-10 朗姆研究公司 用于控制等离子体处理系统的方法和装置
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
JP2012033409A (ja) 2010-07-30 2012-02-16 Origin Electric Co Ltd 直流プラズマ用逆極性パルス発生回路及び直流プラズマ電源装置
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
CA2742060C (en) 2011-05-31 2013-09-10 Vln Advanced Technologies Inc. Reverse-flow nozzle for generating cavitating or pulsed jets
US8692467B2 (en) 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
US8872429B2 (en) 2011-07-28 2014-10-28 Kirk Rosener Pulsed plasma generator
US8974684B2 (en) 2011-10-28 2015-03-10 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
KR102048959B1 (ko) 2012-10-30 2019-11-27 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009246091A (ja) * 2008-03-31 2009-10-22 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US20090294061A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power rf generator
JP2011172410A (ja) * 2010-02-19 2011-09-01 Mitsubishi Electric Corp デジタル制御電源装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160038447A (ko) * 2014-09-30 2016-04-07 세메스 주식회사 플라즈마 공정 챔버의 셀프 바이어스 전압 측정 장치 및 방법
KR20170098840A (ko) * 2014-12-03 2017-08-30 코멧 아게 플라즈마 프로세스 내에서 rf 발생기의 주파수 튜닝방법
KR20220101587A (ko) * 2015-01-28 2022-07-19 램 리써치 코포레이션 호스트 컴퓨터 시스템과 rf 생성기 사이의 듀얼 푸시

Also Published As

Publication number Publication date
KR20190112254A (ko) 2019-10-04
US10231321B2 (en) 2019-03-12
CN103298235A (zh) 2013-09-11
KR102025950B1 (ko) 2019-09-26
US20160044775A1 (en) 2016-02-11
TW201737763A (zh) 2017-10-16
JP6420528B2 (ja) 2018-11-07
JP2013191554A (ja) 2013-09-26
US9197196B2 (en) 2015-11-24
KR102130921B1 (ko) 2020-08-05
TWI640226B (zh) 2018-11-01
TWI640225B (zh) 2018-11-01
SG193130A1 (en) 2013-09-30
US20130213573A1 (en) 2013-08-22
TW201410080A (zh) 2014-03-01
CN103298235B (zh) 2017-04-12

Similar Documents

Publication Publication Date Title
KR102130921B1 (ko) 전력 및 주파수의 상태 기반 조절
US11361942B2 (en) Adjustment of power and frequency based on three or more states
US9960015B2 (en) Impedance-based adjustment of power and frequency
US9673026B2 (en) Edge ramping
KR102165741B1 (ko) 세 개 이상의 상태에 기초한 전력 및 주파수의 조절

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right