CN103984790A - 使用模型确定与等离子体系统关联的晶片偏置 - Google Patents

使用模型确定与等离子体系统关联的晶片偏置 Download PDF

Info

Publication number
CN103984790A
CN103984790A CN201410042674.8A CN201410042674A CN103984790A CN 103984790 A CN103984790 A CN 103984790A CN 201410042674 A CN201410042674 A CN 201410042674A CN 103984790 A CN103984790 A CN 103984790A
Authority
CN
China
Prior art keywords
electric current
model
voltage
complex voltage
pending
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410042674.8A
Other languages
English (en)
Other versions
CN103984790B (zh
Inventor
约翰·C·小瓦尔考
布拉德福德·J·林达克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201710706833.3A priority Critical patent/CN107578974B/zh
Publication of CN103984790A publication Critical patent/CN103984790A/zh
Application granted granted Critical
Publication of CN103984790B publication Critical patent/CN103984790B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R19/00Arrangements for measuring currents or voltages or for indicating presence or sign thereof
    • G01R19/0046Arrangements for measuring currents or voltages or for indicating presence or sign thereof characterised by a specific application or detail not covered by any other subgroup of G01R19/00
    • G01R19/0061Measuring currents of particle-beams, currents from electron multipliers, photocurrents, ion currents; Measuring in plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本发明涉及使用模型确定与等离子体系统关联的晶片偏置,具体描述了用于确定晶片偏置的系统和方法。这些方法中的一种包括:检测发生器的输出以识别出发生器输出复电压和电流(V&I)。所述发生器耦合到阻抗匹配电路,而所述阻抗匹配电路耦合到静电卡盘(ESC)。该方法进一步包括:从所述发生器输出复V&I确定沿着所述阻抗匹配电路的模型的输出和所述ESC的模型之间的路径的点处的投射的复V&I。所述投射的复V&I的确定的操作使用所述路径的至少部分的模型来执行。该方法包括施加所述投射的复V&I作为函数的输入以将所述投射的复V&I映射到在所述ESC模型处的晶片偏置值。

Description

使用模型确定与等离子体系统关联的晶片偏置
技术领域
本发明涉及使用模型确定与等离子体系统关联的晶片偏置。
背景技术
在基于等离子体的系统中,在等离子体室内产生等离子体以在晶片上执行各种操作,例如,蚀刻、清洁、沉积等。对等离子体进行监测和控制,从而控制各种操作的执行。例如,通过监测等离子体的电压来监测等离子体,并通过控制提供给等离子体室的射频(RF)功率的量来控制等离子体。
然而,使用电压监测和控制操作的执行可能无法提供满意的结果。此外,电压的监测可能是昂贵和费时的操作。
在这种背景下,提出了本公开中所描述的实施方式。
发明内容
本公开的实施方式提供了用于使用模型确定与等离子体系统关联的晶片偏置的装置、方法和计算机程序。应当理解,本发明的实施方式能以多种方式实现,例如,以工艺、装置、系统、硬件、或者计算机可读介质上的方法实现。下面描述若干实施方式。
在各种实施方式中,晶片偏置是在模型的模型节点处确定的。该模型可以是射频(RF)传输线的、阻抗匹配电路的、或静电卡盘(ESC)的模型。该模型的模型节点可以是输入、输出、或者在模型内的点。通过将复电压和电流从RF发生器的输出传送到模型节点以确定在该模型节点处的复电压和电流,从而确定在模型节点处的晶片偏置。在RF发生器的输出处的复电压和电流使用根据预设的公式校准了的电压和电流探针测量。在一些实施方式中,在模型节点处的晶片偏置是系数与在模型节点处的电压幅值的积、系数与在模型节点处的电流的积、系数与在模型节点处的功率幅值的平方根的积以及常数的和。
在一些实施方式中,描述了用于确定晶片偏置的方法。该方法包括:检测发生器的输出以识别出发生器输出复电压和电流(V&I)。所述发生器耦合到阻抗匹配电路,而所述阻抗匹配电路通过射频(RF)传输线耦合到等离子体室的静电卡盘(ESC)。该方法进一步包括:从所述发生器输出复V&I确定沿着所述阻抗匹配电路的模型的输出和所述ESC的模型之间的路径的点处的投射的(projected)复V&I。所述投射的复V&I的确定的操作使用所述路径中的至少部分的模型来执行。所述路径的至少部分的模型其特征在于沿着所述路径的物理部件。该方法包括施加所述投射的复V&I作为函数的输入以将所述投射的复V&I映射到在所述ESC模型处的晶片偏置值。
在各种实施方式中,描述了用于确定晶片偏置的方法。该方法包括:接收在一个或多个发生器的一个或多个输出处测得的一个或多个发生器输出复电压和电流。所述一个或多个发生器耦合到阻抗匹配电路,所述阻抗匹配电路通过射频(RF)传输线耦合到等离子体室的静电卡盘(ESC)。该方法进一步包括:从所述一个或多个复电压和电流确定沿着所述阻抗匹配电路的模型和所述ESC的模型之间的路径的点处的投射的复电压和电流。这些模型其特征在于沿着所述路径的物理部件。该方法包括通过使用所述投射的复电压和电流作为函数的输入来计算在所述点处的晶片偏置。
在一些实施方式中,描述了用于确定晶片偏置的方法。该方法包括:当射频(RF)发生器通过阻抗匹配电路耦合到等离子体室时,识别出在射频(RF)发生器的输出处测得的第一复电压和电流。所述阻抗匹配电路具有耦合到所述RF发生器的输出的输入和耦合到RF传输线的输出。该方法进一步包括:基于在所述阻抗匹配电路中限定的电气部件生成阻抗匹配模型。所述阻抗匹配模型具有输入和输出。所述阻抗匹配模型的所述输入接收所述第一复电压和电流。所述阻抗匹配模型还具有一个或多个元件。该方法包括:将所述第一复电压和电流从所述阻抗匹配模型的输入传送通过所述一个或多个元件到达所述阻抗匹配模型的输出以确定第二复电压和电流。所述第二复电压和电流是在所述阻抗匹配模型的所述输出处。该方法包括:基于所述第二复电压和电流的电压幅值、所述第二复电压和电流的电流幅值和所述第二复电压和电流的功率幅值确定晶片偏置。
在一些实施方式中,描述了用于确定晶片偏置的等离子体系统。该等离子体系统包括:用于产生一个或多个RF信号的一个或多个射频(RF)发生器。所述一个或多个RF发生器与一个或多个电压和电流探针相关联。所述一个或多个电压和电流探针被配置来测量在所述一个或多个RF发生器的相应的一个或多个输出位置的一个或多个复电压和电流。该等离子体系统还包括:耦合到所述一个或多个RF发生器的阻抗匹配电路。该等离子体系统包括:经由RF传输线耦合到所述阻抗匹配电路的等离子体室。所述等离子体室包括静电卡盘(ESC),所述ESC耦合到所述RF传输线。该等离子体系统包括:耦合到所述一个或多个RF发生器的处理器。所述处理器配置成:接收所述一个或多个复电压和电流并从所述一个或多个复电压和电流确定沿着所述阻抗匹配电路的模型和所述ESC的模型之间的路径的点处的投射的复电压和电流。这些模型其特征在于沿着所述路径的物理部件。所述处理器配置成:通过使用所述投射的复电压和电流作为函数的输入来计算在所述点处的晶片偏置。
上述实施方式的一些优点包括:确定晶片偏置不需要将电压探针耦合到点,该点例如,在RF传输线上的节点、阻抗匹配电路的输出、在ESC上的点等。在一些系统中,电压探针测量在该点处的电压并将所测得的电压用于确定在ESC处的偏置。获得电压探针是高代价的。此外,当使用电压探针时,在等离子体系统内实施确定所测得的电压是信号还是噪声的模块。当确定所测得的电压是信号时,将该电压用于控制输送到等离子体系统的等离子体室的RF功率以补偿在ESC处的偏置。另一方面,在确定电压是噪声时,则不使用该电压来控制RF功率。由模块进行的确定是昂贵和费时的。相比而言,确定晶片偏置不需要将电压探针耦合到所述点。不使用电压探针能节省与电压探针相关的成本以及与模块相关联的时间和精力。另外,电压探针可能出现故障,或可能无法在衬底的制造、加工、清洗等过程中操作。电压和电流探针符合预设公式并且比所述电压探针更精密。此外,晶片偏置是基于用复电压和电流探针测得的复电压和电流来确定的。所测得并使用的复电压和电流比基于由电压探针测得的电压确定的ESC偏置,对晶片偏置提供更好的精度。
根据接下来的详细描述,结合附图,其它方面会变得显而易见。
附图说明
通过参考接下来的描述,结合附图,这些实施方式可被最好地理解。
图1是根据本公开中所描述的实施方式的用于确定在阻抗匹配模型的输出位置的、在射频(RF)传输模型中的部分的输出位置的以及在静电卡盘(ESC)模型的输出位置的变量的系统的框图。
图2是根据本公开中所描述的实施方式的用于确定在RF传输模型部分的输出位置的复电压和电流的方法的流程图。
图3A是根据本公开中所描述的实施方式的系统的框图,其用于图解阻抗匹配电路。
图3B是根据本公开中所描述的实施方式的阻抗匹配模型的电路图。
图4是根据本公开中所描述的实施方式的系统的图形,其用于图解RF传输线。
图5A是根据本公开中所描述的实施方式的系统的框图,其用于图解RF传输线的电路模型。
图5B是根据本公开中所描述的实施方式的电路的图形,其用于图解RF传输模型的隧道和带(strap)模型。
图5C是根据本公开中所描述的实施方式的电路的图形,其用于图解隧道和带(strap)模型。
图6是根据本公开中所描述的实施方式的电路的图形,其用于图解圆柱形和ESC模型。
图7是根据本公开中所描述的实施方式的包括滤波器的用来确定变量的等离子体系统的框图。
图8A是根据本公开中所描述的实施方式的系统的图形,其用于图解提高变量的精度的滤波器的模型。
图8B是根据本公开中所描述的实施方式的系统的图形,其用于图解滤波器的模型。
图9是根据本公开中所描述的实施方式的用于利用电流和电压探针来测量在图1的系统的RF发生器的输出位置的变量的系统的框图。
图10是根据本公开中所描述的实施方式的系统的框图,其中电流和电压探针和通信设备位于RF发生器的外面。
图11是根据本公开中所描述的实施方式的系统的框图,其中使用了利用图1的系统确定的变量的值。
图12A是根据本公开中所描述的实施方式的图解当x MHz RF发生器开通(on)时在通过使用探针在图1的系统内的节点位置测得的变量和利用图2的方法确定的变量之间的相关性的图形。
图12B是根据本公开中所描述的实施方式的图解当y MHz RF发生器开通时在通过使用探针在图1的系统内的节点位置测得的变量和利用图2的方法确定的变量之间的相关性的图形。
图12C是根据本公开中所描述的实施方式的图解当z MHz RF发生器开通时在通过使用探针在图1的系统内的节点位置测得的变量和利用图2的方法确定的变量之间的相关性的图形。
图13是根据本公开中所描述的实施方式的用于确定阻抗匹配模型的、RF传输模型的、或ESC模型的模型节点位置的晶片偏置的方法的流程图。
图14是根据本公开中所描述的实施方式的图解用于生成晶片偏置的晶片偏置发生器的状态图。
图15是根据本公开中所描述的实施方式的用于确定沿着阻抗匹配电路的模型和ESC的模型之间的路径的某点处的晶片偏置的方法的流程图。
图16是根据本公开中所描述的实施方式的用于确定在模型的节点位置的晶片偏置的系统的框图。
图17是根据本公开中所描述的实施方式的用于确定在系统的模型节点位置的晶片偏置的方法的流程图。
图18是根据本公开中所描述的实施方式的用于图解不是通过使用电压探针而是通过使用图13、图15、或图17的方法来确定晶片偏置的优点的系统的框图。
图19A是根据本公开中所描述的实施方式的图解当y和zMHz RF发生器开通时在使用电压探针在图1的等离子体系统的节点位置测得的变量和利用图2、13、15、或17的方法确定的在相应的模型节点输出处的变量之间的相关性的图形的实施方式。
图19B是根据本公开中所描述的实施方式的图解当x和zMHz RF发生器开通时在使用电压探针在图1的等离子体系统的节点位置测得的变量和利用图2、13、15、或17的方法确定的在相应的模型节点输出处的变量之间的相关性的图形的实施方式。
图19C是根据本公开中所描述的实施方式的图解当x和yMHz RF发生器开通时在使用电压探针在图1的等离子体系统的节点位置测得的变量和利用图2、13、15、或17的方法确定的在相应的模型节点输出处的变量之间的相关性的图形的实施方式。
图20A是根据本公开中所描述的实施方式的用于图解当xMHz RF发生器开通时,在利用传感器工具测得的有线晶片偏置(wired wafer bias)、利用图13、15、或17的方法确定的模型晶片偏置和该模型偏置中的误差之间的相关性的图形。
图20B是根据本公开中所描述的实施方式的用于图解当yMHz RF发生器开通时,在利用传感器工具测得的有线晶片偏置、利用图13、15、或17的方法确定的模型偏置和该模型偏置中的误差之间的相关性的图形。
图20C是根据本公开中所描述的实施方式的用于图解当zMHz RF发生器开通时,在利用传感器工具测得的有线晶片偏置、利用图13、15、或17的方法确定的模型偏置和该模型偏置中的误差之间的相关性的图形。
图20D是根据本公开中所描述的实施方式的用于图解当x和yMHz RF发生器开通时,在利用传感器工具测得的有线晶片偏置、利用图13、15、或17的方法确定的模型偏置和该模型偏置中的误差之间的相关性的图形。
图20E是根据本公开中所描述的实施方式的用于图解当x和zMHz RF发生器开通时,在利用传感器工具测得的有线晶片偏置、利用图13、15、或17的方法确定的模型偏置和该模型偏置中的误差之间的相关性的图形。
图20F是根据本公开中所描述的实施方式的用于图解当y和zMHz RF发生器开通时,在利用传感器工具测得的有线晶片偏置、利用图13、15、或17的方法确定的模型偏置和该模型偏置中的误差之间的相关性的图形。
图20G是根据本公开中所描述的实施方式的用于图解当x、y和z MHz RF发生器开通时,在利用传感器工具测得的有线晶片偏置、利用图13、15、或17的方法确定的模型偏置和该模型偏置中的误差之间的相关性的图形。
图21是根据本公开中所描述的实施方式的图1的系统的主机系统的框图。
具体实施方式
下列实施方式描述了用于使用模型确定与等离子体系统关联的晶片偏置的系统和方法。显而易见,这些实施方式可在没有这些具体细节中的一些或全部的情况下实施。另一方面,公知的工艺操作没有被详细描述以免不必要地使这些实施方式难以理解。
图1是用于确定在阻抗匹配模型104的输出位置的、在RF传输模型161的部分173的输出(例如,模型节点N1m)位置的、以及在静电卡盘(ESC)模型125的输出(例如,模型节点N6m)位置的变量的系统126的实施方式的框图,RF传输模型161是RF传输线113的模型。变量的例子包括复电压、复电流、复电压和电流、复功率、晶片偏置等。RF传输线113具有输出,例如节点N2。电压和电流(VI)探针110测量在x MHz RF发生器的输出(例如,节点N3)位置的复电压和电流Vx、Ix和例如,第一复电压和电流。应当注意,Vx代表电压幅值,Ix代表电流幅值,而代表Vx和Ix之间的相位。阻抗匹配模型104具有输出,例如,模型节点N4m。
此外,电压和电流探针111测量在y MHz RF发生器的输出位置(例如,节点N5)的复电压和电流Vy、Iy和应当注意,Vy代表电压幅值,Iy代表电流幅值,而代表Vy和Iy之间的相位。
在一些实施方式中,节点是设备的输入点、设备的输出点或者设备内的点。下面描述此处所使用的设备。
x MHz的示例包括2MHz、27MHz和60MHz。y MHz的示例包括2MHz、27MHz和60MHz。x MHz不同于y MHz。例如,当x MHz为2MHz时,y MHz为27MHz或者60MHz。当x MHz为27MHz时,y MHz是60MHz。
各个VI探针110和111的示例包括符合预设公式的VI探针。预设公式的示例包括由开发用于传感器的标准的协会所遵循的标准。预设公式的另一示例包括美国国家标准技术研究所(NIST)标准。所示VI探针110或111根据NIST标准进行校准。在该图示中,VI探针110或111与开路、短路或者已知负载耦合以校准VI探针110或111从而符合NIST标准。VI探针110或111可首先与开路耦合,接着与短路耦合,然后与已知负载耦合从而基于NIST标准校准VI探针110。VI探针110或111可按任意顺序耦合到已知负载、开路和短路从而根据NIST标准校准VI探针110或111。已知负载的示例包括50欧姆的负载、100欧姆的负载、200欧姆的负载、静态负载、直流(DC)负载、电阻器,等等。所示的各个VI探针110和111根据NIST-可追溯标准进行校准。
VI探针110耦合到x MHz RF发生器的输出,例如节点N3。xMHz RF发生器的输出,例如节点N3,经由缆线150耦合到阻抗匹配电路114的输入153。此外,VI探针111耦合到y MHz RF发生器的输出,例如节点N5。y MHz RF发生器的输出(例如节点N5)经由缆线152耦合到阻抗匹配电路114的另一输入155。
阻抗匹配电路114的输出(例如节点N4)耦合到RF传输线113的输入。RF传输线113包括部分169和另一部分195。部分169的输入是RF传输线113的输入。部分169的输出(例如节点N1)耦合到部分195的输入。部分195的输出(例如节点N2)耦合到等离子体室175。部分195的输出是RF传输线113的输出。部分169的示例包括RF柱体和RF带(strap)。RF柱体耦合到RF带。部分195的示例包括RF杆和/或用于支撑等离子体室175的支撑件,例如柱体等。
等离子体室175包括静电卡盘(ESC)177、上电极179和其它部件(未图示),其它部件例如围绕上电极179的上介电环、围绕该上介电环的上电极延伸部、围绕ESC177的下电极的下介电环、围绕该下介电环的下电极延伸部、上等离子体禁区(PEZ)环、下PEZ环,等等。上电极179位于ESC177的对面并面向ESC177。工件131,例如半导体晶片等,被支撑在ESC177的上表面183上。上表面183包括ESC177的输出N6。工件131被放置在输出N6上。在生产过程中,在工件131上执行各种工艺,例如化学气相沉积、清洁、沉积、溅射、蚀刻、离子注入、抗蚀剂剥离等。在工件131上开发集成电路,例如专用集成电路(ASIC)、可编程逻辑器件(PLD)等,且所述集成电路被用在各种电子产品中,例如蜂窝电话、平板式计算机、智能电话、计算机、笔记本电脑、网络设备,等等。下电极和上电极179中的每一个均由金属(例如铝、铝合金、铜等)制成。
在一实施方式中,上电极179包括耦合到中央气体进给装置(未图示)的孔。中央气体进给装置接收来自气体供应源(未图示)的一或多种工艺气体。工艺气体的示例包括含氧气体,比如O2。工艺气体的其它示例包括含氟气体,例如四氟甲烷(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)等。上电极179接地。ESC 177经由阻抗匹配电路114耦合到x MHz RF发生器和y MHz RF发生器。
当工艺气体被供应到上电极179和ESC177之间且当x MHzRF发生器和/或y MHz RF发生器经由阻抗匹配电路114和RF传输线113提供RF信号给ESC 177时,所述工艺气体被点燃以在等离子体室175内产生等离子体。
当x MHz RF发生器产生RF信号并经由节点N3、阻抗匹配电路114和RF传输线113将RF信号提供给ESC177且当y MHz发生器产生RF信号并经由节点N5、阻抗匹配电路114和RF传输线113将RF信号提供给ESC177时,VI探针110测量节点N3处的复电压和电流而VI探针111测量节点N5处的复电压和电流。
由VI探针110和111测得的复电压和电流从相应的VI探针110和111经由相应的通信设备185和189被提供给主机系统130的用于存储的存储硬件单元(HU)。例如,由VI探针110测得的复电压和电流经由通信设备185和缆线191提供给主机系统130而由VI探针111测得的复电压和电流经由通信设备189和缆线193提供给主机系统130。通信设备的示例包括将数据转换成以太网数据包和将以太网数据包转换成数据的以太网设备、以太网控制自动化技术(EtherCAT)的设备、串行传输数据的串行接口设备、并行传输数据的并行接口设备、通用串行总线(USB)接口设备,等等。
主机系统130的示例包括计算机,例如台式机、笔记本电脑、平板式计算机,等等。所示的主机系统130包括处理器和存储HU162。此处所使用的处理器可以是中央处理单元(CPU)、微处理器、专用集成电路(ASIC)、可编程逻辑器件(PLD)等。存储HU的示例包括只读存储器(ROM)、随机访问存储器(RAM)或者它们的组合。存储HU可以是闪存、存储磁盘冗余阵列(RAID)、硬盘,等等。
阻抗匹配模型104被存储在存储HU162内。阻抗匹配模型104具有与阻抗匹配电路114的特征相似的特征,例如,电容、电感、复功率、复电压和电流,等等。例如,阻抗匹配模型104具有与阻抗匹配电路114中的电容器和/或电感器数量相同的电容器和/或电感器,且该电容器和/或电感器以与阻抗匹配电路114中的方式相同的方式(例如串联、并联等)彼此连接。举例来说,当阻抗匹配电路114包括与电感器串联耦合的电容器时,阻抗匹配模型104也包括与电感器串联耦合的电容器。
作为一个例子,阻抗匹配电路114包括一或多个电气部件而阻抗匹配模型104包括阻抗匹配电路114的设计,例如计算机生成的模型。计算机生成的模型可由处理器基于通过输入硬件单元从用户处接收的输入信号而生成。所述输入信号包括与哪些电气部件(例如电容器、电感器等)以电气部件彼此耦合的模型和方式(例如串联、并联等)被包括有关的信号。作为另一个例子,阻抗匹配电路114包括硬件电气部件以及所述电气部件之间的硬件连接而阻抗匹配模型104包括硬件电气部件的软件表达以及硬件连接的软件表达。作为又一个例子,阻抗匹配模型104利用软件程序进行设计而阻抗匹配电路114被制于印刷电路板上。此处所使用的电气部件可包括电阻器,电容器,电感器,电阻器之间的连接件,电感器之间的连接件,电容器之间的连接件,和/或电阻器、电感器和电容器的组合之间的连接件。
类似地,缆线模型163与缆线150具有相似的特征,而缆线模型165与缆线152具有相似的特征。作为一个例子,缆线模型163的电感与缆线150的电感相同。作为另一个例子,缆线模型163是缆线150的计算机生成的模型而缆线模型165是缆线152的计算机生成的模型。
类似地,RF传输模型161与RF传输线113具有相似的特征。例如,RF传输模型161具有与RF传输线113中的电阻器、电容器和/或电感器数量相同的电阻器、电容器和/或电感器,且所述电阻器、电容器和/或电感器以与RF传输线113中的方式相同的方式(例如串联、并联等)彼此连接。为了进一步说明,当RF传输线113包括与电感器并联耦合的电容器时,RF传输模型161也包括与电感器并联耦合的电容器。又例如,RF传输线113包括一个或多个电气部件而RF传输模型161包括RF传输线113的设计,例如计算机生成的模型。
在一些实施方式中,RF传输模型161是涉及元件(例如电容器、电感器、电阻器、它们的组合等)的特征(例如,电容、电阻、电感、它们的组合等)的运算的以及涉及确定这些元件之间的连接(例如串联、并联等)的计算机生成的阻抗变换。
基于经由缆线191从VI探针110接收的复电压和电流以及在阻抗匹配模型104内的诸如电感器、电容器等元件的诸如电容、电感等特征,主机系统130的处理器计算在阻抗匹配模型104的输出(例如模型节点N4m)位置的复电压和电流V、I和例如第二复电压和电流。在模型节点N4m处的复电压和电流被存储在存储HU162和/或主机系统130的另一存储HU中,例如光盘、闪存等。复V、I和包括电压幅值V、电流幅值I以及所述电压和电流之间的相位
阻抗匹配模型104的输出被耦合到RF传输模型161的输入,RF传输模型161被存储在存储硬件单元162中。阻抗匹配模型104也具有输入,例如节点N3m,其被用于接收在节点N3处测得的复电压和电流。
RF传输模型161包括一个部分173、另一部分197和输出N2m,输出N2m通过ESC模型125与模型节点N6m耦合。ESC模型125是ESC177的模型。例如,ESC模型125具有与ESC177的特征相似的特征。例如,ESC模型125具有与ESC177的电感、电容、电阻、或它们的组合相同的电感、电容、电阻、或它们的组合。
部分173的输入是RF传输模型161的输入。部分173的输出耦合到部分197的输入。部分172具有与部分169的特征相似的特征,而部分197具有与部分195的特征相似的特征。
基于在模型节点N4m处测得的复电压和电流,主机系统130的处理器计算在RF传输模型161的部分173的输出(例如,模型节点N1m)位置的复电压和电流V、I和例如第三复电压和电流。在模型节点N1m处确定的复电压和电流被存储在主机系统130的存储HU162和/或另一存储HU(例如光盘、闪存等)中。
在若干实施方式中,代替确定第三复电压和电流或者附加于确定第三复电压和电流,主机系统130的处理器基于在阻抗匹配模型104的输出位置的复电压和电流以及在RF传输模型161的输入和部分173中的点之间的元件的特征计算在部分173内的点(例如节点等)处的复电压和电流,例如中间复电压和电流V、I和
在各种实施方式中,代替确定第三复电压和电流或者附加于确定第三复电压和电流,主机系统130的处理器基于在阻抗匹配模型104的输出位置的复电压和电流以及在RF传输模型161的输入和部分197内的点之间的元件的特征计算在部分197内的点(例如节点等)处的复电压和电流,例如中间复电压和电流V、I和
还应当注意,在一些实施方式中,基于在x MHz RF发生器的输出位置的复电压和电流、缆线模型163的元件的特征、以及阻抗匹配模型104的特征计算在阻抗匹配模型104的输出位置的复电压和电流。
应当注意,虽然示出了两个发生器耦合到阻抗匹配电路114,但在一实施方式中,任意数量的RF发生器(例如,单个发生器、三个发生器等)经由阻抗匹配电路耦合到等离子体室175。例如,2MHz发生器、27MHz发生器和60MHz发生器可经由阻抗匹配电路耦合到等离子体室175。例如,虽然上述实施方式联系使用在节点N3处测得的复电压和电流进行描述,但在各种实施方式中,上述实施方式还可使用在节点N5处测得的复电压和电流。
图2是用于确定在RF传输模型部分173(图1)的输出位置的复电压和电流的方法102的实施方式的流程图。方法102由主机系统130(图1)的处理器执行。在操作106中,从存储HU162(图1)中识别在节点N3处测得的复电压和电流,例如第一复电压和电流。举例来说,从电压和电流探针110(图1)接收第一复电压和电流是确定的。作为另一个例子,基于电压和电流探针110的存储在存储HU162(图1)中的同一性(identity),第一复电压和电流与所述同一性相关联是确定的。
进一步,在操作107中,基于阻抗匹配电路114(图1)的电气部件生成阻抗匹配模型104(图1)。例如,阻抗匹配电路114的电气部件之间的连接和所述电气部件的特征经由与主机系统130耦合的输入硬件单元被用户提供给主机系统130的处理器。在接收所述连接和所述特征的基础上,处理器生成具有与阻抗匹配电路114的电气部件的特征相同的特征的元件并在具有与所述电气部件之间的连接相同的连接的所述元件之间生成连接。
阻抗匹配模型163的输入,例如节点N3m,接收第一复电压和电流。例如,主机系统130的处理器从存储HU162访问(例如读取等)第一复电压和电流并将第一复电压和电流提供给阻抗匹配模型104的输入以处理第一复电压和电流。
在操作116中,将第一复电压和电流从阻抗匹配模型104的输入(例如节点N3m(图1))传送通过阻抗匹配模型104(图1)的一个或多个元件到达阻抗匹配模型104的输出(例如节点N4m(图1))以确定第二复电压和电流,第二复电压和电流位于阻抗匹配模型104的输出位置。举例来说,参考图3B,当2MHz RF发生器是开通的(例如运行的、接通的、耦合到诸如例如等离子体系统126的阻抗匹配电路104之类的设备等)时,基于电容器253的电容、基于电容器C5的电容且基于在输入255处所接收的第一复电压和电流确定在节点251(例如中间节点)处的复电压和电流Vx1、Ix1和例如中间复电压和电流,其包括电压幅值Vx1、电流幅值Ix1以及该复电压和电流之间的相位此外,基于复电压和电流Vx1、Ix1和以及基于电感器L3的电感确定在节点257处的复电压和电流Vx2、Ix2和复电压和电流Vx2、Ix2和包括电压幅值Vx2、电流幅值Ix2以及该电压和电流之间的相位当27MHz RF发生器和60MHz RF发生器是关闭的(例如处于非运行状态、断电的、与阻抗匹配电路104解耦等)时,复电压和电流V2、I2和被确定为在输出259处的第二复电压和电流,输出259是阻抗匹配模型104(图1)的输出(例如模型节点N4m(图1))的示例。复电压和电流V2、I2和基于复电压和电流Vx2、Ix2和以及基于电感器L2的电感进行确定。复电压和电流V2、I2和包括电压幅值V2、电流幅值I2以及该电压和电流之间的相位
类似地,当27MHz RF发生器是开通的而2MHz和60MHzRF发生器是关闭的时,在输出259处的复电压和电流V27、I27和基于在节点261处所接收的复电压和电流以及电感器LPF2、电容器C3、电容器C4和电感器L2的特征进行确定。复电压和电流V27、I27和包括电压幅值V27、电流幅值I27以及该电压和电流之间的相位在节点261处所接收的复电压和电流与在节点N5(图1)处测得的复电压和电流相同。当2MHz和27MHz RF发生器二者均是开通的而60MHz RF发生器是关闭的时,复电压和电流V2、I2、V27、I27和是第二复电压和电流的示例。此外,类似地,当60MHz RF发生器是开通的而2MHz和27MHz RF发生器是关闭的时,在输出259处的复电压和电流V60、I60和基于在节点265处所接收的复电压和电流以及电感器LPF1、电容器C1、电容器C2、电感器L4、电容器269和电感器L1的特征进行确定。复电压和电流V60、I60和包括电压幅值V60、电流幅值I60以及该电压和电流之间的相位当2MHz、27MHz和60MHz RF发生器都是开通的时,复电压和电流V2、I2、V27、I27、V60、I60和是第二复电压和电流的示例。
在操作117中,基于RF传输线113(图1)的电气部件生成RF传输模型161(图1)。例如,RF传输线113的电气部件之间的连接和所述电气部件的特征经由与主机系统130耦合的输入设备被用户提供给主机系统130的处理器。在接收所述连接和所述特征的基础上,处理器生成具有与RF传输线113的电气部件的特征相同的特征的元件并在所述元件之间生成与所述电气部件之间的连接相同的连接。
在操作119中,将第二复电压和电流从RF传输模型113的输入传送通过RF传输模型部分173的一或多个元件到达RF传输模型部分173的输出(例如模型节点N1m(图1))以确定在RF传输模型部分173的输出位置的第三复电压和电流。举例来说,参考图5B,当2MHz RF发生器是开通的而27和60MHz RF发生器是关闭的时,基于电感器Ltunnel的电感、基于电容器Ctunnel的电容且基于作为第二复电压和电流的示例的复电压和电流V2、I2和(图3B)确定在节点293(例如中间节点)处的复电压和电流Vx4、Ix4和例如中间复电压和电流。应当注意,Ltunnel是RF隧道的计算机生成模型的电感而Ctunnel是RF隧道模型的电容。此外,基于复电压和电流Vx4、Ix4和以及基于电感器Lstrap的电感确定在隧道和带模型210的输出297处的复电压和电流V21、I21和输出297是部分173(图1)的输出(例如模型节点N1m(图1))的示例。应当注意,Lstrap是RF带的计算机生成模型的电感。当2MHz RF发生器是开通的而27和60MHz RF发生器是关闭的时,复电压和电流V21、I21和被确定为在输出297处的第三复电压和电流。
类似地,当27MHz RF发生器是开通的而2和60MHz RF发生器是关闭的时,在输出297处的复电压和电流V271、I271和基于在输出259处的复电压和电流V27、I27和(图3B)以及电感器Ltunnel、电容器Ctunnel和电感器Lstrap的特征进行确定。当2MHz和27MHz RF发生器二者均是开通的而60MHz RF发生器是关闭的时,复电压和电流V21、I21、V271、I271和是第三复电压和电流的示例。
此外,类似地,当60MHz RF发生器开通而2和27MHz RF发生器断电时,在输出297处的复电压和电流V601、I601和基于在节点259处所接收的复电压以及电流V60、I60和(图3B)和电感器Ltunnel、电容器Ctunnel和电感器Lstrap的特征进行确定。当2MHz、27MHz和60MHz RF发生器均是开通的时,复电压和电流V21、I21、V271、I271、V601、I601和是第三复电压和电流的示例。方法102在操作119之后结束。
图3A是系统123的实施方式的框图,其用于图解阻抗匹配电路122。阻抗匹配电路122是阻抗匹配电路114(图1)的示例。阻抗匹配电路122包括电气部件之间的串联连接和/或电气部件之间的并联连接。
图3B是阻抗匹配模型172的实施方式的电路图。阻抗匹配模型172是阻抗匹配模型104(图1)的示例。如图所示,阻抗匹配模型172包括具有电容C1至C9的电容器,具有LPF1、LPF2、以及L1至L4的电感的电感器。应当注意,在图3B中,电感器和/或电容器彼此耦合的方式是示例性的。例如,图3B中所示电感器和/或电容器能以串联和/或并联的方式彼此耦合。此外,在一些实施方式中,阻抗匹配模型172包括与图3B中所示电容器和/或电感器数量不同的电容器和/或电感器。
图4是系统178的实施方式的图形,其用于图解RF传输线181,RF传输线181是RF传输线113(图1)的示例。RF传输线181包括柱体148,例如隧道。在柱体148的空心内设有绝缘体189和RF杆142。柱体148和RF杆142的组合是RF传输线113(图1)的部分169(图1)的示例。借助螺栓B1、B2、B3和B4,RF传输线181被固定(bolt)到阻抗匹配电路114。在一实施方式中,RF传输线181借助任意数量的螺栓被固定(bolt)到阻抗匹配电路114。在一些实施方式中,代替螺栓或者除了螺栓之外,任何其它形式的连接件(例如,胶、螺钉等)被用于将RF传输线181连接到阻抗匹配电路114。
RF传输杆142与阻抗匹配电路114的输出耦合。此外,RF带144(也称为RF匙)与RF杆142和RF杆199耦合,RF杆199的一部分位于支撑件146(例如,柱体)内。包括射频杆199的支撑件146是部分195(图1)的示例。在一实施方式中,柱体148、RF杆142、RF带144、支撑件146和RF杆199的组合形成RF传输线181,RF传输线181是RF传输线113(图1)的示例。支撑件146为等离子体室提供支撑。支撑件146连接到等离子体室的ESC177。RF信号从x MHz发生器经由缆线150、阻抗匹配电路114、RF杆142、RF带144和RF杆199被提供给ESC177。
在一实施方式中,ESC177包括加热元件和在该加热元件的顶部上的电极。在一实施方式中,ESC177包括加热元件和下电极。在一实施方式中,ESC177包括下电极和嵌在形成于下电极内的孔中的加热元件,例如线圈导线等。在一些实施方式中,电极由金属(例如铝、铜等)制成。应当注意,RF传输线181提供RF信号给ESC177的下电极。
图5A是系统171的实施方式的框图,其用于图解RF传输线113(图1)的电路模型176。举例来说,电路模型176包括电感器和/或电容器、电感器之间的连接、电容器之间的连接、和/或电感器和电容器之间的连接。连接的示例包括串联和/或并联连接。电路模型176是RF传输模型161(图1)的示例。
图5B是电路180的实施方式的图形,其用于图解隧道和带模型210,隧道和带模型210是RF传输线模型161(图1)的部分173(图1)的示例。电路180包括阻抗匹配模型172以及隧道和带模型210。隧道和带模型210包括电感器Ltunnel和Lstrap以及电容器Ctunnel。应当注意,电感器Ltunnel代表柱体148(图4)和RF杆142的电感而电容器Ctunnel代表柱体148和RF杆142的电容。此外,电感器Lstrap代表RF带144(图4)的电感。
在一实施方式中,隧道和带模型210包括任意数量的电感器和/或任意数量的电容器。在该实施方式中,隧道和带模型210包括一电容器与另一电容器耦合、电感器与电容器耦合、和/或一电感器与另一电感器耦合的任何方式(例如串联、并联等)。
图5C是电路300的实施方式的图形,其用于图解隧道和带模型302,隧道和带模型302是RF传输线模型161(图1)的部分173(图1)的示例。隧道和带模型302经由输出259耦合到阻抗匹配模型172。隧道和带模型302包括有20纳亨(NH)电感的电感器和具有15皮法(pF)、31pF、15.5pF和18.5pF电容的电容器。隧道和带模型302经由节点304耦合到RF柱体,RF柱体耦合到ESC177(图1)。射频柱体是部分195(图1)的一个示例。
应当注意,在一些实施方式中,隧道和带模型302的电感器和电容器具有其它值。例如,20nH电感器具有范围介于15和20nH之间的或介于20和25nH之间的电感。作为另一例子,隧道和带模型302的电感器中的两个或更多个有不同电感。作为又一个例子,15pF电容器具有范围介于电容8pF和25pF之间的电容,31pF电容器具有范围介于15pF和45pF之间的电容,15.5pF电容器具有范围介于9pF和20pF之间的电容,并且18.5pF电容器具有范围介于10pF和27pF之间的电容。
在各种实施方式中,任何数量的电感器包括在隧道和带模型302中以及任意数量的电容器包括在隧道和带模型302中。
图6是用来图解柱体和ESC模型312的电路310的一个实施方式的示意图,柱体和ESC模型312是电感器313和电容器316的组合。柱体和ESC模型312包括柱体模型和ESC模型,ESC模型是ESC模型125(图1)的示例。柱体模型是RF传输模型161(图1)的部分197(图1)的示例。柱体和ESC模型312具有与部分195和ESC177(图1)的组合的特征类似的特征。例如,柱体和ESC模型312具有与部分195和ESC177的组合的电阻相同的电阻。作为另一示例,柱体和ESC模型312具有与部分195和ESC177的组合的电感相同的电感。作为又一示例,柱体和ESC模型312具有与部分195和ESC177的组合的电容相同的电容。作为又一个示例,柱体和ESC模型312具有与部分195和ESC177的组合的电感、电阻、电容、或它们的组合相同的电感、电阻、电容、或它们的组合。
柱体和ESC模型312通过节点318耦合到隧道和带模型302。节点318是模型节点N1m(图1)的示例。
应注意,在一些实施方案中,在柱体和ESC模型312中使用具有电感不是44毫亨(mH的)的电感器。例如,使用具有电感范围介于35mH和43.9mH之间或介于45.1mH和55mH之间的电感器。在各种实施方式中,使用具有电容不是550pF的电容器。例如,替代550pF电容器,使用具有电容范围介于250和550pF之间或介于550和600pF之间的电容器。
主机系统130(图1)的处理器计算模型172、隧道和带模型302以及柱体和ESC模型312的组合的组合阻抗,例如,总阻抗。将组合阻抗和在模型节点318处确定的复电压和电流由主机系统130的处理器用作为输入来计算在节点N6m处的复电压和阻抗。应当注意,柱体和ESC模型312的输出是模型节点N6m。
图7是用于确定变量的系统200的实施方式的框图。系统200包括等离子体室135,等离子体室135进一步包括ESC201并具有输入285。等离子体室135是等离子体室175(图1)的示例而ESC201是ESC177(图1)的示例。ESC201包括加热元件198。此外,ESC201被边缘环(ER)194环绕。ER194包括加热元件196。在一实施方式中,ER194有助于均匀的蚀刻速率和减少的在由ESC201支撑的工件131的边缘附近的蚀刻速率漂移。
功率源206经由滤波器208提供功率给加热元件196以加热加热元件196而功率源204经由滤波器202提供功率给加热元件198以加热加热元件198。在一实施方式中,单一功率源提供功率给加热元件196和198二者。滤波器208过滤出接收自功率源206的预定频率的功率信号而滤波器202过滤出接收自功率源204的预定频率的功率信号。
加热元件198由接收自功率源204的功率信号加热以将ESC198的电极维持在希望的温度从而进一步将等离子体室135内的环境维持在希望的温度。此外,加热元件196由接收自功率源206的功率信号加热以将ER194维持在希望的温度从而进一步将等离子体室135内的环境维持在希望的温度。
应当注意,在一实施方式中,ER194和ESC201包括任意数量的加热元件和任意类型的加热元件。例如,ESC201包括电感加热元件或金属板。在一实施方式中,ESC201和ER194中的每一者均包括允许冷水等通过的一或多个冷却元件,例如一或多个管,以将等离子体室135维持在希望的温度。
进一步地,应当注意,在一实施方式中,系统200包括任意数量的滤波器。例如,功率源204和206经由单一滤波器耦合到ESC201和ER194。
图8A是系统217的实施方式的图形,其用于图解滤波器202和208(图6)的模型以提高变量的精度。系统217包括经由柱体模型211耦合到模型216的隧道和带模型210,模型216包括滤波器202和208的电容器和/或电感器以及它们之间的连接。模型216被存储在存储HU162(图1)和/或其它存储HU中。模型216的电容器和/或电感器以诸如并联方式、串联方式或其组合等方式彼此耦合。模型216代表滤波器202和208的电容和/或电感。
此外,系统217包括柱体模型211,柱体模型211是RF杆199(图4)和支撑件146(图4)的计算机生成模型。柱体模型211具有与RF杆199和支撑件146的电气部件的特征类似的特征。柱体模型211包括一或多个电容器、一或多个电感器、电感器之间的连接、电容器之间的连接、和/或电容器和电感器的组合之间的连接。
主机系统130(图1)的处理器计算模型216、隧道和带模型210以及柱体模型211的组合阻抗,例如总阻抗等。组合阻抗提供在节点N2m处的复电压和阻抗。通过在确定在节点N2m处的变量时包括模型216以及隧道和带模型210,所述变量的精度被提高。应当注意,模型216的输出是模型节点N2m。
图8B是系统219的实施方式的图形,其用于图解滤波器202和208(图6)的模型以提高变量的精度。系统219包括隧道和带模型210以及模型218,模型218并联耦合到隧道和带模型210。模型218是模型216(图8A)的示例。模型218包括电感器Lfilter,电感器Lfilter代表滤波器202和208的组合电感。模型218还包括电容器Cfilter,电容器Cfilter代表滤波器202和208的直接组合电容。
图9是用于利用VI探针238来测量在RF发生器220的输出231处的变量的系统236的实施方式的框图。输出231是节点N3(图1)或者节点N5(图1)的示例。RF发生器220是x MHz发生器或yMHz发生器(图1)的示例。主机系统130产生具有两或更多状态的数字脉冲信号213并将其提供给数字信号处理器(DSP)226。在一实施方式中,数字脉冲信号213是晶体管-晶体管逻辑(TTL)信号。所述状态的示例包括导通状态和截止状态、数值为1的状态和数值为0的状态、高态和低态,等等。
在另一实施方式中,代替主机系统130,时钟振荡器(例如晶体振荡器)被用来产生模拟时钟信号,该模拟时钟信号被模数转换器转换成类似于数字脉冲信号213的数字信号。
数字脉冲信号213被发送给DSP226。DSP226接收数字脉冲信号213并识别数字脉冲信号213的状态。例如,DSP226确定数字脉冲信号213在第一组时间段期间具有第一量级,例如值1、高态量级等,而在第二组时间段期间具有第二量级,例如值0、低态量级等。DSP226确定数字脉冲信号213在第一组时间段期间具有状态S1而在第二组时间段期间具有状态S0。状态S0的示例包括低态、值为0的状态、以及截止状态。状态S1的示例包括高态、值为1的状态、以及导通状态。又例如,DSP226将数字脉冲信号213的量级和预存储的值进行比较以确定在第一组时间段期间数字脉冲信号213的量级大于预存储的值以及在第二组时间段期间数字脉冲信号213在状态S0期间的量级不大于预存储的值。在使用时钟振荡器的实施方式中,DSP226从时钟振荡器接收模拟时钟信号,将该模拟信号转换成数字形式,然后识别两种状态S0和S1。
当状态被识别为S1时,DSP226提供功率值P1和/或频率值F1给参数控制器222。此外,当状态被识别为S0时,DSP226提供功率值P0和/或频率值F0给参数控制器224。用于调谐频率的参数控制器的示例包括自动频率调谐器(AFT)。
应当注意,参数控制器222、参数控制器224和DSP226是控制系统187的部件。例如,参数控制器222和参数控制器224是作为由DSP226执行的计算机程序的部分的逻辑块,例如调谐回路等。在一些实施方式中,计算机程序具体体现在非易失性计算机可读介质(例如存储HU)中。
在一实施方式中,代替参数控制器,使用诸如硬件控制器、ASIC、PLD等控制器。例如,使用硬件控制器代替参数控制器222,使用另一硬件控制器代替参数控制器224。
在接收功率值P1和/或频率值F1时,参数控制器222将功率值P1和/或频率值F1提供给驱动器和放大器系统(DAS)232的驱动器228。驱动器的示例包括功率驱动器、电流驱动器、电压驱动器、晶体管,等等。驱动器228产生具有功率值P1和/或频率值F1的RF信号并将该RF信号提供给DAS232的放大器230。
在一实施方式中,驱动器228产生具有作为功率值P1的函数的驱动功率值的和/或具有作为频率值F1的函数的驱动频率值的RF信号。例如,驱动功率值在若干(例如1至5等)瓦特的功率值P1范围内而驱动频率值在若干(例如1至5等)Hz的频率值F1范围内。
放大器230放大具有功率值P1和/或频率值F1的RF信号并产生对应于接收自驱动器228的RF信号的RF信号215。例如,RF信号215具有比功率值P1的量高的功率量。又例如,RF信号215具有与功率值P1的量相同的功率量。RF信号215经由缆线217和阻抗匹配电路114传送给ESC177(图1)。
缆线217是缆线150或缆线152(图1)的示例。例如,当RF发生器220是x MHz RF发生器(图1)的示例时,缆线217是缆线150的示例,而当RF发生器220是y MHz RF发生器(图1)的示例时,缆线217是缆线152的示例。
当功率值P1和/或频率值F1被参数控制器222提供给DAS232且RF信号215被产生时,VI探针238测量在与缆线217耦合的输出231处的变量的值。VI探针238是VI探针110或VI探针111(图1)的示例。VI探针238经由通信设备233将变量的值发送给主机系统130,用于主机系统130执行方法102(图2)和本文所述的方法340、351、和363(图13、15、和17)。通信设备233是通信设备185或189(图1)的示例。通信设备233采用诸如以太网、EtherCAT、USB、串行、并行、封包(packetization)、拆包(depacketization)等协议来将数据从VI探针238传送至主机系统130。在各种实施方式中,主机系统130包括采用通信设备233所采用的协议的通信设备。例如,当通信设备233采用封包协议时,主机系统130的通信设备采用拆包协议。又例如,当通信设备233采用串行传输协议时,主机系统130的通信设备采用串行传输协议。
类似地,当接收功率值P0和/或频率值F0时,参数控制器224将功率值P0和/或频率值F0提供给驱动器228。驱动器228产生具有功率值P0和/或频率值F0的RF信号并将该RF信号提供给放大器230。
在一实施方式中,驱动器228产生具有作为功率值P0的函数的驱动功率值的和/或具有作为频率值F0的函数的驱动频率值的RF信号。例如,驱动功率值在若干(例如1至5)瓦特的功率值P0范围内而驱动频率值在若干(例如1至5)Hz的频率值F0范围内。
放大器230放大具有功率值P0和/或频率值F0的RF信号并产生对应于接收自驱动器228的RF信号的RF信号221。例如,RF信号221具有比功率值P0的量高的功率量。又例如,RF信号221具有与功率值P0的量相同的功率量。RF信号221经由缆线217和阻抗匹配电路114传送给已知负载112(图2)。
当功率值P0和/或频率值F0被参数控制器222提供给DAS232且RF信号221被产生时,VI探针238测量在输出231处的变量的值。VI探针238将变量的值发送给主机系统130,用于主机系统130执行方法102(图2)、方法340(图13)、方法351(图15)、或方法363(图17)。
应当注意,在一实施方式中,VI探针238与DSP226解耦。在一些实施方式中,VI探针238耦合到DSP226。进一步地,应当注意,在状态S1期间产生的RF信号215以及在状态S0期间产生的RF信号221是组合RF信号的部分。例如,RF信号215是组合RF信号的比RF信号221具有高的功率量的部分,RF信号221是组合RF信号的另一部分。
图10是系统250的实施方式的框图,其中VI探针238和通信设备233位于RF发生器220的外面。在图1中,VI探针110位于xMHz RF发生器内以测量在x MHz RF发生器的输出位置的变量。VI探针238位于RF发生器220的外面以测量在RF发生器220的输出231处的变量。VI探针238与RF发生器220的输出231相关联(例如,耦合)。
图11是系统128的实施方式的框图,其中利用图1的系统126所确定的变量的值被使用。系统128包括m MHz RF发生器、nMHz RF发生器、阻抗匹配电路115、RF传输线287和等离子体室134。等离子体室134可类似于等离子体室175。
应当注意,在一实施方式中,图2的x MHz RF发生器类似于m MHz RF发生器而图2的y MHz RF发生器类似于n MHz RF发生器。例如,x MHz等于m MHz而y MHz等于n MHz。又例如,x MHz发生器和m MHz发生器具有相似的频率而y MHz发生器和n MHz发生器具有相似的频率。相似频率的示例是当x MHz在m MHz频率的窗(window)内(例如,在kHz或Hz范围内)。在一些实施方式中,图2的x MHz RF发生器不与m MHz RF发生器类似且图2的y MHzRF发生器不与n MHz RF发生器类似。
进一步要注意的是,在各种实施方式中,与用在x MHz和yMHz RF发生器的每一个中的传感器类型不同的传感器被用在m MHz和n MHz RF发生器的每一个中。例如,不遵循NIST标准的传感器被用在m MHz RF发生器中。又例如,只测量电压的电压传感器被用在m MHz RF发生器中。
进一步地,应当注意,在一实施方式中,阻抗匹配电路115类似于阻抗匹配电路114(图1)。例如,阻抗匹配电路114的阻抗与阻抗匹配电路115的阻抗相同。又例如,阻抗匹配电路115的阻抗在阻抗匹配电路114的阻抗的窗内(例如,在阻抗匹配电路114的阻抗的10-20%内)。在一些实施方式中,阻抗匹配电路115不与阻抗匹配电路114类似。
阻抗匹配电路115包括电气部件(例如电感器、电容器等)以使耦合于阻抗匹配电路115的功率源的阻抗与耦合于电路115的负载的阻抗相匹配。例如,阻抗匹配电路115使耦合于阻抗匹配电路115的源(例如m MHz发生器、n MHz RF发生器和将m MHz发生器与nMHz RF发生器耦合的缆线的组合)的阻抗与负载(例如等离子体室134和RF传输线287等的组合)的阻抗匹配。
应当注意,在一实施方式中,RF传输线287类似于RF传输线113(图1)。例如,RF传输线287的阻抗与RF传输线113的阻抗相同。又例如,RF传输线287的阻抗在RF传输线113的阻抗的窗内(例如,在RF传输线113的阻抗的10-20%内)。在多种实施方式中,RF传输线287不与RF传输线113类似。
等离子体室134包括ESC192、上电极264以及其它部件(未图示),其它部件例如围绕上电极264的上介电环、围绕上介电环的上电极延伸部、围绕ESC192的下电极的下介电环、围绕下介电环的下电极延伸部、上等离子体禁区(PEZ)环、下PEZ环,等等。上电极264位于ESC192的对面且面向ESC192。工件262,例如半导体晶片等,被支撑在ESC192的上表面263上。上电极264和ESC192的下电极中的每一个均由金属(例如铝、铝合金、铜等)制成。
在一实施方式中,上电极264包括耦合到中央气体进给装置(未图示)的孔。中央气体进给装置接收来自气体供应源(未图示)的一或多种工艺气体。上电极264接地。ESC192经由阻抗匹配电路115耦合到m MHz RF发生器和n MHz RF发生器。
当工艺气体被供应到上电极264和ESC192之间且当m MHzRF发生器和/或n MHz RF发生器经由阻抗匹配电路115供应功率给ESC192时,所述工艺气体被点燃以在等离子体室134内产生等离子体。
应当注意,系统128没有探针(例如,计量工具、VI探针、电压探针等)来测量在阻抗匹配电路115的输出283处、在RF传输线287上的点处或者在ESC192处的变量。在模型节点N1m、N2m、N4m和N6m处的变量值被用来确定系统128是否如所希望的那样运行。
在各种实施方式中,系统128没有晶片偏置传感器(例如,原位直流(DC)探针接脚(pick-up pin))和被用来测量在ESC192处的晶片偏置的相关硬件。不使用晶片偏置传感器和相关硬件节省成本。
还应当注意,在实施方式中,系统128包括任意数量的耦合到阻抗匹配电路的RF发生器。
图12A、12B和12C是图解在通过使用电压探针在系统126(图1)内的阻抗匹配电路114(图1)的输出(例如节点N4)位置测得的电压(例如均方根(RMS)电压、峰值电压等)和利用方法102(图2)确定的在对应模型节点输出(例如节点N4m)位置的电压(例如峰值电压等)之间的相关性的图268、272和275的实施方式的图形。此外,图12A、12C和12E是图解在通过使用电流探针在系统126(图1)的输出(例如节点N4)位置测得的电流(例如均方根(RMS)电流等)和利用方法102(图2)确定的在对应输出(例如节点N4m)位置的电流(例如RMS电流等)之间的相关性的图270、274和277的实施方式的图形。
利用方法102确定的电压绘制在各图268、272和275中的x轴上而利用电压探针确定的电压绘制在各图268、272和275中的y轴上。类似地,利用方法102确定的电流绘制在各图270、274和277中的x轴上而利用电流探针测得的电流绘制在各图270、274和277中的y轴上。
当x MHz RF发生器开通而y MHz RF发生器和z MHz RF发生器(例如60MHz RF发生器)关闭时,电压绘制为图268。此外,当y MHz RF发生器开通而x和z MHz RF发生器关闭时,电压绘制为图272。另外,当z MHz RF发生器开通而x和y MHz RF发生器关闭时,电压绘制为图275。
类似地,当x MHz RF发生器开通而y MHz RF发生器和zMHz RF发生器关闭时,电流绘制为图270。此外,当y MHz RF发生器开通而x和z MHz RF发生器关闭时,电流绘制为图274。另外,当z MHz RF发生器开通而x和y MHz RF发生器关闭时,电流绘制为图277。
在各图268、272和275中可见,绘制在图中y轴上的电压和绘制在图中x轴上的电压之间存在近似线性相关。类似地,在各图270、274和277中可见,在绘制在y轴上的电流和绘制在x轴上的电流之间存在近似线性相关。
图13是用于确定在等离子体系统126(图1)的模型节点(例如,该模型节点N4m、模型节点N1m、模型节点N2m、模型节点N6m等)处的晶片偏置的方法340的实施方式的流程图。应当注意,在一些实施方式中,晶片偏置是由在等离子体室175(图1)内产生的等离子体产生的直流(DC)电压。在这些实施方式中,晶片偏置存在于ESC177(图1)的表面(例如,上表面183)上和/或工件131(图1)的表面(例如,上表面)上。
还应当注意,模型节点N1m和N2m是在RF传输模型161(图1)而模型节点N6m是在ESC模型125(图1)上。方法340是由主机系统130(图1)的处理器执行。在方法340中,执行操作106。
此外,在操作341,生成相应的一个或多个装置(例如,阻抗匹配电路114、RF传输线113、ESC177、它们的组合等)的一个或多个模型,例如,阻抗匹配模型104、RF传输模型161、ESC模型125(图1)、它们的组合等。例如,生成ESC模型125,使其与ESC177(图1)具有相似的特征。
在操作343,将在操作106中识别出的复电压和电流传送通过所述一个或多个模型的一个或多个元件,以确定在所述一个或多个模型的输出处的复电压和电流。例如,从第一复电压和电流确定第二复电压和电流。又例如,从第一复电压和电流确定第二复电压和电流并从第二复电压和电流确定第三复电压和电流。作为又一示例,从第一复电压和电流确定第二复电压和电流,从第二复电压和电流确定第三复电压和电流,以及将第三复电压和电流传送通过RF传输模型161(图1)的部分197来确定在模型节点N2m处的第四复电压和电流。在该示例中,第四复电压和电流是通过将第三复电压和电流传送通过部分197的元件的阻抗来确定的。作为又一个示例,RF传输模型161提供了由主机系统130的处理器执行的代数传递函数以便将在一个或多个RF发生器的一个或多个输出处测得的复电压和电流沿着RF传输模式161转移到电气节点,例如,模型节点N1m、模型节点N2m等。
作为操作343的另一个示例,从第一复电压和电流确定第二复电压和电流,从第二复电压和电流确定第三复电压和电流,从第三复电压和电流确定第四复电压和电流,以及将第四复电压和电流传送通过ESC模型125来确定在模型节点N6m处的第五复电压和电流。在该示例中,第五复电压和电流是通过将第四复电压和电流传送通过ESC模型125的元件(例如,电容、电感等)的阻抗来确定的。
在操作342中,基于在一个或多个模型的输出处的复电压和电流的电压幅值、在该输出处的复电压和电流的电流幅值、以及在该输出处的复电压和电流的功率幅值,以确定在该输出处的晶片偏置。例如,晶片偏置是基于第二复电压和电流的电压幅值、第二复电压和电流的电流幅值以及第二复电压和电流的功率幅值来确定的。为了进一步说明,当在xMHz RF发生器开通,并且y MHz和z MHz RF发生器关闭时,主机系统130(图1)的处理器确定在模型节点N4m(图1)处的作为第一积、第二积、第三积和常数的总和的晶片偏置。在该说明中,第一积是第一系数和第二复电压和电流的电压幅值的积,第二积是第二系数和第二复电压和电流的电流幅值的积,并且第三积是第三系数的平方根和第二复电压和电流的功率幅值的平方根的积。
作为示例,功率幅值是所传递的功率的功率幅值,所传递的功率由主机系统130的处理器确定作为前向功率和反射功率之间的差。前向功率是由系统126(图1)的一个或多个RF发生器供应给等离子体室175(图1)的功率。反射功率是从等离子体室175反射回到系统126(图1)的一个或多个RF发生器的功率。作为示例,复电压和电流的功率幅值由主机系统130的处理器确定作为复电压和电流的电流幅值与复电压和电流的电压幅值的积。此外,用来确定晶片偏置的系数和常数中的每个是正数或负数。作为确定晶片偏置的另一示例,当xMHz RF发生器开通,而y和z MHz RF发生器关闭时,在模型节点处的晶片偏置表示为ax*Vx+bx*Ix+cx*sqrt(Px)+dx,其中“ax”是第一系数,“bx”是第二系数,“dx”是常数,“Vx”是在模型节点处的复电压和电流的电压幅值,“Ix”是在模型节点处的复电压和电流的电流幅值,并且“Px”是在模型节点处的复电压和电流的功率幅值。应当注意,“sqrt”是平方根运算,其由主机系统130的处理器执行。在一些实施方式中,功率幅值Px是电流幅值Ix和电压幅值Vx的积。
在各种实施方式中,用于确定晶片偏置的系数由主机系统130(图1)的处理器基于投射方法来确定。在投射方法中,晶片偏置的传感器,例如,晶片偏置引脚等,第一次测量在ESC177的表面(例如,上表面183(图1),等)上的晶片偏置。此外,在投射方法中,基于在RF发生器的输出处测得的复电压和电流,确定等离子体系统126内的模型节点处的电压幅值、电流幅值和功率幅值。例如,由主机系统130的处理器将第一次在节点N3(图1)处测得的复电压和电流传送到模型节点(例如,模型节点N4m、模型节点N1m、模型节点N2m、或模型节点N6m(图1)等),以确定第一次的在模型节点处的复电压和电流。电压幅值和电流幅值由主机系统130的处理器根据第一次的在模型节点处的复电压和电流求出。另外,功率幅值作为第一次的电流幅值和电压幅值的积由主机系统130的处理器计算出。
类似地,在该示例中,在节点N3处对复电压和电流测量额外的一次或多次,并将所测量的复电压和电流传送,以确定该额外的一次或多次的在该模型节点(例如,模型节点N4m、模型节点N1m、模型节点N2m、或模型节点N6m等)处的复电压和电流。另外,根据该额外的一次或多次测得的复电压和电流求出该额外的一次或多次的电压幅值、电流幅值和功率幅值。由主机系统130的处理器将数学函数(例如,偏最小二乘法、线性回归等)应用到第一次以及额外的一次或多次获得的电压幅值、电流幅值、功率幅值以及所测得的晶片偏置,以确定系数ax、bx、cx以及常数dx。
作为操作342的另一示例,当y MHz RF发生器开通而x和zMHz RF发生器关闭时,晶片偏置确定为ay*Vy+by*Iy+cy*sqrt(Py)+dy,其中“ay”是系数,“by”是系数,“dy”是常数,“Vy”是第二复电压和电流的电压幅值,“Iy”是第二复电压和电流的电流幅值,以及“Px”是第二复电压和电流的功率幅值。功率幅值Py是电流幅值Iy和电压幅值Vy的积。作为操作342的另一示例,当z MHz RF发生器开通,而x和y MHz RF发生器关闭时,晶片偏置确定为az*Vz+bz*Iz+cz*sqrt(Pz)+dz,其中“az”是系数,“bz”是系数,“dz”是常数,“Vz”是第二复电压和电流的电压幅值,“Iz”是第二复电压和电流的电流幅值,以及“Pz”是第二个复电压和电流的功率幅值。功率幅值Pz是电流幅值Iz和电压幅值Vz的积。
作为操作342的另一示例,当x和y MHz RF发生器开通,而z MHz RF发生器关闭时,晶片偏置被确定为第一积、第二积、第三积、第四积、第五积、第六积和常数的总和。第一积是第一系数和电压幅值Vx的积,第二积是第二系数和电流幅值Ix的积,第三积是第三系数和功率幅值Px的平方根的积,第四积是第四系数和电压幅值Vy的积,第五积是第五系数和电流幅值Iy的积,以及第六积是第六系数和功率幅值Py的平方根的积。当x和y MHz RF发生器开通,而zMHz RF发生器关闭时,晶片偏置表示为axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxy,其中"axy"、"bxy"、"cxy"、"dxy"、"exy"、"fxy"、"dxy"、"exy"以及"fxy"是系数,而"gxy"是常数。
作为操作342的另一个示例,当y和z MHz RF发生器开通,而x MHz RF发生器关闭时,晶片偏置被确定为ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+gyz,其中"ayz"、"byz"、"cyz"、"dyz"、"eyz"、以及"fyz"是系数,而"gyz"是常数。作为操作342的又一个示例,当x和z MHz RF发生器开通,而y MHz RF发生器关闭时,晶片偏置被确定为axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxz,其中"axz"、"bxz"、"cxz"、"dxz"、"exz"以及"fxz"是系数,而gxz是常数。
作为操作342的另一示例,当x、y和z MHz RF发生器开通时,晶片偏置确定为第一积、第二积、第三积、第四积、第五积、第六积、第七积、第八积、第九积和常数的总和。第一积是第一系数和电压幅值Vx的积,第二积是第二系数和电流幅值Ix的积,第三积是第三系数和功率幅值Px的平方根的积,第四积是第四系数和电压幅值Vy的积,第五积是第五系数和电流幅值Iy的积,第六积是第六系数和功率幅值Py的平方根的积,第七积是第七系数和电压幅值Vz的积,第八积是第八系数和电流幅值Iz的积,并且第九积是第九系数和功率幅值Pz的平方根的积。当在x、y和z MHz RF发生器开通时,晶片偏置表示为axyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyz,其中"axyz"、"bxyz"、"cxyz"、"dxyz"、"exyz"、"fxyz"、"gxyz"、"hxyz"、以及"ixyz"是系数,而"jxyz"是常数。
作为确定在一个或多个模型的输出处的晶片偏置的另一个示例,在模型节点N1m处的晶片偏置基于在模型节点N1m处确定的电压和电流幅值由主机系统130的处理器确定。为了进一步说明,第二复电压和电流沿着部分173(图1)传送,以确定在模型节点N1m处的复电压和电流。以类似于从第一复电压和电流确定第二复电压和电流的方式来从第二复电压和电流确定在模型节点N1m处的复电压和电流。例如,第二复电压和电流基于部分173的元件的特征沿着部分173传送,以确定在模型节点N1m处的复电压和电流。
基于在模型节点N1m处确定的复电压和电流,晶片偏置由主机系统130的处理器在模型节点N1m处确定。例如,以类似于从第二复电压和电流确定在模型节点N4m处的晶片偏置的方式,从在模型节点N1m处的复电压和电流确定在模型节点N1m处的晶片偏置。举例而言,当x MHz RF发生器开通而y MHz和z MHz RF发生器关闭时,主机系统130(图1)的处理器确定在模型节点N1m处的晶片偏置为第一积、第二积、第三积和常数的总和。在该示例中,第一积是第一系数和在模型节点N1m处的复电压和电流的电压幅值的积,第二积是第二系数和在模型节点N1m处的复电压和电流的电流幅值的积,而第三积是第三系数的平方根和在模型节点N1m处的复电压和电流的功率幅值的平方根的积。当x MHz RF发生器开通而y和z MHz RF发生器关闭时,在模型节点N1m处的晶片偏置表示为ax*Vx+bx*Ix+cx*sqrt(Px)+dx,其中ax是第一系数,bx是第二系数,cx是第三系数,dx为常数,Vx是在模型节点N1m处的电压幅值,Ix是在模型节点N1m处的电流幅值,Px是在模型节点N1m处的功率幅值。
类似地,基于在模型节点N1m处的复电压和电流以及在基于x、y和zMHzRF发生器中的开通的发生器,确定晶片偏置ay*Vy+by*Iy+cy*sqrt(Py)+dy、az*Vz+bz*Iz+cz*sqrt(Pz)+dz、axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxy、axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxz、ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+gyz、以及axyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyz。
作为确定在一个或多个模型的输出处的晶片偏置的又一示例,以与基于在模型节点N1m处确定的电压和电流幅值确定在模型节点N1m处的晶片偏置的方式类似的方式,基于在模型节点N2m处确定的电压和电流幅值通过主机系统130的处理器确定在模型节点N2m处的晶片偏置。为了进一步说明,在模型节点N2m处确定晶片偏置ax*Vx+bx*Ix+cx*sqrt(Px)+dx、ay*Vy+by*Iy+cy*sqrt(Py)+dy、az*Vz+bz*Iz+cz*sqrt(Pz)+dz、axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxy、axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxz、ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+gyz、以及axyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyz。
作为确定在一个或多个模型的输出处的晶片偏置的又一示例,以与基于在模型节点N2m处确定的电压和电流幅值确定在模型节点N2m处的晶片偏置的方式类似的方式,基于在模型节点N6m处确定的电压和电流幅值通过主机系统130的处理器确定在模型节点N6m处的晶片偏置。为了进一步说明,在模型节点N6m处确定晶片偏置ax*Vx+bx*Ix+cx*sqrt(Px)+dx、ay*Vy+by*Iy+cy*sqrt(Py)+dy、az*Vz+bz*Iz+cz*sqrt(Pz)+dz、axy*Vx+bxy*Ix+cxy*sqrt(Px)+dxy*Vy+exy*Iy+fxy*sqrt(Py)+gxy、axz*Vx+bxz*Ix+cxz*sqrt(Px)+dxz*Vz+exz*Iz+fxz*sqrt(Pz)+gxz、ayz*Vy+byz*Iy+cyz*sqrt(Py)+dyz*Vz+eyz*Iz+fyz*sqrt(Pz)+gyz、以及axyz*Vx+bxyz*Ix+cxyz*sqrt(Px)+dxyz*Vy+exyz*Iy+fxyz*sqrt(Py)+gxyz*Vz+hxyz*Iz+ixyz*sqrt(Pz)+jxyz。
应当注意,在一些实施方式中,晶片偏置被存储在存储HU162(图1)内。
图14是图解在主机系统130(图1)中实现的晶片偏置发生器340的实施方式的状态图。当所有的x、y和z MHz RF发生器关闭时,晶片偏置在模型节点处为零或最小,模型节点如,模型节点N4m、N1m、N2m、N6m(图1),等等。当x、y或z MHz RF发生器开通,而其余的x、y和z MHz RF发生器关闭时,晶片偏置发生器340确定在模型节点(例如,模型节点N4m、N1m、N2m、N6m、等等)处的晶片偏置为第一积a*V、第二积b*I、第三积c*sqrt(P)以及常数d的总和,其中V是在模型节点处的复电压和电流的电压幅值,I是复电压和电流的电流幅值,P是复电压和电流的功率幅值,a是系数,b是系数,c是系数,d是常数。在各种实施方式中,在模型节点处的功率幅值是在该模型节点的电流幅值和在该模型节点处的电压幅值的积。在一些实施方式中,功率幅值是所传递的功率的幅值。
当x、y和z MHz RF发生器中的两个开通,x、y和z MHz RF发生器中的其余关闭时,晶片偏置发生器340确定在模型节点(如,模型节点N4m、N1m、N2m、N6m等)处的晶片偏置为第一积a12*V1、第二积b12*I1、第三积c12*sqrt(P1)、第四积d12*V2、第五积e12*I2、第六积f12*sqrt(P2)以及常数g12的总和,其中“V1”是通过传送在开通的RF发生器中的第一RF发生器的输出处测得的电压而确定的在模型节点处的复电压和电流的电压幅值,“I1”是通过传送在开通的第一RF发生器的输出处测得的电流而确定的复电压和电流的电流幅值,“P1”是确定为V1和I1的积的复电压和电流的功率幅值,“V2”是通过传送在开通的RF发生器中的第二RF发生器的输出处测得的电压而确定的在模型节点处的复电压和电流的电压幅值,“I2”是通过传送在开通的第二RF发生器的输出处测得的电流而确定的复电压和电流的电流幅值,“P2”是确定为V2和I2的积的功率幅值,"a12"、"b12"、"c12"、"d12"、"e12"以及"f12"中的每一个是系数,而"g12"是常数。
当所有的x、y和z MHz RF发生器都开通时,晶片偏置发生器340确定在模型节点(例如,模型节点N4m、N1m、N2m、N6m等)处的晶片偏置作为第一积a123*V1、第二积b123*I1、第三积c123*sqrt(P1)、第四积d123*V2、第五积e123*I2、第六积f123*sqrt(P2)、第七积g123*V3、第八积h123*I3、第九积i123*sqrt(P3)和常数j123的总和,其中“V1”是通过传送在RF发生器中的第一RF发生器的输出处测得的电压而确定的在模型节点处的复电压和电流的电压幅值,“I1”是通过传送在第一RF发生器的输出处测得的电流而确定的复电压和电流的电流幅值,“P1”是确定为V1和I1的积的复电压和电流的功率幅值,“V2”是通过传送在RF发生器中的第二RF发生器的输出处测得的电压而确定的在模型节点处的复电压和电流的电压幅值,“I2”是通过传送在第二RF发生器的输出处测得的电流而确定的复电压和电流的电流幅值,“P2”是确定为V2和I2的积的复电压和电流的功率幅值,“V3”是通过传送在RF发生器中的第三RF发生器的输出处测得的电压而确定的在模型节点处的复电压和电流的电压幅值,“I3”是通过传送在第三RF发生器的输出处测得的电流而确定的复电压和电流的电流幅值,“P3”是确定为V3和I3的积的复电压和电流的功率幅值,"a123"、"b123"、"c123"、"d123"、"e123"、"f123"、"g123"、"h123"和"i123"中的每一个都是系数,而"j123"是常数。
图15是用于确定在沿在模型节点N4m(图16)和ESC模型125(图16)之间的路径353的点(图16)处的晶片偏置的方法351的实施方式的流程图。图15是参照图16描述的,图16是用于确定在模型的输出处的晶片偏置的系统355的实施方式的框图。
在操作357,检测x、y或z MHz RF发生器的输出,以识别出发生器输出复电压和电流。例如,电压和电流探针110(图1)测量在节点N3(图1)处的复电压和电流。在该示例中,由主机系统130(图1)通过通信装置185(图1)从电压和电流探针110接收复电压和电流,用于存储到存储HU162(图1)中。此外,在该示例中,主机系统130的处理器从存储HU162识别出复电压和电流。
在操作359,主机系统130的处理器使用发生器输出复电压和电流,以确定在沿着模型节点N4m和模型节点N6m之间的路径353的点处的投射复电压和电流。路径161从模型节点N4m延伸到模型节点N6m。例如,第五复电压和电流是根据在x MHz RF发生器、yMHz RF发生器、或z MHz RF发生器的输出处测得的复电压和电流来确定的。作为另一个示例,在节点N3或节点N5处测得的复电压和电流经由阻抗匹配模型104传送,以确定在模型节点N4m(图1)处的复电压和电流。在该示例中,在模型节点N4m处的复电压和电流经由RF传输模型161(图16)的一个或多个元件和/或经由ESC模型125(图16)的一个或多个元件的传送来确定在路径353的某点处的复电压和电流。
在操作361,主机系统130的处理器将在路径353上的点处确定的投射的复电压和电流作为函数的输入以将投射的复电压和电流映射到在ESC模型125(图15)的节点N6m处的晶片偏置值。例如,当x,y或z MHz RF发生器开通时,将在模型节点N6m处的晶片偏置确定为第一积a*V、第二积b*I、第三积c*sqrt(P)以及常数d的总和,其中,V是在模型节点N6m处的投射的复电压和电流的电压幅值,I是在模型节点N6m处的投射的复电压和电流的电流幅值,P是在模型节点N6m处的投射的复电压和电流的功率幅值,a、b和c是系数,d是常数。
作为另一示例,当x、y和z MHz RF发生器中的两个RF发生器开通,而x、y和z MHz RF发生器中的其余RF发生器关闭时,将在模型节点N6m处的晶片偏置确定为第一积a12*V1、第二积b12*I1、第三积c12*sqrt(P1)、第四积d12*V2、第五积e12*I2、第六积f12*sqrt(P2)和常数g12的总和,其中V1是作为所述两个RF发生器中的第一RF发生器开通的结果的在模型节点N6m处的电压幅值,I1是作为第一RF发生器开通的结果的在模型节点N6m处的电流幅值,P1是作为第一RF发生器开通的结果的在模型节点N6m处的功率幅值,V2是作为所述两个RF发生器中的第二RF发生器开通的结果的在模型节点N6m处的电压幅值,I2是作为第二RF发生器开通的结果的在模型节点N6m处的电流幅值,而P2是作为第二RF发生器开通的结果的在模型节点N6m处的功率幅值,a12、b12、c12、d12、e12、以及f12是系数,g12是常数。
作为又一示例,当所有的x、y和z MHz RF发生器都开通时,将在模型节点N6m处的晶片偏置确定为第一积a123*V1、第二积b123*I1、第三积c123*sqrt(P1)、第四积d123*V2、第五积e123*I2、第六积f123*sqrt(P2)、第七积g123*V3、第八积h123*I3、第九积i123*sqrt(P3)和常数j123的总和,其中V1、I1、P1、V2、I2和P2如在上文的在先示例中所述,V3是作为RF发生器中的第三RF发生器开通的结果的在模型节点N6m处的电压幅值,I3是作为第三RF发生器开通的结果的在模型节点N6m处的电流幅值,而P3是作为第三RF发生器开通的结果的在模型节点N6m处的功率幅值,a123、b123、c123、d123、e123、f123、g123、h123和i123是系数,而j123是常数。
作为另一示例,用于确定晶片偏置的函数是特征值与常数的和。特征值包括幅值,例如,幅值V、I、P、V1、I1、P1、V2、I2、P2、V3、I3、P3等。特征值还包括系数,例如,系数a、b、c、a12、b12、c12、d12、e12、f12、a123、b123、c123、d123、e123、f123、g123、h123、i123等。常数的示例包括常数d、常数g12、常数j123等。
应当注意,特征值中的系数和特征值中的常数包括经验模型数据。例如,晶片偏置是使用晶片偏置传感器在ESC177(图1)处测量多次得到的。此外,在该示例中,针对测量晶片偏置的次数,通过将复电压和电流从RF发生器(例如,x MHz RF发生器、y MHz RF发生器、z MHz RF发生器等)中的一个或多个RF发生器的节点(例如节点N3,N5等)中的一个或多个传送经由模型(例如,阻抗匹配模型104、模型部分173、RF传输模型161、ESC模型125(图1))中的一个或多个以到达路径353(图16)上的点,从而确定沿路径353(图16)的该点处的复电压和电流。此外,在该示例中,由主机系统130的处理器将统计方法(例如,偏最小二乘法、回归法等)应用到所测得的晶片偏置和应用到根据在该点处的复电压和电流求出的电压幅值、电流幅值、以及功率幅值,以确定特征值中的系数和特征值中的常数。
在各种实施方式中,用于确定晶片偏置的函数其特征在于:对代表路径353的物理属性的值的求和。路径353的物理属性是从测试数据(例如,经验模型数据等)得出的值。路径353的物理属性的示例包括路径353上的元件的电容、电感、以及它们的组合等。如上所述,路径353上的元件的电容和/或电感影响使用投射方法凭经验确定的在路径353上的点处的电压和电流,并继而影响特征值中的系数和特征值中的常数。
在一些实施方式中,用于确定晶片偏置的函数是多项式。
图17是方法363的用于确定在系统126(图1)的模型节点处的晶片偏置的实施方式的流程图。图17是参考图1和图16进行说明的。方法363由主机系统130(图1)的处理器执行。在操作365,一个或多个复电压和电流由主机系统130从发生器系统中的一个或多个通信设备接收,该发生器系统包括x MHz RF发生器、y MHz RF发生器、和/或z MHz RF发生器中的一个或多个。例如,从通信设备185(图1)接收在节点N3处测得的复电压和电流。作为另一个示例,从通信设备189(图1)接收在节点N5处测得的复电压和电流。作为又一个示例,接收在节点N3处测得的复电压和电流以及在节点N5处测得的复电压和电流。应当注意,发生器系统的输出包括节点N3、N5和zMHz RF发生器的输出节点中的一个或多个。
在操作367,基于在所述发生器系统的输出处的一个或多个复电压和电流,在沿着阻抗匹配模型104和ESC模型125(图16)之间的路径353(图16)(例如在路径353上)的点确定投射的复电压和电流。例如,在所述发生器系统的输出处的复电压和电流经由阻抗匹配模型104(图16)投射以确定在模型节点N4m处的复电压和电流。作为另一示例,在所述发生器系统的输出处的复电压和电流经由阻抗匹配模型104和RF传输模型161的部分173(图1)投射,以确定在模型节点N1m(图1)处的复电压和电流。作为又一示例,在所述发生器系统的输出处的复电压和电流经由阻抗匹配模型104和RF传输模型161投射,以确定在模型节点N2m(图1)处的复电压和电流。作为另一示例,在所述发生器系统的输出处的复电压和电流经由阻抗匹配模型104、RF传输模型161、以及ESC模型125投射,以确定在模型节点N6m(图1)处的复电压和电流。
在操作369,通过使用投射复V&I作为函数的输入计算在沿路径353的某点处的晶片偏置。例如,当在x、y或z MHz RF发生器开通,而x、y和z MHz RF发生器中的其余发生器关闭时,在某点处的晶片偏置由函数确定,该函数为第一积a*V、第二积b*I、第三积c*sqrt(P)以及常数d的总和,其中,V是在某点处的投射的复电压和电流的电压幅值,I是在某点处的投射的复电压和电流的电流幅值,P是在某点处的投射的复电压和电流的功率幅值,a、b和c是系数,d是常数。
作为另一示例,当x、y和z MHz RF发生器中的两个RF发生器开通,而x、y和z MHz RF发生器中的其余RF发生器关闭时,将在某点处的晶片偏置确定为第一积a12*V1、第二积b12*I1、第三积c12*sqrt(P1)、第四积d12*V2、第五积e12*I2、第六积f12*sqrt(P2)和常数g12的总和,其中V1是作为所述两个RF发生器中的第一RF发生器开通的结果的在某点处的电压幅值,I1是作为第一RF发生器开通的结果的在某点处的电流幅值,P1是作为第一RF发生器开通的结果的在某点处的功率幅值,V2是作为所述两个RF发生器中的第二RF发生器开通的结果的在某点处的电压幅值,I2是作为第二RF发生器开通的结果的在某点处的电流幅值,而P2是作为第二RF发生器开通的结果的在某点处的功率幅值,a12、b12、c12、d12、e12、以及f12是系数,g12是常数。
作为又一示例,当所有的x、y和z MHz RF发生器都开通时,将在某点处的晶片偏置确定为第一积a123*V1、第二积b123*I1、第三积c123*sqrt(P1)、第四积d123*V2、第五积e123*I2、第六积f123*sqrt(P2)、第七积g123*V3、第八积h123*I3、第九积i123*sqrt(P3)和常数j123的总和,其中V1、I1、P1、V2、I2和P2如在上文的在先示例中所述,V3是作为RF发生器中的第三RF发生器开通的结果的在某点处的电压幅值,I3是作为第三RF发生器开通的结果的在某点处的电流幅值,而P3是作为第三RF发生器开通的结果的在某点处的功率幅值,a123、b123、c123、d123、e123、f123、g123、h123和i123是系数,而j123是常数。
图18是用于图解不是通过使用电压探针332,例如,电压传感器等,而是通过使用方法340(图13)、方法351(图15)、或方法363(图17)来确定晶片偏置的优点的系统330的实施方式的框图。
电压探针332耦合到节点N1,以确定在节点N1处的电压。在一些实施方式中,电压探针332被耦合到另一节点,例如,节点N2、N4等,以确定在另一节点处的电压。电压探针332包括多个电路,例如RF分路器(splitter)电路、滤波电路1、滤波电路2、滤波电路3等。
此外,x和y MHz RF发生器被耦合到主机系统334,主机系统334包括噪声或信号确定模块336。但应注意,该模块可以是处理器、ASIC、PLD、由处理器执行的软件、或它们的组合。
电压探针332测量电压幅值,主机系统334使用该电压幅值,以确定晶片偏置。模块336确定由电压探测器332测得的电压幅值是信号还是噪声。当确定由电压探测器332测得的电压幅值是信号时,主机系统334确定晶片偏置。
系统126(图1)相对于系统330是具有成本效益的,并且相对于系统330节省时间和精力。系统330包括电压探针332,电压探针332并不需要被包括在系统126中。没有必要将电压探针耦合在系统126中的节点N4、N1或N2处来确定晶片偏置。在系统126中,晶片偏置是基于阻抗匹配模型104、RF传输模型161和/或ESC模型125(图1)来确定的。此外,系统330包括模块336,模块336也并不需要被包括在系统126中。没有必要花时间和精力来判定复电压和电流是信号还是噪声。不需要由主机系统130(图1)来作出这样的判定。
图19A、19B和图19C示出了图328、332和336的实施方式,以说明在通过使用电压探针测得的在部分195(图1)的输出(例如,节点N1)处的电压(例如,峰值电压等)与通过使用方法102(图2)来确定的在相应的模型节点输出(例如,节点N1m)处的电压(例如,峰值电压等)之间的相关性,例如,线性关系等。在每个图328、332和336中,所测得的电压被绘制在y轴上,而使用方法102确定的电压被绘制在x轴上。
此外,图19A、19B和图19C示出了图330、334和338的实施方式,以说明在通过使用晶片偏置探针在输出N6(图1)处测得的晶片偏置与通过使用方法340(图13)、方法351(图15)、或者方法363(图17)确定的在相应的模型节点输出(例如,节点N6m)处的晶片偏置之间的相关性,例如,线性关系等。在每个图330、334和338中,使用晶片偏置探针测得的晶片偏置被绘制在y轴上,而使用方法340、方法351、或者方法363确定的晶片偏置被绘制在x轴上。
当y和z MHz RF发生器开通而x MHz RF发生器关闭时,这些电压和晶片偏置绘制在图328和330中。此外,当x和z MHz RF发生器开通而y MHz RF发生器关闭时,这些电压和晶片偏置绘制在图332和334中。另外,当x和y MHz RF发生器开通而z MHz RF发生器关闭时,这些电压和晶片偏置绘制在图336和338中。
图20A是示出在使用传感器工具(例如计量工具、探针、传感器、晶片偏置探针等)测得的有线晶片偏置,利用方法340(图13)、方法351(图15)、或者方法363(图17)确定的模型晶片偏置以及模型偏置中的误差之间有相关性的图276和278的实施方式的图形。绘制在图276中的有线晶片偏置在某点(例如RF传输线113上的节点、ESC177的上表面183(图1)上的节点等)处测得,绘制为图276的模型偏置在路径353(图16)上的对应模型点(例如模型节点N4m、模型节点N1m、模型节点N2m、模型节点N6m等(图1))处被确定。有线晶片偏置沿着图276中的y轴绘制,模型偏置沿着图276中的x轴绘制。
当x MHz RF发生器开通而y和z MHz RF发生器关闭时,有线晶片偏置和模型偏置绘制在图276中。此外,图276的模型偏置利用方程式a2*V2+b2*I2+c2*sqrt(P2)+d2确定,其中“*”代表乘,sqrt代表平方根,“V2”代表沿着路径353(图16)的某点处的电压,I2代表某点处的电流,P2代表某点处的功率,“a2”是系数,“b2”是系数,“c2”是系数,而“d2”是常数值。
图278在y轴上绘出了误差,该误差是在某点处的在模型偏置中的误差,且在x轴上绘出了在某点处的模型偏置。模型误差是模型偏置中的误差,例如方差、标准差等。当x MHz RF发生器开通而y和z MHz RF发生器关闭时,模型误差和模型偏置绘制在图278中。
图20B是示出在有线晶片偏置,利用方法340(图13)、方法351(图15)、或者方法363(图17)确定的模型偏置以及模型偏置中的误差之间有相关性的图280和282的实施方式的图形。图280和282以与图276和278(图20A)类似的方式进行绘制,不同的是,图280和282是在y MHz RF发生器开通而x和z MHz RF发生器关闭时绘制的。此外,图280和282的模型偏置利用方程式a27*V27+b27*I27+c27*sqrt(P27)+d27确定,其中“V27”代表沿着路径353(图16)的某点处的电压幅值,“I27”代表在某点处的电流幅值,“P27”代表在某点处的功率幅值,“a27”是系数,“b27”是系数,“c27”是系数,而“d27”是常数值。
图20C是示出在有线晶片偏置,利用方法340(图13)、方法351(图15)、或者方法363(图17)确定的模型偏置以及模型偏置中的误差之间有相关性的图284和286的实施方式的图形。图284和286以类似于图276和278(图20A)的方式进行绘制,不同的是,图284和286是在z MHz RF发生器开通而x和y MHz RF发生器关闭时绘制的。此外,图284和286的模型偏置利用方程式a60*V60+b60*I60+c60*sqrt(P60)+d60确定,其中“V60”代表沿着路径353(图16)的某点处的电压幅值,“I60”代表在某点处的电流幅值,“P60”代表在某点处的功率幅值,“a60”是系数,“b60”是系数,“c60”是系数,而“d60”是常数值。
图20D是示出在有线晶片偏置,利用方法340(图13)、方法351(图15)、或者方法363(图17)确定的模型偏置以及模型偏置中的误差之间有相关性的图288和290的实施方式的图形。图288和290以类似于图276和278(图20A)的方式进行绘制,不同的是,图288和290是在x和y MHz RF发生器开通而z MHz RF发生器关闭时绘制的。此外,图288和290的模型偏置利用方程式a227*V2+b227*I2+c227*sqrt(P2)+d227*V27+e227*I27+f227*sqrt(P27)+g227确定,其中"a227"、"b227"、"c227"、"d227"、"e227"以及"f227"是系数,而"g227"是常数值。
图20E是示出在有线晶片偏置,利用方法340(图13)、方法351(图15)、或者方法363(图17)确定的模型偏置以及模型偏置中的误差之间有相关性的图292和294的实施方式的图形。图292和294以类似于图276和278(图20A)的方式进行绘制,不同的是,图292和294是在x和z MHz RF发生器开通而y MHz RF发生器关闭时绘制。此外,图292和294的模型偏置利用方程式a260*V2+b260*I2+c260*sqrt(P2)+d20*V60+e260*I60+f260*sqrt(P60)+g260确定,其中"a260"、"b260"、"c260"、"d260"、"e260"和"f260"是系数,而“g260”是常数值。
图20F是示出在有线晶片偏置,利用方法340(图13)、方法351(图15)、或者方法363(图17)确定的模型偏置以及模型偏置中的误差之间有相关性的图296和298的实施方式的图形。图296和298以类似于图276和278(图20A)的方式进行绘制,不同的是,图296和298是在y和z MHz RF发生器开通而x MHz RF发生器关闭时绘制的。此外,图296和298的模型偏置利用方程式a2760*V27+b2760*I27+c2760*sqrt(P27)+d2760*V60+e2760*I60+f2760*sqrt(P60)+g2760确定,其中"a2760"、"b2760"、"c2760"、"d2760"、"e2760"和"f2760"是系数,而“g2760”是常数值。
图20G是示出在有线晶片偏置,利用方法340(图13)、方法351(图15)、或者方法363(图17)确定的模型偏置以及模型偏置中的误差之间有相关性的图302和304的实施方式的图形。图302和304以类似于图276和278(图20A)的方式进行绘制,不同的是,图302和304是在x、y和z MHz RF发生器开通时绘制的。此外,图302和304的模型偏置利用方程式a22760*V2+b22760*I2+c22760*sqrt(P2)+d22760*V60+e22760*I60+f22760*sqrt(P60)+g22760*V27+h22760*I27+i22760*sqrt(P27)+j22760确定,其中"a22760"、"b22760"、"c22760"、"d22760"、"e22760"、"f22760""g22760"、"h22760"和"i22760"是系数,而“j22760”是常数值。
图21是主机系统130的实施方式的框图。主机系统130包括处理器168、存储HU162、输入HU380、输出HU382、输入/输出(I/O)接口384、I/O接口386、网络接口控制器(NIC)388和总线392。处理器168、存储HU162、输入HU380、输出HU382、I/O接口384、I/O接口386和NIC388通过总线392互相耦合。输入HU380的示例包括鼠标、键盘、指示笔等。输出HU382的示例包括显示器、扬声器或者它们的组合。显示器可以是液晶显示器、发光二极管显示器、阴极射线管、等离子体显示器,等等。NIC388的示例包括网络接口卡、网络适配器等。
I/O接口的示例包括提供在耦合到该接口的硬件之间的兼容性的接口。例如,I/O接口384将接收自输入HU380的信号转换成与总线392兼容的形式、振幅和/或速度。又例如,I/O接口386将接收自总线392的信号转换成与输出HU382兼容的形式、振幅和/或速度。
应当注意,在一些实施方式中,晶片偏置被用来确定将工件131(图1)夹持到ESC177(图1)的钳位电压。例如,当晶片偏置在等离子体室175(图1)不存在时,ESC177内部的两个电极具有极性相反的匹配电压,以将工件131夹持到ESC177。在该示例中,当晶片偏置存在于等离子体室175内时,提供给两个电极的电压有不同的幅值,以补偿所存在的晶片偏置。在各种实施方式中,晶片偏置用于补偿在ESC177(图1)处的偏置。
还应当注意的是,相比于使用电压,使用三个参数(例如,电流幅值、电压幅值、以及电流和电压之间的相位等)来确定用于补偿在ESC177处的偏置的晶片偏置可以更好地确定晶片偏置。例如,与RF电压和非线性等离子体状态(regime)之间的关系相比,使用三个参数计算出的晶片偏置与非线性等离子体状态(regime)具有较强的相关性。作为另一示例,使用三个参数计算的晶片偏置比使用电压探针确定的晶片偏置更精确。
还要注意的是,虽然前述操作参考平行板等离子体室(例如电容耦合等离子体室等)进行了描述,但在一些实施方式中,前述操作可应用于其它类型的等离子体室,例如包括电感耦合等离子体(ICP)反应器、变压器耦合等离子体(TCP)反应器、导体工具、介电工具的等离子体室,包括电子回旋共振(ECR)反应器的等离子体室,等等。例如,x MHz RF发生器和y MHz RF发生器耦合于ICP等离子体室内的电感器。
还应当注意,虽然上面的操作被描述为由主机系统130(图1)的处理器执行,但在一些实施方式中,操作可以由主机系统130的一个或多个处理器执行或由多个主机系统的多个处理器执行。
应当注意,虽然前述实施方式涉及提供RF信号给ESC177(图1和18)的下电极和ESC192(图11)的下电极且涉及使上电极179和264(图1和11)接地,但在一些实施方式中,RF信号被提供给上电极179和264中,同时ESC177和163的下电极接地。
此处所描述的实施方式可用各种计算机系统配置来实施,计算机系统配置包括手持式硬件单元、微处理器系统、基于微处理器的或可编程的消费电子产品、微型计算机、大型计算机,等等。所述实施方式还可在分布式计算环境中实施,在分布式计算环境中,任务由通过网络而链接的远程处理硬件单元执行。
在上述实施方式的基础上,应当理解,所述实施方式可采用涉及存储在计算机系统中的数据的各种计算机实现的操作。这些操作是需要物理量的物理操纵的操作。本文所描述的构成所述实施方式的一部分的操作中的任意一个是有用的机器操作。所述实施方式也涉及用于执行这些操作的硬件单元或装置。所述装置可以为专用计算机专门构造。当被定义为专用计算机时,该计算机也可执行不是专用部分的其它处理、程序执行或例程,同时仍然能够进行专用操作。在一些实施方式中,所述操作可由通用计算机处理,该通用计算机被存储在计算机存储器、缓存或通过网络获得的一或多个计算机程序选择性地激活或配置。当数据通过网络获得时,该数据可由该网络上的其它计算机(例如云计算资源)进行处理。
一或多种实施方式还可被制作为在非暂时性计算机可读介质上的计算机可读代码。非暂时性计算机可读介质是能够存储数据的任意数据存储硬件单元,该数据以后能够被计算机系统读取。非暂时性计算机可读介质的示例包括硬盘驱动器、网络附加存储(NAS)、ROM、RAM、光盘ROM(CD-ROM)、可录式CD(CD-R)、可擦写CD(CD-RW)、磁带及其它光学和非光学数据存储硬件单元。非暂时性计算机可读介质可包括分布在网络耦合计算机系统中的计算机可读有形介质,使得计算机可读代码以分布方式被存储和执行。
虽然上面图2、图13、图15、和图17的流程图中的方法操作以特定顺序进行描述,但应当理解其它内务操作可在操作之间执行,或者操作可被调整使得它们发生在略微不同的时间,或者可被分布在允许在与处理相关的各种时间间隔发生处理操作的系统中,只要叠加操作的处理以希望的方式被执行即可。
任何实施方式的一或多个特征可与任何其它实施方式的一或多个特征组合却不背离在本公开中所描述的各种实施方式中描述的范围。
虽然出于清楚理解的目的已在一定程度上详细描述了前述实施方式,但显而易见的是,可在所附权利要求的范围内实施某些改变和修改。因此,本发明的实施方式应被视为示例性的而非限制性的,且这些实施方式不受限于本文所给出的细节,而是可在所附权利要求的范围和等同原则内进行修改。

Claims (36)

1.一种用于确定晶片偏置的方法,该方法包括:
检测发生器的输出以识别发生器输出复电压和电流,所述发生器耦合到阻抗匹配电路,所述阻抗匹配电路通过射频(RF)传输线耦合到等离子体室的静电卡盘(ESC);
从所述发生器输出复电压和电流确定沿着所述阻抗匹配电路的模型的输出和所述ESC的模型之间的路径的点处的投射的复电压和电流,所述投射的复电压和电流的确定使用所述路径的至少部分的模型来执行,所述路径的至少部分的模型其特征在于沿着所述路径的物理部件;以及
施加所述投射的复电压和电流作为函数的输入以将所述投射的复电压和电流映射到在所述ESC模型处的晶片偏置值。
2.根据权利要求1所述的方法,其中所述函数的特征在于:对代表所述路径的物理属性的值的求和,其中,所述投射的复电压和电流被用于所述值的所述求和中。
3.根据权利要求2所述的方法,其中所述路径的所述物理属性是从测试数据获得的值。
4.根据权利要求1所述的方法,其中所述函数是特征值与常数的和,所述特征值包括幅值和系数,所述幅值从所述投射的复电压和电流得出,所述系数和所述常数含有经验模型数据。
5.根据权利要求4所述的方法,其中所述系数是所述幅值的系数。
6.根据权利要求4所述的方法,其中,所述经验模型数据包括基于对在所述ESC处的所述晶片偏置的测量值而获得的数据、基于对复电压和电流的幅值的确定而获得的数据、以及基于对在所述ESC处的所述晶片偏置的测量值与所述复电压和电流的幅值应用估计统计方法而获得的数据,对所述复电压和电流的幅值的所述确定基于所述阻抗匹配模型和所述路径的至少部分的所述模型作出。
7.根据权利要求1所述的方法,其中所述函数包括第一积、第二积、第三积和常数的和,其中所述第一积是系数和电压幅值的积,所述第二积是系数和电流幅值的积,所述第三积是系数和功率的平方根的积,所述电压幅值从所述投射的复电压和电流求出,所述电流幅值从所述投射的复电压和电流求出,所述功率幅值从所述电流幅值和所述电压幅值计算出。
8.一种用于确定晶片偏置的方法,该方法包括:
接收在一个或多个发生器的一个或多个输出处测得的一个或多个发生器输出复电压和电流,所述一个或多个发生器耦合到阻抗匹配电路,所述阻抗匹配电路通过射频(RF)传输线耦合到等离子体室的静电卡盘(ESC);
从所述一个或多个复电压和电流确定沿着所述阻抗匹配电路的模型和所述ESC的模型之间的路径的点处的投射的复电压和电流,这些模型其特征在于沿着所述路径的物理部件;以及
通过使用所述投射的复电压和电流作为函数的输入来计算在所述点处的晶片偏置。
9.根据权利要求8所述的方法,其中所述函数的特征在于:对代表所述路径的物理属性的值的求和,其中,所述投射的复电压和电流被用于所述值的所述求和中。
10.根据权利要求9所述的方法,其中所述路径的所述物理属性是从测试数据获得的值。
11.根据权利要求8的方法,其中所述函数是特征值与常数的和,所述特征值包括幅值和系数,所述幅值从所述投射的复电压和电流得出,所述系数和所述常数含有经验模型数据。
12.根据权利要求11所述的方法,其中所述系数是所述幅值的系数。
13.根据权利要求11所述的方法,其中,所述经验模型数据包括基于对在所述ESC处的晶片偏置的测量值而获得的数据、基于对复电压和电流的幅值的确定而获得的数据、以及基于对在所述ESC处的所述晶片偏置的所述测量值与所述复电压和电流的幅值应用估计统计方法而获得的数据,对所述复电压和电流的幅值的所述确定基于所述阻抗匹配模型和所述路径的至少部分的所述模型作出。
14.根据权利要求8所述的方法,其中所述函数包括第一积、第二积、第三积和常数的和,其中所述第一积是系数和电压幅值的积,所述第二积是系数和电流幅值的积,所述第三积是系数和功率的平方根的积,所述电压幅值从所述投射的复电压和电流识别出,所述电流幅值从所述投射的复电压和电流识别出,所述功率幅值从所述电流幅值和所述电压幅值确定。
15.一种用于确定晶片偏置的方法,所述方法包括:
当射频(RF)发生器通过阻抗匹配电路耦合到等离子体室时,识别出在射频(RF)发生器的输出处测得的第一复电压和电流,所述阻抗匹配电路具有耦合到所述RF发生器的所述输出的输入和耦合到RF传输线的输出;
基于在所述阻抗匹配电路中限定的电气部件生成阻抗匹配模型,所述阻抗匹配模型具有输入和输出,所述阻抗匹配模型的所述输入接收所述第一复电压和电流,所述阻抗匹配模型具有一个或多个元件;
将所述第一复电压和电流从所述阻抗匹配模型的所述输入传送通过所述一个或多个元件到达所述阻抗匹配模型的所述输出以确定第二复电压和电流,其中,所述第二复电压和电流是在所述阻抗匹配模型的所述输出处;以及
基于所述第二复电压和电流的电压幅值、所述第二复电压和电流的电流幅值和所述第二复电压和电流的功率幅值确定晶片偏置。
16.根据权利要求15所述的方法,其中确定所述晶片偏置包括:
基于所述电压幅值和所述电流幅值计算所述功率幅值;以及
计算第一积、第二积、第三积和常数的和,其中所述第一积是所述电压幅值和第一系数的积,所述第二积是所述电流幅值和第二系数的积,所述第三积是所述功率幅值的平方根和第三系数的积。
17.根据权利要求15所述的方法,其中确定所述晶片偏置基于所述RF发生器是否开通执行。
18.根据权利要求15所述的方法,其还包括:
基于在所述RF传输线中限定的电路部件生成RF传输模型,所述RF传输模型具有输入和输出,所述RF传输模型的所述输入耦合到所述阻抗匹配模型的所述输出,所述RF传输模型具有部分,其中所述晶片偏置在所述RF传输模型的部分的所述输出处确定。
19.根据权利要求15所述的方法,其还包括:
基于在所述RF传输线中限定的电路部件生成RF传输模型,所述RF传输模型具有输入和输出,所述RF传输模型的所述输入耦合到所述阻抗匹配模型的所述输出,其中所述晶片偏置在所述RF传输模型的所述输出处确定。
20.根据权利要求19所述的方法,其中所述RF传输线的电气部件包括电容器、电感器、或它们的组合,所述RF传输模型包括一个或多个元件,其中,所述RF传输模型的所述元件具有与所述RF传输线的所述电气部件的特征相似的特征。
21.根据权利要求15所述的方法,其中利用电压和电流探针在所述RF发生器的所述输出处测量所接收的所述第一复电压和电流,所述电压和电流探针根据预设公式进行校准。
22.根据权利要求21所述的方法,其中所述预设公式是标准的。
23.根据权利要求22所述的方法,其中,其中所述标准是美国国家标准技术研究所(NIST)标准,其中所述电压和电流探针与开路、短路或者负载耦合以校准所述电压和电流探针从而符合NIST标准。
24.根据权利要求15所述的方法,其中所述第二复电压和电流包括电压值、电流值和所述电压值和所述电流值之间的相位。
25.根据权利要求15所述的方法,其中所述阻抗匹配模型的所述元件包括电容器、电感器或者电容器和电感器的组合,其中所述阻抗匹配电路的电气部件包括电容器、电感器或者电容器和电感器的组合,其中所述阻抗匹配模型的所述元件具有与所述阻抗匹配电路的所述电气部件的特征相似的特征。
26.根据权利要求15所述的方法,其中所述晶片偏置是用在系统中,其中所述系统包括RF传输线,但不包括在所述RF传输线上的电压探针。
27.根据权利要求15所述的方法,其还包括:
基于在所述RF传输线中限定的电气部件生成RF传输模型,所述RF传输模型具有输入和输出,所述RF传输模型的所述输入耦合到所述阻抗匹配模型的所述输出;以及
基于所述等离子体室的静电卡盘的特征确定静电卡盘(ESC)模型,所述ESC模型具有输入,所述ESC模型的所述输入耦合到所述RF传输模型的所述输出,其中,所述晶片偏置在所述ESC模型的所述输出处确定。
28.根据权利要求15所述的方法,其中将所述第一复电压和电流从所述阻抗匹配模型的所述输入传送通过所述一个或多个元件到达所述阻抗匹配模型的所述输出以确定第二复电压和电流包括:
基于所述第一复电压和电流以及耦合在所述阻抗匹配模型的所述输入和中间节点之间的所述阻抗匹配模型的一个或多个元件的特征确定所述阻抗匹配模型中的所述中间节点内的中间复电压和电流;以及
基于所述中间复电压和电流以及耦合在所述中间节点和所述阻抗匹配模型的所述输出之间的所述阻抗匹配模型的一个或多个元件的特征确定所述第二复电压和电流。
29.根据权利要求15所述的方法,其中所述RF传输模型包括RF隧道模型和RF带模型,所述RF隧道模型与所述RF带模型耦合。
30.一种用于确定晶片偏置的等离子体系统,其包括:
用于产生一个或多个RF信号的一个或多个射频(RF)发生器,所述一个或多个RF发生器与一个或多个电压和电流探针相关联,其中所述一个或多个电压和电流探针被配置来测量在所述一个或多个RF发生器的相应的一个或多个输出位置的一个或多个复电压和电流;
耦合到所述一个或多个RF发生器的阻抗匹配电路;
经由RF传输线耦合到所述阻抗匹配电路的等离子体室,所述等离子体室包括静电卡盘(ESC),所述ESC耦合到所述RF传输线;以及
耦合到所述一个或多个RF发生器的处理器,所述处理器配置成:
接收所述一个或多个复电压和电流;
从所述一个或多个复电压和电流确定沿着所述阻抗匹配电路的模型和所述ESC的模型之间的路径的点处的投射的复电压和电流,这些模型其特征在于沿着所述路径的物理部件;以及
通过使用所述投射的复电压和电流作为函数的输入来计算在所述点处的晶片偏置。
31.根据权利要求30所述的等离子体系统,其中所述函数其特征在于:对代表所述路径的物理属性的值的求和,其中,所述投射的复电压和电流被用于所述值的所述求和中。
32.根据权利要求31所述的等离子体系统,其中所述路径的所述物理属性是从测试数据获得的值。
33.根据权利要求30所述的等离子体系统,其中所述函数是特征值与常数的和,所述特征值包括幅值和系数,所述幅值从所述投射的复电压和电流得出,所述系数和所述常数含有经验模型数据。
34.根据权利要求33所述的等离子体系统,其中所述系数是所述幅值的系数。
35.根据权利要求33所述的等离子体系统,其中,所述经验模型数据包括基于对在所述ESC处的晶片偏置的测量值而获得的数据、基于对复电压和电流的幅值的确定而获得的数据、以及基于对在所述ESC处的所述晶片偏置的所述测量值与所述复电压和电流的幅值应用估计统计方法而获得的数据,对所述复电压和电流的幅值的所述确定基于所述阻抗匹配模型和所述路径的至少部分的所述模型作出。
36.根据权利要求30所述的等离子体系统,其中所述函数包括第一积、第二积、第三积和常数的和,其中所述第一积是系数和电压幅值的积,所述第二积是系数和电流幅值的积,所述第三积是系数和功率的平方根的积,所述电压幅值从所述投射的复电压和电流求出,所述电流幅值从所述投射的复电压和电流求出,所述功率幅值从所述电流幅值和所述电压幅值计算出。
CN201410042674.8A 2013-01-31 2014-01-29 使用模型确定与等离子体系统关联的晶片偏置 Active CN103984790B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710706833.3A CN107578974B (zh) 2013-01-31 2014-01-29 使用模型确定与等离子体系统关联的晶片偏置

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/756,390 US9502216B2 (en) 2013-01-31 2013-01-31 Using modeling to determine wafer bias associated with a plasma system
US13/756,390 2013-01-31

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201710706833.3A Division CN107578974B (zh) 2013-01-31 2014-01-29 使用模型确定与等离子体系统关联的晶片偏置

Publications (2)

Publication Number Publication Date
CN103984790A true CN103984790A (zh) 2014-08-13
CN103984790B CN103984790B (zh) 2017-09-15

Family

ID=51223843

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410042674.8A Active CN103984790B (zh) 2013-01-31 2014-01-29 使用模型确定与等离子体系统关联的晶片偏置
CN201710706833.3A Active CN107578974B (zh) 2013-01-31 2014-01-29 使用模型确定与等离子体系统关联的晶片偏置

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201710706833.3A Active CN107578974B (zh) 2013-01-31 2014-01-29 使用模型确定与等离子体系统关联的晶片偏置

Country Status (6)

Country Link
US (2) US9502216B2 (zh)
JP (2) JP6293497B2 (zh)
KR (1) KR102171560B1 (zh)
CN (2) CN103984790B (zh)
SG (1) SG2014005557A (zh)
TW (1) TWI598582B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106057624A (zh) * 2015-04-17 2016-10-26 朗姆研究公司 具有用于对称传导和rf传输的垂直支撑杆的室
CN111508810A (zh) * 2016-07-25 2020-08-07 朗姆研究公司 在多站中的晶片弯曲度的控制

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9337000B2 (en) 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
JP6173851B2 (ja) * 2013-09-20 2017-08-02 株式会社日立ハイテクノロジーズ 分析方法およびプラズマエッチング装置
US9652567B2 (en) * 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US10102321B2 (en) * 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
US10734195B2 (en) * 2017-06-08 2020-08-04 Lam Research Corporation Systems and methods for transformer coupled plasma pulsing with transformer coupled capacitive tuning switching
US10020168B1 (en) * 2017-07-20 2018-07-10 Lam Research Corporation Systems and methods for increasing efficiency of delivered power of a megahertz radio frequency generator in the presence of a kilohertz radio frequency generator
KR101918253B1 (ko) * 2018-01-26 2018-11-13 최운선 플라즈마 전원장치의 자가진단모듈 및 자가진단방법
TWI700598B (zh) * 2019-04-22 2020-08-01 崛智科技有限公司 晶圓特性預測方法與電子裝置
WO2020226963A1 (en) * 2019-05-07 2020-11-12 Lam Research Corporation Voltage and current probe
KR20230104070A (ko) * 2020-11-13 2023-07-07 램 리써치 코포레이션 임피던스 매칭 시스템의 무선 주파수 신호 생성기 기반 제어 시스템들 및 방법들
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム
JP2023097863A (ja) 2021-12-28 2023-07-10 株式会社ダイヘン 高周波電源システム

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040226657A1 (en) * 2003-05-16 2004-11-18 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
CN1187786C (zh) * 1998-02-27 2005-02-02 恩尼技术公司 获取施加到射频负载上的射频功率波的电压和电流值的方法和装置
CN101211752A (zh) * 2006-12-30 2008-07-02 北京北方微电子基地设备工艺研究中心有限责任公司 控制晶片直流自偏压及补偿直流电极与晶片间的静电引力的方法和装置

Family Cites Families (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JP3176128B2 (ja) * 1992-06-25 2001-06-11 株式会社ダイヘン インピーダンス整合器の出力電圧測定装置
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US5812361A (en) * 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) * 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
US6351683B1 (en) 1997-09-17 2002-02-26 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
AU4057999A (en) 1998-06-02 1999-12-20 Nikon Corporation Scanning aligner, method of manufacture thereof, and method of manufacturing device
JP2000049216A (ja) * 1998-07-28 2000-02-18 Mitsubishi Electric Corp プラズマ処理装置および当該装置で用いられる静電チャック吸着方法
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
AU2001283379B2 (en) * 2000-08-16 2007-01-18 Huntsman Petrochemical Corporation Alkali silicate-polyisocyanate composites
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
WO2002075332A1 (en) * 2001-03-16 2002-09-26 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
CN1305353C (zh) 2001-12-10 2007-03-14 东京毅力科创株式会社 高频电源及其控制方法、和等离子体处理装置
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
AU2003224727A1 (en) 2002-03-28 2003-10-13 Tokyo Electron Limited A system and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
JP2005531927A (ja) 2002-06-28 2005-10-20 東京エレクトロン株式会社 材料処理ツールおよびセンサデータを使用して処理性能を予測するための方法およびシステム
US7196324B2 (en) * 2002-07-16 2007-03-27 Leco Corporation Tandem time of flight mass spectrometer and method of use
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
TW201041455A (en) 2002-12-16 2010-11-16 Japan Science & Tech Agency Plasma generation device, plasma control method, and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7901952B2 (en) 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7015414B2 (en) 2003-09-30 2006-03-21 Tokyo Electron Limited Method and apparatus for determining plasma impedance
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
US7696748B2 (en) * 2003-10-10 2010-04-13 Jentek Sensors, Inc. Absolute property measurements using electromagnetic sensors
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7244344B2 (en) 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
JP5150053B2 (ja) * 2006-02-03 2013-02-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US7776748B2 (en) * 2006-09-29 2010-08-17 Tokyo Electron Limited Selective-redeposition structures for calibrating a plasma process
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US7649363B2 (en) 2007-06-28 2010-01-19 Lam Research Corporation Method and apparatus for a voltage/current probe test arrangements
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
WO2009060213A1 (en) 2007-11-06 2009-05-14 Microoncology Limited Microwave plasms sterilisation system and applicators therefor
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
KR20150017389A (ko) * 2008-05-14 2015-02-16 어플라이드 머티어리얼스, 인코포레이티드 Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) * 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US9378930B2 (en) 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) * 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
JP2013511814A (ja) 2009-11-19 2013-04-04 ラム リサーチ コーポレーション プラズマ処理システムを制御するための方法および装置
US8501631B2 (en) * 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
JP2012138581A (ja) * 2012-01-10 2012-07-19 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US8932429B2 (en) * 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
JP6257638B2 (ja) 2012-10-30 2018-01-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
US9620334B2 (en) * 2012-12-17 2017-04-11 Lam Research Corporation Control of etch rate using modeling, feedback and impedance match
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1187786C (zh) * 1998-02-27 2005-02-02 恩尼技术公司 获取施加到射频负载上的射频功率波的电压和电流值的方法和装置
US20040226657A1 (en) * 2003-05-16 2004-11-18 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
CN101211752A (zh) * 2006-12-30 2008-07-02 北京北方微电子基地设备工艺研究中心有限责任公司 控制晶片直流自偏压及补偿直流电极与晶片间的静电引力的方法和装置

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106057624A (zh) * 2015-04-17 2016-10-26 朗姆研究公司 具有用于对称传导和rf传输的垂直支撑杆的室
CN106057624B (zh) * 2015-04-17 2018-11-13 朗姆研究公司 具有用于对称传导和rf传输的垂直支撑杆的室
CN109411323A (zh) * 2015-04-17 2019-03-01 朗姆研究公司 一种等离子体室和等离子体系统
TWI687963B (zh) * 2015-04-17 2020-03-11 美商蘭姆研究公司 具有對稱傳導與射頻傳輸用直立式支撐柱之腔室
TWI777130B (zh) * 2015-04-17 2022-09-11 美商蘭姆研究公司 提供對稱射頻功率傳輸的系統、電漿腔室、射頻桿、及射頻功率的傳輸方法
CN111508810A (zh) * 2016-07-25 2020-08-07 朗姆研究公司 在多站中的晶片弯曲度的控制
CN111508810B (zh) * 2016-07-25 2023-08-08 朗姆研究公司 在多站中的晶片弯曲度的控制

Also Published As

Publication number Publication date
US20170032945A1 (en) 2017-02-02
TWI598582B (zh) 2017-09-11
JP2014195044A (ja) 2014-10-09
JP2018113450A (ja) 2018-07-19
US9502216B2 (en) 2016-11-22
TW201441608A (zh) 2014-11-01
KR102171560B1 (ko) 2020-10-30
KR20140098715A (ko) 2014-08-08
JP6483880B2 (ja) 2019-03-13
US10340127B2 (en) 2019-07-02
CN107578974A (zh) 2018-01-12
CN103984790B (zh) 2017-09-15
JP6293497B2 (ja) 2018-03-14
SG2014005557A (en) 2014-08-28
CN107578974B (zh) 2019-09-20
US20140214350A1 (en) 2014-07-31

Similar Documents

Publication Publication Date Title
CN103984790A (zh) 使用模型确定与等离子体系统关联的晶片偏置
CN104051215A (zh) 确定等离子体系统中的故障装置
CN103871810B (zh) 确定rf传输线上的变量的值的方法和系统
US10707056B2 (en) Using modeling to determine ion energy associated with a plasma system
KR102438859B1 (ko) Rf 송신 시스템 모델들을 개량하기 위한 시스템, 방법 및 장치
CN104051214A (zh) 使用模型确定与等离子体系统关联的离子能量
CN105006419B (zh) 使用模型确定等离子体系统的rf 传输系统中故障的位置
US9508529B2 (en) System, method and apparatus for RF power compensation in a plasma processing system
KR20160046748A (ko) Rf 송신 경로의 선택된 부분들에 대한 rf 송신 모델들의 정확도를 개선하기 위한 시스템, 방법 및 장치
JP2014195044A5 (zh)

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant