KR102102487B1 - 플라즈마 에칭 챔버에서의 rf 전력 보상을 위한 장치, 방법 및 시스템 - Google Patents

플라즈마 에칭 챔버에서의 rf 전력 보상을 위한 장치, 방법 및 시스템 Download PDF

Info

Publication number
KR102102487B1
KR102102487B1 KR1020140062379A KR20140062379A KR102102487B1 KR 102102487 B1 KR102102487 B1 KR 102102487B1 KR 1020140062379 A KR1020140062379 A KR 1020140062379A KR 20140062379 A KR20140062379 A KR 20140062379A KR 102102487 B1 KR102102487 B1 KR 102102487B1
Authority
KR
South Korea
Prior art keywords
plasma
chamber
recipe
target
power set
Prior art date
Application number
KR1020140062379A
Other languages
English (en)
Other versions
KR20140138077A (ko
Inventor
로버트 지. 오닐
아서 사토
에릭 토니스
시타라만 라마찬드란
상-이 추
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140138077A publication Critical patent/KR20140138077A/ko
Application granted granted Critical
Publication of KR102102487B1 publication Critical patent/KR102102487B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)

Abstract

표적 플라즈마 챔버로 전력을 인가하는 방법 및 시스템은 표적 플라즈마 챔버의 무 플라즈마 퍼포먼스 기울기를 특성화하는 단계, 표적 플라즈마 챔버에서 제1 웨이퍼에 선택된 플라즈마 레시피를 적용하는 단계를 포함하고, 선택된 플라즈마 레시피는 선택된 전력 세트 포인트 값을 포함하고, RF 전극 상의 레시피 요인 값을 모니터링하는 단계를 포함한다. 프로세스 효율 비는 레퍼런스 챔버와 표적 플라즈마 챔버를 비교하여 생성되고, 생성하는 단계는 표적 플라즈마 챔버와 레퍼런스 챔버의 무 플라즈마 퍼포먼스 기울기들과 모니터링된 레시피 요인 값을 입력들로 사용한다. 조정된 전력 세트 포인트 값은 계산되고, 조정된 전력 세트 포인트는 레퍼런스 챔버에서 형성된 레퍼런스 플라즈마로 전달된 전력을 매칭하도록 표적 플라즈마 챔버에서 형성된 플라즈마로 전달된 전력을 야기시키도록 형성된다.

Description

플라즈마 에칭 챔버에서의 RF 전력 보상을 위한 장치, 방법 및 시스템{SYSTEM, METHOD AND APPARATUS FOR RF POWER COMPENSATION IN PLASMA ETCH CHAMBER}
본 발명은 일반적으로 플라즈마 프로세스 챔버들에 관한 것으로, 보다 구체적으로는, 플라즈마에 바람직한 전력 입력을 인가하기 위한 시스템들과 방법들에 관한 것이다.
플라즈마 반응기 챔버로의 RF 전력 전달에서의 가변성은 눈금 위치의 다운스트림 (downstream) 위치들에서 RF 전력을 흡수하는 컴포넌트 (component) 들을 통한 RF 전류 흐름에 의해 야기될 수 있다. 반도체 웨이퍼들의 플라즈마 프로세싱에서, 플라즈마로 전달되고 프로세스 시프트 (shift) 들에 관련된 RF 전력에서의 가변성은 RF 전류 운송 컴포넌트들의 흡수 속성들에서 제1 플라즈마 챔버로부터 제2 플라즈마 챔버로의 프로세스 가변성을 야기한다. 또한, 반도체 웨이퍼 대 웨이퍼 가변성은 시간에 따라 변하는 흡수 속성들에 기인하거나 온도 변동들에 기인할 수도 있다.
통상적으로, 선로 손실 측정들은 RF 생성기 전력을 눈금 측정하고 RF 전력 생성기와 "안테나" (예를 들어, RF 코일) 사이의 케이블 (cable) 등에서의 RF 손실들을 설명하는데 사용된다. 그러나, 통상적인 눈금 측정 방법들은 임피던스 매칭 (impedance matching) 네트워크에서 입력부에서의 전력 전달을 측정할 수만 있고, 매치 네트워크 내에서, 전극 또는 코일 어셈블리들 내에서, 또는 쉴드 (shield) 나 미러 (mirror) 전류를 운송하는 다른 컴포넌트들 내에서의 위치들에서 가변적인 전력 손실을 보상할 수 없다. 결과적으로, 통상적인 눈금 측정 방법들은 플라즈마 내로 정확한 전력 전달을 보장할 수 없다.
문제는, RF 전류가 상대적으로 높은 저항 (즉, 구리보다 높은) 을 갖거나, 진공 투과율 (permeability) 보다 높은 자기 투과율을 갖는 컴포넌트들 근처 또는 컴포넌트들 상에 흐르는 경우, 특히 극심할 수 있다는 것이다. 가변적인 흡수와 관련된 것으로 알려진 물질들은, 니켈 도금에 제한되지 않으며, 높은 자기 투과율 합금들과 온도나 시간 종속적 전기적 저항을 갖는 물질들을 포함한다. 니켈 도금은 군사 규격 (mil-spec) 은 도금 프로세스들에 대한 은 도금과 함께 RF 컴포넌트들 상에서 일반적으로 사용된다.
제1 플라즈마 프로세싱 챔버와 제2 플라즈마 프로세싱 챔버 각각에서 플라즈마에 실질적으로 인가된 전력이 알려지지 않기 때문에, 제1 플라즈마 프로세싱 챔버와 제2 플라즈마 프로세싱 챔버는 동일하지 않을 것이다. 제1 플라즈마 프로세싱 챔버 내의 플라즈마가 제2 플라즈마 프로세싱 챔버 내의 플라즈마에 훨씬 더 동일할 수 있도록, 플라즈마에 실질적으로 인가된 전력을 결정하기 위한 장치, 방법 및 시스템이 요구된다.
폭넓게 설명하면, 본 발명은 주어진 플라즈마 챔버에서 플라즈마에 실질적으로 인가된 전력을 결정하기 위한 장치, 방법 및 시스템을 제공함으로써 이러한 필요들을 충족시킨다. 본 발명은 프로세스, 장치, 시스템, 컴퓨터 판독 가능 매체, 또는 디바이스를 포함하는, 수많은 방식들로 구현될 수 있다는 것이 이해되어야 한다. 본 발명의 몇몇 발명 실시예들이 후술된다.
본 명세서에서 설명된 방법들과 시스템들은, 표적 플라즈마 챔버의 무 (no) 플라즈마 조건 특성화 기울기와 실질적 또는 가설적 레퍼런스 플라즈마 챔버의 무 플라즈마 조건 특성화 기울기와 조정된 전력 세트 포인트 (set point) 를 계산하도록 표적 플라즈마 챔버의 최초 플라즈마 프로세싱 동안 획득된 전압 또는 전류 측정을 사용한다. 조정된 전력 세트 포인트는 레퍼런스 챔버에서 형성된 레퍼런스 플라즈마에 전달되는 전력을 매칭하도록 표적 플라즈마 챔버에서 형성된 플라즈마에 전달된 전력을 야기하도록 형성된다.
일 실시예는 표적 플라즈마 챔버에 전력을 인가하는 방법을 포함한다. 방법은 표적 플라즈마 챔버의 무 플라즈마 퍼포먼스 기울기를 특성화하는 단계, 표적 플라즈마 챔버는 RF 전극과 매칭 네트워크를 포함하고, 표적 플라즈마 챔버에서 제1 웨이퍼에 선택된 플라즈마 레시피를 적용하는 단계, 선택된 플라즈마 레시피는 선택된 전력 세트 포인트 값을 포함하고, 여기서 선택된 플라즈마 레시피를 적용하는 단계는 선택된 플라즈마 레시피의 적용 동안 RF 전극 상에서 레시피 요인 값을 모니터링하는 단계를 포함한다. 또한, 방법은 레퍼런스 챔버의 무 플라즈마 퍼포먼스 기울기를 수신하는 단계, 레퍼런스 챔버와 표적 플라즈마 챔버를 비교하여 프로세스 효율 비를 생성하는 단계를 포함하고, 생성하는 단계는 표적 플라즈마 챔버의 무 플라즈마 퍼포먼스 기울기, 레퍼런스 챔버의 무 플라즈마 퍼포먼스 기울기 및 모니터링된 레시피 요인 값을 입력들로 이용한다. 보상 요인은 선택된 전력 세트 포인트 값으로 생성된 비를 승산함으로써 계산되고 보상 요인은 조정된 전력 세트 포인트 값을 산출하도록 선택된 전력 세트 포인트 값에 적용되고, 조정된 전력 세트 포인트는 레퍼런스 챔버에서 형성된 레퍼런스 플라즈마로 전달될 전력을 매칭하도록 표적 플라즈마 챔버에서 형성된 플라즈마로 전달된 전력을 야기시키도록 형성된다. 모니터링된 레시피 요인 값은 전극 전압 또는 전극 전류일 수 있다. 방법은 소프트웨어, 하드웨어 및 소프트웨어와 하드웨어의 조합 중 하나로 실행될 수 있고, 실행은 표적 플라즈마 챔버와 인터페이싱 (interface) 된 제어기를 사용한다.
다른 실시예는, 윈도우를 갖는 플라즈마 챔버, 윈도우 위에 배치된 RF 전극, RF 소스, RF 코일에 커플링된 RF 출력부와 RF 소스에 커플링된 RF 입력부를 갖는 매칭 회로, RF 코일에 커플링된 입력부를 갖는 전압/전류 센서, 플라즈마 챔버 상에서 프로세스 가스 유입부에 커플링된 프로세스된 가스 소스 및 전압/전류 센서의 출력부, RF 소스, 매칭 회로 및 플라즈마 챔버에 커플링된 제어기를 포함하는 플라즈마 프로세싱 시스템을 제공한다. 제어기는, 플라즈마 챔버의 무 플라즈마 퍼포먼스 기울기를 특성화하기 위한 컴퓨터 판독 가능 매체 상의 로직 (logic), 챔버에서 제1 웨이퍼에 선택된 플라즈마 레시피를 적용하기 위한 컴퓨터 판독 가능 매체 상의 로직, 레퍼런스 플라즈마 챔버의 무 플라즈마 퍼포먼스 기울기를 수신하기 위한 컴퓨터 판독 가능 매체 상의 로직, 레퍼런스 플라즈마 챔버와 플라즈마 챔버를 비교하여 프로세스 효율 비를 생성하기 위한 컴퓨터 판독 가능 매체 상의 로직, 선택된 전력 세트 포인트 값으로 생성된 비를 승산함으로써 보상 요인을 계산하기 위한 컴퓨터 판독 가능 매체 상의 로직, 및 조정된 전력 세트 포인트 값을 산출하도록 선택된 전력 세트 포인트 값에 보상 요인을 적용하기 위한 컴퓨터 판독 가능 매체 상의 로직을 포함하고, 조정된 전력 세트 포인트는 레퍼런스 플라즈마 챔버에서 형성된 레퍼런스 플라즈마로 전달될 전력을 매칭하도록 플라즈마 챔버에서 형성된 플라즈마로 전달된 전력을 야기시키도록 형성된다.
발명의 다른 양태들과 장점들은 후술하는 상세한 설명으로부터 명백해질 것이고, 첨부된 도면들과 함께 얻어질 것이고, 발명의 원리들의 예시에 의해 도시될 것이다.
본 발명은 첨부되는 도면들과 함께 후술하는 상세한 설명에 의해 용이하게 이해될 것이다.
도 1은 통상적인 플라즈마 프로세싱 챔버 시스템을 도시한다.
도 2a는 본 발명의 실시예들에 따른, 플라즈마 프로세싱 시스템의 상세도를 도시한다.
도 2b는 본 발명의 실시예들에 따른, 플라즈마 챔버의 총 임피던스 ZR을 도시한다.
도 3a는 본 발명의 실시예들에 따른, 레퍼런스 또는 "골든 (golden)" 플라즈마 프로세싱 시스템의 합성 임피던스 ZXG를 도시한다.
도 3b는 본 발명의 실시예들에 따른, 골든 플라즈마 챔버의 무 플라즈마 기울기 SNPG 특성을 결정하기 위한 동작들, 방법의 순서도이다.
도 3c는 본 발명의 실시예들에 따른, 골든 챔버에서의 대응하는 현재 전력 레벨에 관하여 검출된 전류 값의 그래프이다.
도 4a는 본 발명의 실시예들에 따른, 표적 플라즈마 프로세싱 시스템의 합성 임피던스 ZXT를 도시한다.
도 4b는 본 발명의 실시예들에 따른, 표적 플라즈마 챔버의 무 플라즈마 기울기 SNPT 특성을 결정하기 위한 동작들, 방법의 순서도이다.
도 4c는 본 발명의 실시예들에 따른, 표적 챔버에서의 대응하는 현재 전력 레벨에 관하여 검출된 전류 값의 그래프이다.
도 5는 본 발명의 실시예들에 따른, 표적 플라즈마 챔버의 플라즈마 기울기 SPT를 조정하기 위한 동작들, 방법의 순서도이다.
도 6은 본 발명의 실시예들에 따른, 프로세싱을 수행하기 위한 예시적인 컴퓨터 시스템의 블록 다이어그램이다.
주어진 플라즈마 챔버에서 플라즈마에 실질적으로 인가된 전력을 결정하기 위한 장치, 방법 및 시스템을 위한 몇몇 예시적인 실시예들이 설명될 것이다. 본 발명은 본 명세서에서 설명된 구체적인 세부사항들의 몇몇 또는 전체 없이 실행될 수도 있다는 것은 통상의 기술자들에게 명백하다.
일 실시예는 RF 전달 시스템의 RF 흡수 속성들을 측정하고 플라즈마로 바람직한 양의 전력을 전달하도록 전력 세트 포인트를 능동적으로 보상한다. 손실은 "무-플라즈마" (예를 들어, 플라즈마가 꺼진) 상태에서 RF 전달 시스템에 RF 전력을 인가함으로써 측정된다. 플라즈마는 약 5 토르 (Torr) 내지 약 15 토르 사이의 압력까지 플라즈마 챔버를 가압함으로써 꺼진다. 더 높은 압력은 플라즈마가 점화되는 것을 방지한다. 일 예시로, 플라즈마 챔버는 약 9 토르까지 가압된다.
매칭 네트워크로 전달된 전력은 모니터링되고 RF 코일 상 위치에서 측정된 전류 및/또는 전압에 비교된다. 전력은 전류, I (또는 전압, V) 의 제곱에 비례한다. 전력은 I2/P (또는 V2/P) 의 비율을 확립하도록 값들의 범위에 걸쳐 펼쳐진다. 이 비율은 I2 대 P 그래프를 그리고, 선에 데이터를 맞추고, 선의 특성 기울기를 결정함으로써 결정된 무 플라즈마 특성 기울기로 기록된다. 무 플라즈마 특성 기울기 값은 단위 전류당 또는 단위 전압당, 매칭 네트워크의 입력부에서 눈금의 RF 전달 시스템 다운스트림에서 손실된 전력의 양의 측정을 제공한다.
플라즈마 동작 동안, 코일에 인가된 전압 및/또는 전류는 모니터링되고, 무 플라즈마 특성 기울기 값은 RF 전달 시스템에서 손실된 전력의 양을 결정하는데 사용된다. 이는 매칭 네트워크 입력부의 RF 전력 흡수 다운스트림의 실시간 (real-time) 측정과, 매칭 네트워크 입력부에서의 정확한 눈금 측정과 결합하여 플라즈마 내로 전달되는 유효 전력의 측정을 제공한다.
챔버 각각의 전력 손실 속성들이 알려지도록, 챔버들의 모집단 각각에 대한 특성 기울기 값이 측정될 수도 있다. 동일한 프로세스 조건 하의 플라즈마 임피던스는 일 플라즈마 챔버로부터 다른 플라즈마 챔버로의 플라즈마 임피던스이다. 단일 플라즈마 챔버는, 실질적으로 실시간으로, (1) 챔버에 대해 플라즈마 내로 전달되는 유효 전력과 (2) 대응하는 무 플라즈마 특성 기울기 값이 알려진 임의의 다른 챔버에 대해 플라즈마 내로 전달된 유효 전력을 계산할 수 있다.
이 정보는 몇몇 방식들로 사용될 수 있다. 첫째, 플라즈마에 대한 유효 전력 전달을 위한 실시간 계산은, 모든 챔버들이 그들 개별 플라즈마들로 동일한 전력을 전달하도록, 플라즈마 내로 전달된 유효 전력에 대한 바람직한 세트 포인트를 유지하도록 피드백 제어와 함께 사용될 수도 있다. 결과적으로, 매칭 네트워크로의 입력부와 플라즈마 사이의 임의의 전력 손실들은 효율적으로 눈금 측정되거나 보상된다.
둘째, 플라즈마 프로세싱 챔버들의 모집단은, 모든 플라즈마 챔버들이 선택된 골든 플라즈마 챔버로서 그들 개별 플라즈마들에 동일한 전력을 전달하도록, 단일 레퍼런스 챔버, 즉, 선택된 "골든 플라즈마 챔버"의 전력 전달 효율을 표적화하도록 모두 프로그램될 수 있다.
셋째, 챔버들의 모집단은, 모든 챔버들이 그들 개별 플라즈마들에 동일한 전력을 전달하도록, 골든 도구로서 선택되는 실질적 챔버 없이, 임의의 레퍼런스 표준, 즉, 이상화된 플라즈마 챔버의 전력 전달 효율을 표적화하도록 모두 프로그램될 수도 있다.
상술한 바와 같이, 통상적인 RF 눈금 측정 시스템들과 방법들은, 눈금 측정 표준/미터가 커플링되는 매칭 네트워크로의 입력 이전에 발생하는 RF 손실들을 눈금 측정할 수만 있다. 크기, 형상 및 연결부 호환 가능성으로 인해, 눈금 측정 미터들은 RF 케이블이 RF 매칭 네트워크의 입력부에 연결되는 위치에 설치된다. 그 결과, 임의의 RF 손실은 매칭 네트워크, 전극 코일, 피드 로드 (feed-rod), 챔버 뚜껑 어셈블리, 쉴드 (shielding) 및 통상적으로 눈금 측정되지 않고 유닛-대-유닛 가변성 경향이 있는 임의의 관련된 회귀 행로들 내에서 발생한다. 이 제한으로 인해, 현존하는 RF 눈금 측정 시스템들과 방법들은 눈금 측정되지 않은 상당한 양의 가변성을 남겨둔다.
본 명세서에 개시된 방법은 RF 입력부로부터 매칭 네트워크로의 다운스트림을 발생시키는 RF 전력 손실의 정확한 눈금 측정을 가능하게 한다. 그 결과, 플라즈마로의 정확한 전력 전달이 보장될 수 있다. RF 임피던스 매칭 네트워크들, 코일들, 전극 어셈블리들, 및 쉴드와 같은 컴포넌트들에서의 전력 손실 가변성은 보상되고 실질적으로 제거될 수 있다.
도 1은 통상적인 플라즈마 프로세싱 챔버 시스템 (100) 을 도시한다. 플라즈마 프로세싱 챔버 시스템 (100) 은 플라즈마 챔버 (102) 를 포함하고, 이는 플라즈마 챔버 내의 기판 지지부 또는 척 (104) 을 갖는다. 프로세스되는 기판 (103) 은 척 (104) 상에서 지지된다. 플라즈마 챔버 (102) 는 플라즈마 챔버의 상단 표면에서 유전체 윈도우 (106) 를 포함한다. TCP 코일 (108) 은 유전체 윈도우 (106) 를 갖고 배열되도록 외부에 배치된다. 제1 매치 회로 (124) 는 TCP 코일 (108) 에 커플링된다. 제1 RF 소스 (122) 는 제1 매치 회로 (124) 의 입력부에 커플링된다. 제2 매치 회로 (162) 는 척 (104) 에 커플링된다. 제2 RF 소스 (160) 는 제2 매치 회로 (162) 의 입력부에 커플링된다.
동작에서, 일 이상의 프로세싱 가스들은 플라즈마 챔버 (102) 내로 주입된다. TCP 코일 (108) 은 프로세스된 기판 (103) 과 유전체 윈도우 (106) 사이에서 플라즈마 (116) 를 생성하도록 일 이상의 프로세싱 가스들을 여기시킨다. 플라즈마 (116) 로부터의 이온들과 라디칼 (radical) 들은 기판 (103) 의 표면과 상호 작용한다.
도 2a는 본 발명의 실시예들에 따른, 플라즈마 프로세싱 시스템 (200) 의 상세도를 도시한다. 플라즈마 프로세싱 시스템 (200) 은 제어기 (130), 프로세스 가스 소스 (들) (148), 및 임피던스 센서 (132), 광학 검출기 (136) 및 전압 전류 센서 (134) 와 함께 플라즈마 프로세싱 시스템 (100) 의 모든 컴포넌트들을 포함한다. TCP 코일 (108) 은 내측 코일 (142) 과 외측 코일 (140) 로 분류된다. 부가적인 세부사항들은 척 (104) 에 대한 주변 RF 공급부 (104A) 와 주변 RF 회귀 행로 (102A) 를 포함한다.
프로세스 가스들은 플라즈마 챔버 (102) 내로 주입된다. 예를 들어, 프로세스 가스들은 주입 포트 (port) (146) 를 통해 주입된다. 주입 포트 (106) 는 유전체 윈도우 (106) 에서 실질적으로 중심에 배치된 환형 포트일 수 있다.
광학 포트 (144) 도 유전체 윈도우 (106) 에서 실질적으로 중심에 배치될 수 있다. 광학 포트 (144) 는 플라즈마 (116) (미도시) 로부터 광학 검출부 (136) 가 방출을 검출하도록 광 행로를 제공한다. 광학 검출부 (136) 도 현재 모니터링된 광학 신호 값 (예를 들어, 강도, 광학 스펙트럼 (spectrum), 또는 플라즈마 (116) 의 다른 광학적 검출가능한 양태들) 을 제어기에 제공하도록 제어기 (130) 에 커플링될 수 있다. 제어기 (130) 는 플라즈마 챔버 (102) 내에서 프로세스들을 제어하기 위한 피드백 신호로서 광학 검출부 (136) 로부터 현재 모니터링된 광학 신호 값을 사용할 수 있다.
전압 전류 센서 (134) 는 TCP 코일 (108) 의 외측 코일 (140) 및/또는 내측 코일 (142) 중 적어도 하나에 전기적으로 커플링된다. 전압 전류 센서 (134) 는 내측 코일 (142) 및/또는 외측 코일 (140) 에서 전압 및/또는 전류를 검출할 수 있다. 또한, 전압 전류 센서 (134) 는 현재 모니터링된 전압 및/또는 전류 값을 제어기로 제공하도록 제어기 (130) 에 커플링될 수 있다. 제어기 (130) 는 플라즈마 챔버 (120) 내에서 프로세스들을 제어하기 위해 피드백 신호로서 전압 전류 센서 (134) 로부터 현재 모니터링된 전압 및/또는 전류 값을 사용할 수 있다.
임피던스 센서 (132) 는 매칭 회로 (124) 의 입력부 (124A) 에 커플링된다. 임피던스 센서 (132) 는 제1 RF 소스 (122) 와 매칭 회로 (124) 의 입력부 (124A) 사이의 임피던스를 모니터링한다. 또한, 임피던스 센서 (132) 는 제어기에서 임피던스 매칭 로직 (logic) (138) 에 현재 모니터링된 임피던스 값을 제공하도록 제어기 (130) 에 커플링될 수 있다. 임피던스 매칭 로직 (138) 은 제1 RF 소스 (122) 및/또는 매칭 회로 (124) 를 제어하기 위한 피드백 신호로서 임피던스 센서 (132) 로부터 현재 모니터링된 임피던스 값을 사용할 수 있다.
도 2b는 본 발명의 실시예들에 따른, 플라즈마 챔버 (102) 의 총 임피던스 ZR 을 도시한다. 플라즈마 챔버 (102) 의 총 임피던스 ZR은 매칭 회로의 입력부 (124A) 와 프로세스되는 기판 (103) 사이에서 측정된다. 총 임피던스 ZR은 4개의 컴포넌트 임피던스들의 함수이다: ZM은 매칭 회로 (124) 의 임피던스이다. ZC는 코일 (108) 의 임피던스이다. ZW는 유전체 윈도우 (106) 의 임피던스이다. ZP는 플라즈마 (116) 의 임피던스이다.
매칭 회로 (124) 는 제1 RF 소스 (122) 의 출력 임피던스를 매칭하도록 매칭 회로 임피던스 ZM을 조정한다. 매칭 회로 (124) 는, 플라즈마 프로세싱 시스템 (200) 의 개별 부분들 (108, 106 및 116) 의 각각에서의 물리적 변화량들로 인해 남은 컴포넌트 임피던스들 ZC, ZW 및 ZP에서의 변화량들을 보상하도록 매칭 회로 임피던스 ZM을 조정한다. 컴포넌트 임피던스들 ZM, ZC, ZW 및 ZP 각각과 대응하는 전력 손실들이 변화할 수 있으므로, 매칭 회로 (124) 와 제1 RF 소스 (122) 는 두 개의 상이한 플라즈마 챔버들 (102) 에서 플라즈마 (116) 로 동일한 전력을 공급하기 어렵다.
두 개의 상이한 플라즈마 챔버들 (102) 에서 플라즈마 (116) 에 동일한 전력을 공급하는 일 접근은 플라즈마 챔버들 각각의 유전체 윈도우 임피던스 ZW, 코일 임피던스 ZC 및 매칭 회로 임피던스 ZM의 조합의 개별 합성 임피던스 ZX를 결정하는 것이다. 플라즈마 챔버들 (102) 각각의 개별 합성 임피던스 ZX가 알려지고, 플라즈마 챔버들 각각에 입력된 전력이 알려지면, 플라즈마 챔버들 각각에서 플라즈마 (116) 로 인가된 전력은 균일해질 수 있다.
또한, 플라즈마 챔버 (102) 는 웨이퍼 지지부 (예를 들어, 정전척, ESC) (104) 에 커플링된 제2 매칭 회로 (162) 를 포함할 수 있다. 제2 RF 소스 (160) 는 제2 매칭 회로 (162) 의 입력부에 커플링된다. 제2 전압 전류 센서 (134A) 도 포함될 수 있다. 전압 전류 센서 (134A) 도 제어기 (130) 에 커플링될 수 있어서 제어기는 ESC (104) 의 전극 부분 상에서 전압 및/또는 전류를 모니터링할 수 있다.
도 3a는 본 발명의 실시예들에 따른, 레퍼런스 또는 "골든 (golden)" 플라즈마 프로세싱 시스템 (300) 의 합성 임피던스 ZXG를 도시한다. 상술한 바와 같이, 매칭 회로 임피던스 ZMG, 코일 임피던스 ZCG와 유전체 윈도우 임피던스 ZWG의 조합의 합성 임피던스 ZXG와 골든 플라즈마 챔버 (302) 의 대응하는 손실들이 있다. 제어기 (130) 는 플라즈마 챔버의 무 플라즈마 퍼포먼스 기울기를 특성화하기 위한 로직 (304) 을 포함한다.
도 3b는 본 발명의 실시예들에 따른, 골든 플라즈마 챔버 (302)의 무 플라즈마 기울기 SNPG 특성을 결정하기 위한 동작들 (350), 방법의 순서도이다. 골든 플라즈마 챔버 (302) 의 무 플라즈마 기울기 SNPG 특성은 골든 플라즈마 챔버의 플라즈마 동작들을 정확하게 모방하도록 다른 플라즈마 챔버들을 조정하는데 사용된 레퍼런스 또는 목표로서 사용될 수 있다.
동작 (352) 에서, 골든 챔버 (302) 는 플라즈마 형성을 방지하도록 충분한 압력까지 가압된다. 약 3 토르보다 큰 압력에서 플라즈마를 생산하는 것은 어려울 수 있어서, 적합한 무-플라즈마 압력은 약 5 토르 내지 약 15 토르 사이에 있을 수 있다. 예시로서, 골든 챔버 (302) 는 약 9 토르까지 가압된다. 9 토르는 오직 예시적 목적들로 사용되고 다른 압력들도 사용될 수 있다는 것이 이해되어야 한다. 무 플라즈마 특성화 프로세스 동안 주파수, 온도 및 전류/전압 입력들은, 후술된, 플라즈마 챔버 (402) 내의 플라즈마 프로세싱 웨이퍼들에서 사용될 프로세스 세트 포인트들에 실질적으로 유사하다. 손실된 전력 레벨은 무 플라즈마 특성화 동안 그리고 실질적 플라즈마 프로세싱 동안 유사하도록, 무 플라즈마 특성화 테스트를 위해 사용된 주파수, 온도 및 전류/전압은 프로세스 세트 포인트들에 실질적으로 유사하다. 플라즈마 프로세싱이 입력 전력의 주된 부분을 소비할 수 있으므로, 실질적 인가된 전력은 유사하지 않을 수도 있다는 것을 유의해야 한다. 무 플라즈마 특성화 테스트 동안 사용된 가스들은, 실질적으로 형성되는 플라즈마가 없을 것이므로, 프로세스 조건들에 유사하도록 요구되지 않는다. 예를 들어, 질소와 같은 불활성 가스는 무 플라즈마 특성화 동안 사용될 수 있다. 가스는, 무 플라즈마 특성화 동안 플라즈마 절연 파괴를 방지하는 것 이외에, 비 도전성이고 전기적 회로에 참여하지 않는다.
동작 (354) 에서, 바이어스 신호는 제1 전력 레벨에서 골든 챔버 (302) 로 입력된다. RF 소스 (122) 는 매칭 회로 (124) 의 입력부 (124A) 에 선택된 RF 신호를 출력한다. RF 신호는 코일 (108) 로 매칭 회로 (124) 를 통과한다. 대응하는 전기장은 골든 챔버 (302) 의 플라즈마 영역 (116A) 으로 윈도우 (106) 를 통해 유도된다. 대응하는 RF 신호도 정전척 (104) 에 인가될 수도 있다는 것을 이해해야 한다.
동작 (356) 에서, 전압 값 및/또는 전류 값은 전압 전류 센서에 의해 검출된다. 검출된 전압 값 및/또는 전류 값은 동작 (358) 에서, 대응하는 현재 전력 레벨로 기록된다. 도 3c는 본 발명의 실시예들에 따른, 골든 챔버 (302) 에서의 대응하는 현재 전력 레벨에 관하여 검출된 전류 값의 그래프 (370) 이다. 전류의 제곱이 그래프 (370) 에 도시되더라도, 전압이 대응하는 현재 전력 레벨에 관하여 유사하게 기록 및/또는 그래프 그려질 수 있다는 것을 이해해야 한다.
무 플라즈마 기울기는 상부 말단에서 전력 손실들을 결정하고, 매칭 회로 (124) 에서의 전력 손실들, 코일 (108) 에서 전력 손실들 및 윈도우 (106) 에 의한 전력 손실들을 포함한다. (전압)2/RF 전력 입력의 비율은 기울기를 제공한다. 유사하게, (전류)2/RF 전력 입력의 비율도 기울기를 제공할 것이다. 기울기는, 더 높은 기울기가 상부 말단 컴포넌트들 (124, 108, 106) 로 인한 더 낮은 전력 손실을 가리키는 것을 의미하는 전력 손실에 반비례한다.
동작 (360) 에서 결정된 바와 같이 테스트하는 부가적인 전력 레벨들이 있으면, 방법 동작들은 동작 (362) 에서 계속된다. 동작 (362) 에서, 상술한 바와 같이 동작 (356) 에서 방법 동작들이 계속되고, RF 전력 레벨은 상이한 RF 전력으로 증가된다.
동작 (360) 에서 결정된 바와 같이 테스트하는 부가적인 전력 레벨들이 없다면, 방법 동작들은 동작 (364) 에서 계속된다. 동작 (364) 에서, 기록된 전압 값들 및/또는 전류 값들에 대한 골든 무 플라즈마 기울기 SNPG가 계산된다.
골든 플라즈마 챔버에 대한 무 플라즈마 기울기를 결정하는 선행하는 방법 동작들은 레퍼런스 무 플라즈마 기울기가 산출될 수도 있는 방법의 일 예시일 뿐이라는 것을 이해해야 한다. 다른 예시들은 챔버를 실질적으로 측정하는 것 없이 추상적으로 결정된 계산된 기울기를 포함한다. 레퍼런스 무 플라즈마 기울기의 또 다른 예시는 평균값 또는 중앙값 또는 플라즈마 챔버들의 선택된 그룹 각각에 대해 대응하는 무 플라즈마 기울기들의 또 다른 적합한 함수일 수 있다.
일 실시예에서, 위의 프로세스는 전압 전류 센서 (134A) 를 사용하는 ESC (104) 상에서 전압 및/또는 전류를 모니터링함으로써 플라즈마 챔버의 무 플라즈마 특성화를 결정하는데 사용될 수 있다.
도 4a는 본 발명의 실시예들에 따른, 표적 플라즈마 프로세싱 시스템 (400) 의 합성 임피던스 ZXT를 도시한다. 상술한 바와 같이, 합성 임피던스 ZXT는 표적 플라즈마 챔버 (402) 의 유전체 윈도우 임피던스 ZWT, 코일 임피던스 ZCT 및 매칭 회로 임피던스 ZMT의 조합이다.
도 4b는 본 발명의 실시예들에 따른, 표적 플라즈마 챔버 (402) 의 무 플라즈마 기울기 SNPT 특성을 결정하기 위한 동작들, 방법 (450) 의 순서도이다. 동작 (452) 에서, 표적 챔버 (402) 는 플라즈마 형성을 방지하도록 충분한 압력까지 가압된다.
동작 (454) 에서, 바이어스 신호들은 제1 전력 레벨에서 표적 챔버 (402) 로 입력된다. RF 소스 (122) 는 매칭 회로 (124) 의 입력부 (124A) 로 선택된 RF 신호를 출력한다. RF 신호는 코일 (108) 로 매칭 회로 (124) 를 통과한다. 대응하는 전기장은 표적 챔버 (402) 의 플라즈마 영역 (116A) 으로 윈도우 (106) 를 통해 유도된다. 대응하는 RF 신호도 정전척 (104) 으로 인가될 수도 있다는 것을 이해해야 한다.
동작 (456) 에서, 전압 값 및/또는 전류 값은 전압 전류 센서에 의해 검출된다. 검출된 전압 값 및/또는 전류 값은 동작 (458) 에서, 대응하는 현재 전력 레벨로 기록된다. 도 4c는 본 발명의 실시예들에 따른, 표적 챔버 (402) 에서의 대응하는 현재 전력 레벨에 관하여 검출된 전류 값의 그래프 (470) 이다. 전류의 제곱은 그래프 (470) 에 도시되더라도 전압은 대응하는 현재 전력 레벨에 관하여 유사하게 기록 및/또는 그래프 그려질 수 있다는 것을 이해해야 한다.
동작 (460) 에서 결정된 바와 같이 테스트하도록 부가적인 전력 레벨들이 있다면, 방법 동작들은 동작 (462) 에서 계속된다. 동작 (462) 에서, RF 전력 레벨은 상이한 RF 전력 레벨로 증가되고 방법 동작들은 상술한 바와 같이 동작 (456) 에서 계속된다.
동작 (460) 에서 결정된 바와 같이 테스트하도록 부가적인 전력 레벨들이 없다면, 방법 동작들은 동작 (464) 에서 계속된다. 동작 (464) 에서, 기록된 전압 값 및/또는 기록된 전류 값들에 대한 표적 무 플라즈마 기울기 SNPT가 계산된다.
도 5는 본 발명의 실시예들에 따른, 표적 플라즈마 챔버 (402) 의 플라즈마 기울기 SPT를 조정하기 위한 동작들 (500), 방법의 순서도이다. 동작 (505) 에서, 표적 플라즈마 챔버 (402) 의 무 플라즈마 기울기 SNPT 특성은 위의 도 4b에서 설명된 바와 같이 결정된다.
동작 (510) 에서, 플라즈마 프로세스는 표적 플라즈마 챔버 (402) 에서 테스트 웨이퍼 (103A) 에 인가되고, 최초 플라즈마 프로세스 전압 VPT 및/또는 최초 플라즈마 프로세스 전류 IPT가 결정된다. 최초 플라즈마 프로세스 전압 VPT 및/또는 최초 플라즈마 프로세스 전류 IPT는, 표적 플라즈마 챔버 (402) 의 무 플라즈마 기울기 SNPT 특성을 결정하는 경우, 도 4b에서 상술한 바와 같이 측정된다. 최초 플라즈마 프로세스 동안, 프로세스 가스들은 바람직한 플라즈마 프로세싱 압력과 혼합물로 설정되고, 플라즈마 (116) 는 표적 플라즈마 챔버 (402) 에서 생성되고, 바람직한 RF 전력 레벨 세트 포인트에서의 단일 RF 전력 레벨만이 사용된다. 최초 플라즈마 프로세스를 위한 다른 동작 변수들, 온도, 시간, RF 신호 주파수 및 전력, 프로세스 가스 압력들 및 혼합물들의 모든 다양한 동작 세트 포인트들을 포함하는 정확한 레시피 (recipe) 는 표적 플라즈마 챔버 (402) 내에서 수행될 바람직한 프로세스에 대응하는 바람직한 프로세스 레시피에 의해 결정된다.
동작 (515) 에서, 보상 요인은 무 플라즈마 기울기 SNPG, 무 플라즈마 기울기 SNPT 및 최초 플라즈마 프로세스 전압 VPT 및/또는 최초 플라즈마 프로세스 전류 IPT를 사용하여 계산된다.
주어진 플라즈마 챔버 (402) 의 상부 말단 컴포넌트들 (124, 108, 106) 의 효율 (ηtop) 은 공식 1에서 설명된 바와 같이 결정된다:
ηtop= 1-V2/(SPSP) = RP/(Rtop + RP) 공식 1
ηTtop = 1-VT 2/(STPSP) 표적 챔버의 효율
ηGtop = 1-VT 2/(ηTtopSGPSP) 골든 챔버의 효율
표적 챔버 (402) 와 골든 챔버 (302) 에서의 동일한 RF 전력 입력에서 전달되는 전력에서의 프로세스 효율 비는 후술하는 공식 2에 의해 결정될 수 있다:
PSP(ηGtop-ηTtop) 공식 2
골든 챔버 (302) 에서와 같이 표적 챔버 (402) 에서 플라즈마로 동일한 전력을 인가하도록 전력 세트 포인트에 인가되는 보상 요인 ΔPSP는 후술하는 공식 3에서 결정될 수 있다:
ΔPSP = PSP(ηGtop-ηTtop)/ηTtop 공식 3
동작 (520) 에서, 바람직한 RF 전력 레벨 세트 포인트는 보상 요인에 따라 조정된다. 동작 (525) 에서, 테스트 웨이퍼 (103A) 는 제거되고 다음 웨이퍼 (103B) 가 표적 챔버 (402) 에 배치된다. 동작 (510) 에서 테스트 웨이퍼 (103A) 로 적용된 동일한 플라즈마 프로세스는 보상된 RF 신호 전력 레벨 세트 포인트를 사용한 다음 웨이퍼 (103B) 로 적용된다.
본 발명의 부분을 형성하는 본 명세서에 설명된 임의의 동작들은 유용한 기계 동작들이다. 또한, 본 발명은 이들 동작들을 수행하기 위한 장치 또는 디바이스에 관한 것이다. 장치는 필요한 목적들을 위해 특별히 설치될 수도 있거나, 컴퓨터에 저장된 컴퓨터 프로그램에 의해 선택적으로 활성화되거나 형성된 일반적인 목적 컴퓨터일 수도 있다. 특히, 다양한 일반적 목적 기계들은 본 명세서에서 지시하는 것에 따라 기록된 컴퓨터 프로그램들과 함께 사용될 수도 있거나, 필요한 동작들을 수행하도록 보다 특화된 장치를 구성하는 것은 더 편리할 수도 있다. 본 발명에 대한 예시적인 구조물은 이하 설명된다. 예를 들어, 제어기 (130) 도, 레퍼런스 플라즈마 챔버와 플라즈마 챔버를 비교하여 프로세스 효율 비를 생성하기 위한 로직 (306), 선택된 전력 세트 포인트 값을 갖는 생성된 비를 승산함으로써 보상 요인을 계산하기 위한 로직, 조정된 전력 세트 포인트 값을 산출하도록 선택된 전력 세트 포인트 값에 보상 요인을 적용하기 위한 로직, 레퍼런스 플라즈마 챔버에서 형성된 레퍼런스 플라즈마로 전달된 전력을 매칭하도록 플라즈마 챔버에서 형성된 플라즈마로 전달된 전력을 야기하도록 형성된 조정된 전력 세트 포인트를 포함할 수 있다.
도 6은 본 발명의 실시예들에 따른, 프로세싱을 수행하기 위한 예시적인 컴퓨터 시스템 (600) 의 블록 다이어그램이다. 제어기 (130) 는 컴퓨터 시스템 (600) 과 같은 일반적 또는 특화된 컴퓨터 시스템을 포함할 수 있다. 컴퓨터 시스템 (600) 은 컴퓨터 (602), 디스플레이 스크린 (604), 선택적 프린터 (606) 또는 다른 출력 디바이스, 이동식 미디어 (예를 들어, 자기/광학/플래시 (flash)) 드라이브 (608), 하드 디스크 드라이브 (610) 또는 다른 적합한 저장 디바이스, 네트워크 인터페이스 (612), 및 키보드 (614) 를 포함한다. 마우스, 터치 패드 또는 터치 스크린과 같은 부가적인 사용자 인터페이스 디바이스들도 포함될 수 있다.
컴퓨터 (602) 는 프로세서 (616), 메모리 버스 (618), 임의 접근 기억 장치 (RAM; Random Access Memory) (620), 읽기 전용 메모리 (ROM; Read Only Memory) (622), 주변부 버스 (624), 및 입력/출력 디바이스 (626) 를 포함한다. 컴퓨터 (602) 는, (IBM 호환 가능 개인 컴퓨터, 매킨토시 (Macintosh) 컴퓨터 또는 매킨토시 호환 가능 컴퓨터와 같은) 개인용 컴퓨터, (선 마이크로 시스템즈 (Sun Microsystems) 또는 휴렛-패커드 (Hewlett-Packard) 워크스테이션 (workstation) 과 같은) 워크스테이션 컴퓨터, 또는 몇몇 다른 적합한 유형의 컴퓨터일 수 있다.
프로세서 (616) 는 일반적 목적 디지털 프로세서 또는 특별히 설계된 프로세서일 수 있다. 프로세서 (616) 는 컴퓨터 시스템 (600) 의 동작을 제어한다. 메모리로부터 검색된 명령들을 사용하여, 마이크로프로세서 (616) 는 입력 데이터의 수신과 조작 및 출력 디바이스들 상에서의 데이터의 출력과 디스플레이를 제어한다.
메모리 버스 (618) 는 RAM (620) 과 ROM (622) 에 접근하도록 프로세서 (616) 에 의해 사용된다. RAM (620) 은 일반적 저장 영역으로서 그리고 스크래치 패드 메모리 (scratch-pad memory) 로서 프로세서 (616) 에 의해 사용되고, 입력 데이터와 프로세스된 데이터를 저장하는데 사용될 수 있다. RAM (620) 과 ROM (622) 은 다른 데이터 뿐만 아니라 마이크로프로세서 (616) 에 의해 판독가능한 프로그램 코드 또는 컴퓨터 판독가능 명령들을 저장하는데 사용될 수 있다.
주변부 버스 (624) 는 컴퓨터 (602) 에 의해 사용된 저장 디바이스들, 입력, 및 출력에 접근하는데 사용된다. 이들 디바이스들은 디스플레이 스크린 (604), 프린터 디바이스 (606), 이동식 미디어 드라이브 (608), 하드 디스크 드라이브 (610), 및 네트워크 인터페이스 (612) 를 포함한다. 입력/출력 디바이스 (626) 는 키보드 (614) 로부터 입력을 수신하는데 사용되고 버스 (628) 위에 프로세서 (616) 로 각각 눌려진 키에 대한 복호화된 심볼 (symbol) 들을 전송하는데 사용된다.
디스플레이 스크린 (604) 은 컴퓨터 시스템 (600) 에서 다른 컴포넌트들에 의해 제공되거나 주변부 버스 (624) 를 통해 프로세서 (616) 에 의해 제공된 데이터의 이미지들을 디스플레이하는 출력 디바이스이다. 프린터 디바이스 (606) 는, 프린터로서 동작하는 경우, 종이 한장 또는 유사한 표면 상에 이미지를 제공한다. 플로터 (plotter), 프로젝터 등과 같은 다른 출력 디바이스들은 프린터 디바이스 (606) 에 더하여 또는 대신에 사용될 수 있다.
이동식 미디어 드라이브 (608) 와 하드 디스크 드라이브 (610) 는 다양한 유형들의 데이터를 저장하는데 사용될 수 있다. 이동식 미디어 드라이브 (608) 는 다른 컴퓨터 시스템들에 데이터를 수송하는 것을 용이하게 하고, 하드 디스크 드라이브 (610) 는 많은 양의 저장된 데이터로 신속한 접근을 허용한다. 하드 디스크 드라이브 (610) 는 컴퓨터 시스템 내에 포함될 수도 있거나, 일 이상의 네트워크들 (예를 들어, 근거리 통신망 (LAN; local area network), 광역 네트워크, 무선 네트워크, 인터넷) 또는 스토리지 (storage) 디바이스들과 위치들의 조합들 위로 접근 가능한 클라우드 스토리지 또는 스토리지 부착된 네트워크와 같은 컴퓨터 시스템의 외부에 있을 수도 있다.
동작하는 시스템과 함께 프로세서 (616) 는 컴퓨터 판독가능 코드와 로직을 실행하고 데이터를 사용하고 산출하도록 동작한다. 컴퓨터 코드, 로직 및 데이터는 RAM (620), ROM (622), 또는 하드 디스크 드라이브 (610) 또는 다른 미디어 스토리지 디바이스들과 그들의 조합들 내에 존재할 수도 있다. 또한, 컴퓨터 코드와 데이터는 필요한 경우 컴퓨터 시스템 (600) 상에 설치되거나 로드 (load) 되고 이동식 프로그램 미디어 상에 존재할 수 있다. 예를 들어, 이동식 프로그램 미디어는 DVD, CD-ROM, PC-CARD, 플로피 디스크, 플래시 메모리, 광학 미디어와 자기 디스크 또는 자기 테이프를 포함한다.
네트워크 인터페이스 (612) 는 다른 컴퓨터 시스템들에 연결된 네트워크 위로 데이터를 송신하고 수신하는데 사용된다. 프로세서 (616) 에 의해 구현된 적절한 소프트웨어와 인터페이스 카드 또는 유사한 디바이스는 현존하는 네트워크에 컴퓨터 시스템 (600) 을 연결하고 LAN, 광역 네트워크, 무선 네트워크, 인터넷 및 또 다른 적합한 네트워크들과 네트워크 프로토콜 (protocol) 들과 같은 표준 프로토콜들에 따라 데이터를 이동시키는데 사용될 수 있다.
키보드 (614) 는 사용자에 의해 컴퓨터 시스템 (600) 에 명령어들과 다른 명령들을 입력하는데 사용된다. 또한, 다른 유형들의 사용자 입력 디바이스들은 본 발명과 함께 사용될 수 있다. 예를 들어, 컴퓨터 마우스, 트랙볼 (track ball), 스타일러스 (stylus), 터치 패드, 터치 스크린 또는 태블릿 (tablet) 과 같은 포인팅 (pointing) 디바이스들은 일반적 목적 컴퓨터의 스크린 상에서 포인터를 조작하는데 사용될 수 있다.
예를 들어, 본 명세서에서 도시된 방법 동작들은, 몇몇 동작들이 서브-동작들과 다른 예시로, 본 명세서에서 설명된 임의의 동작들을 가질 수도 있다는 것을 이해해야 하므로, 도시된 동작들에 포함되지 않을 수도 있다. 위의 피겨 (figure) 들에서 동작들에 의해 나타난 명령들은 다른 도시된 것들에서 수행되도록 요구되지 않고, 동작들에 의해 나타난 모든 프로세싱은 발명을 실행하는데 필수적이지 않을 수도 있다는 것이 더 이해될 것이다. 또한, 위의 피겨들의 다른 것에서 설명된 프로세스들도 RAM, ROM, 또는 하드 디스크 드라이브 또는 다른 스토리지 미디어와 위치들의 조합들 중 임의의 하나에 저장된 소프트웨어에서 구현될 수 있다.
또한, 발명은 컴퓨터 판독 가능 매체 상에서 컴퓨터 판독 가능 코드 및/또는 로직으로서 구체화될 수 있다. 컴퓨터 판독 가능 매체는 컴퓨터 시스템에 의해 그 후 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 스토리지 디바이스이다. 컴퓨터 판독 가능 매체의 예시들은 하드 드라이브들, 네트워크 부착된 스토리지 (NAS), 로직 회로들, 읽기 전용 메모리, 플래시 메모리, 임의 접근 기억 장치, DVD, CD-ROM들, CD-R들, CD-RW들, 자기 테이프들, 및 다른 광학 데이터 스토리지 디바이스들과 비-광학 데이터 스토리지 디바이스들을 포함한다. 또한, 컴퓨터 판독 가능 코드는 분포된 방식으로 실행되고 저장되도록, 컴퓨터 판독 가능 매체는 컴퓨터 시스템들에 커플링된 네트워크 위로 분포될 수 있다.
앞서 설명한 발명이 이해의 명확함의 목적들을 위해 상세하게 설명되었더라도, 임의의 변경들과 변형들이 첨부된 청구항들의 범위 내에서 실행될 수도 있다는 것이 명백해질 것이다. 따라서, 본 실시예들은 실례로서 고려될 것이고 제한적이지 않고, 본 발명은 본 명세서에 주어진 세부사항들로 제한되지 않을 것이지만, 첨부된 청구항들의 범위와 균등물 내에서 변형될 수도 있다.

Claims (21)

  1. 표적 플라즈마 챔버의 무 플라즈마 퍼포먼스 (performance) 기울기를 특성화하는 단계로서, 상기 표적 플라즈마 챔버는 무선 주파수 (radio frequency; RF) 전극과 매칭 (match) 회로를 포함하는, 상기 표적 플라즈마 챔버의 무 플라즈마 퍼포먼스 기울기를 특성화하는 단계;
    상기 표적 플라즈마 챔버에서 제1 웨이퍼에 선택된 플라즈마 레시피 (recipe) 를 적용하는 단계로서, 상기 선택된 플라즈마 레시피는 선택된 전력 세트 포인트 값을 포함하고, 상기 선택된 플라즈마 레시피를 적용하는 단계는 상기 선택된 플라즈마 레시피의 적용 동안 상기 RF 전극 상에서 레시피 요인 값을 모니터링하는 단계를 포함하는, 상기 표적 플라즈마 챔버에서 제1 웨이퍼에 선택된 플라즈마 레시피를 적용하는 단계;
    레퍼런스 챔버의 무 플라즈마 퍼포먼스 기울기를 수신하는 단계;
    상기 레퍼런스 챔버의 효율과 상기 표적 플라즈마 챔버의 효율을 비교하여 프로세스 효율 비를 생성하는 단계로서, 상기 생성하는 단계는 상기 표적 플라즈마 챔버의 상기 무 플라즈마 퍼포먼스 기울기, 상기 레퍼런스 챔버의 상기 무 플라즈마 퍼포먼스 기울기 및 상기 선택된 전력 세트 포인트 값에 기초하여 산출되는 상기 모니터링된 레시피 요인 값을 입력들로 사용하고, 상기 레퍼런스 챔버의 상기 효율은 상기 표적 플라즈마 챔버의 상기 효율, 상기 레퍼런스 챔버의 상기 무 플라즈마 퍼포먼스 기울기, 상기 선택된 전력 세트 포인트 값 및 상기 모니터링된 레시피 요인 값의 함수인, 상기 레퍼런스 챔버의 효율과 상기 표적 플라즈마 챔버의 효율을 비교하여 프로세스 효율 비를 생성하는 단계;
    상기 선택된 전력 세트 포인트 값에 상기 생성된 비를 승산함으로써 보상 요인을 계산하는 단계; 및
    조정된 전력 세트 포인트 값을 산출하도록 상기 선택된 전력 세트 포인트 값에 상기 보상 요인을 적용하는 단계로서, 상기 조정된 전력 세트 포인트는 상기 레퍼런스 챔버에서 형성된 레퍼런스 플라즈마로 전달될 전력을 매칭하도록 상기 표적 플라즈마 챔버에서 형성된 플라즈마로 전달된 전력을 야기시키도록 구성된, 상기 조정된 전력 세트 포인트 값을 산출하도록 상기 선택된 전력 세트 포인트 값에 상기 보상 요인을 적용하는 단계를 포함하고,
    상기 생성된 비는 상기 레퍼런스 챔버에서 모니터링된 레시피로부터 데이터를 요구하지 않는, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  2. 제1항에 있어서,
    상기 모니터링된 레시피 요인 값은 코일 전압이고 상기 RF 전극은 코일 전극인, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  3. 제1항에 있어서,
    상기 모니터링된 레시피 요인 값은 코일 전류이고 상기 RF 전극은 코일 전극인, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  4. 제1항에 있어서,
    정전척 (ESC) 웨이퍼 지지부를 더 포함하고 상기 모니터링된 레시피 요인 값은 ESC 전압이고 상기 RF 전극은 ESC 전극인, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  5. 제1항에 있어서,
    정전척 (ESC) 웨이퍼 지지부를 더 포함하고 상기 모니터링된 레시피 요인 값은 ESC 전류이고 상기 RF 전극은 ESC 전극인, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  6. 제1항에 있어서,
    상기 제1 웨이퍼를 제거하는 단계;
    상기 표적 플라즈마 챔버에서 제2 웨이퍼를 배치하는 단계; 및
    상기 조정된 전력 세트 포인트 값을 갖는 상기 표적 플라즈마 챔버를 사용하여 상기 제2 웨이퍼 상에서 상기 선택된 플라즈마 레시피를 수행하는 단계를 더 포함하는, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  7. 제6항에 있어서,
    상기 제2 웨이퍼 상에서 상기 선택된 플라즈마 레시피를 수행하는 단계는 상기 표적 플라즈마 챔버의 RF 생성기로 상기 조정된 전력 세트 포인트 값을 제공하는 단계를 포함하는, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  8. 제1항에 있어서,
    상기 보상 요인은 상기 레퍼런스 챔버와 비교하여 상기 표적 플라즈마 챔버의 상기 RF 전극과 상기 매칭 회로 사이에서 RF 손실들에서의 복수의 차이들을 정량화하는, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  9. 제1항에 있어서,
    상기 표적 플라즈마 챔버로 전달된 상기 전력은 상기 표적 플라즈마 챔버의 상기 RF 전극에 인가된 RF 전력이고, 상기 RF 전극은 웨이퍼 지지부 위에 배치된 세라믹 윈도우 위에 배치되는, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  10. 제1항에 있어서,
    상기 레퍼런스 챔버의 상기 수신된 무 플라즈마 퍼포먼스 기울기는 원하는 퍼포먼스 특성들을 갖는 현존하는 챔버 상에서 결정된, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  11. 제1항에 있어서,
    상기 레퍼런스 챔버의 상기 수신된 무 플라즈마 퍼포먼스 기울기는 값으로 획득된, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  12. 제1항에 있어서,
    상기 방법은 소프트웨어, 하드웨어 및 소프트웨어와 하드웨어의 조합 중 하나에 의해 실행되고, 상기 실행은 상기 표적 플라즈마 챔버와 인터페이싱 (interface) 되는 제어기를 사용하는, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  13. 제1항에 있어서,
    상기 표적 플라즈마 챔버의 상기 무 플라즈마 퍼포먼스 기울기를 특성화하는 단계는 5 토르 (torr) 내지 15 토르 사이의 압력으로 프로세스 가스로 상기 표적 플라즈마 챔버를 가압하는 단계를 포함하는, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  14. 제1항에 있어서,
    상기 표적 플라즈마 챔버의 상기 무 플라즈마 퍼포먼스 기울기를 특성화하는 단계는 9 토르의 압력으로 프로세스 가스로 상기 표적 플라즈마 챔버를 가압하는 단계를 포함하는, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  15. 제1항에 있어서,
    상기 표적 플라즈마 챔버의 상기 무 플라즈마 퍼포먼스 기울기를 특성화하는 단계는,
    상기 매칭 회로로 복수의 전력 세트 포인트들을 입력하는 단계;
    상기 복수의 전력 세트 포인트들 각각에 대응하는 상기 RF 전극에서 측정된 무 플라즈마 레시피 요인 값 중 적어도 하나를 기록하는 단계;
    상기 표적 플라즈마 챔버의 상기 무 플라즈마 퍼포먼스 기울기를 식별하도록 상기 복수의 전력 세트 포인트들 중 대응하는 상기 전력 세트 포인트들 각각과 상기 RF 전극에서 측정된 상기 기록된 무 플라즈마 레시피 요인 값의 함수를 플롯 (plot) 하는 단계를 포함하는, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  16. 제15항에 있어서,
    상기 RF 전극에서 측정된 상기 기록된 레시피 요인 값의 상기 함수는 상기 RF 전극에서 측정된 상기 기록된 레시피 요인 값의 제곱인, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  17. 제1항에 있어서,
    상기 플라즈마 레시피에 대한 상기 보상 요인을 저장하는 단계를 더 포함하는, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  18. 표적 플라즈마 챔버의 무 플라즈마 퍼포먼스 기울기를 특성화하는 단계로서, 상기 표적 플라즈마 챔버는 RF 전극과 매칭 회로를 포함하고, 상기 표적 플라즈마 챔버의 무 플라즈마 퍼포먼스 기울기를 특성화하는 단계는,
    상기 매칭 회로에 복수의 전력 세트 포인트들을 입력하는 단계;
    상기 복수의 전력 세트 포인트들 각각에 대응하는 상기 RF 전극에서 측정된 무 플라즈마 전류 값 중 적어도 하나를 기록하는 단계; 및
    상기 표적 플라즈마 챔버의 상기 무 플라즈마 퍼포먼스 기울기를 식별하도록 상기 복수의 전력 세트 포인트들 중 대응하는 상기 전력 세트 포인트들 각각과 상기 RF 전극에서 측정된 상기 기록된 무 플라즈마 전류 값의 제곱을 기록하는 단계를 포함하는, 상기 표적 플라즈마 챔버의 무 플라즈마 퍼포먼스 기울기를 특성화하는 단계;
    상기 표적 플라즈마 챔버에서 제1 웨이퍼에 선택된 플라즈마 레시피를 적용하는 단계로서, 상기 선택된 플라즈마 레시피는 선택된 전력 세트 포인트 값을 포함하고, 상기 선택된 플라즈마 레시피를 적용하는 단계는 상기 선택된 플라즈마 레시피의 적용 동안 상기 RF 전극 상에서 레시피 전류를 모니터링하는 단계를 포함하는, 상기 표적 플라즈마 챔버에서 제1 웨이퍼에 선택된 플라즈마 레시피를 적용하는 단계;
    레퍼런스 챔버의 무 플라즈마 퍼포먼스 기울기를 수신하는 단계;
    상기 레퍼런스 챔버의 효율과 상기 표적 플라즈마 챔버의 효율을 비교하여 프로세스 효율 비를 생성하는 단계로서, 상기 생성하는 단계는 상기 표적 플라즈마 챔버의 상기 무 플라즈마 퍼포먼스 기울기, 상기 레퍼런스 챔버의 상기 무 플라즈마 퍼포먼스 기울기 및 상기 선택된 전력 세트 포인트 값에 기초하여 산출되는 상기 모니터링된 레시피 전류를 입력들로 사용하고, 상기 레퍼런스 챔버의 상기 효율은 상기 표적 플라즈마 챔버의 상기 효율, 상기 레퍼런스 챔버의 상기 무 플라즈마 퍼포먼스 기울기, 상기 선택된 전력 세트 포인트 값 및 상기 모니터링된 레시피 전류의 함수인, 상기 레퍼런스 챔버의 효율과 상기 표적 플라즈마 챔버의 효율을 비교하여 프로세스 효율 비를 생성하는 단계;
    상기 선택된 전력 세트 포인트 값에 상기 생성된 비를 승산함으로써 보상 요인을 계산하는 단계; 및
    조정된 전력 세트 포인트 값을 산출하도록 상기 선택된 전력 세트 포인트 값에 상기 보상 요인을 적용하는 단계로서, 상기 조정된 전력 세트 포인트는 상기 레퍼런스 챔버에서 형성된 레퍼런스 플라즈마로 전달될 전력을 매칭하도록 상기 표적 플라즈마 챔버에서 형성된 플라즈마로 전달된 전력을 야기시키도록 구성된, 상기 조정된 전력 세트 포인트 값을 산출하도록 상기 선택된 전력 세트 포인트 값에 상기 보상 요인을 적용하는 단계를 포함하고,
    상기 생성된 비는 상기 레퍼런스 챔버에서 모니터링된 레시피로부터 데이터를 요구하지 않는, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  19. 표적 플라즈마 챔버의 무 플라즈마 퍼포먼스 기울기를 특성화하는 단계로서, 상기 표적 플라즈마 챔버는 RF 전극과 매칭 네트워크를 포함하고, 상기 표적 플라즈마 챔버의 무 플라즈마 퍼포먼스 기울기를 특성화하는 단계는,
    상기 매칭 네트워크에 복수의 전력 세트 포인트들을 입력하는 단계;
    상기 복수의 전력 세트 포인트들 각각에 대응하는 상기 RF 전극에서 측정된 무 플라즈마 전압 값 중 적어도 하나를 기록하는 단계; 및
    상기 표적 플라즈마 챔버의 상기 무 플라즈마 퍼포먼스 기울기를 식별하도록 상기 복수의 전력 세트 포인트들 중 대응하는 상기 전력 세트 포인트들 각각과 상기 RF 전극에서 측정된 상기 기록된 무 플라즈마 전압 값의 제곱을 기록하는 단계를 포함하는, 상기 표적 플라즈마 챔버의 무 플라즈마 퍼포먼스 기울기를 특성화하는 단계;
    상기 표적 플라즈마 챔버에서 제1 웨이퍼에 선택된 플라즈마 레시피를 적용하는 단계로서, 상기 선택된 플라즈마 레시피는 선택된 전력 세트 포인트 값을 포함하고, 상기 선택된 플라즈마 레시피를 적용하는 단계는 상기 선택된 플라즈마 레시피의 적용 동안 상기 RF 전극 상에서 레시피 전압을 모니터링하는 단계를 포함하는, 상기 표적 플라즈마 챔버에서 제1 웨이퍼에 선택된 플라즈마 레시피를 적용하는 단계;
    레퍼런스 챔버의 무 플라즈마 퍼포먼스 기울기를 수신하는 단계;
    상기 레퍼런스 챔버의 효율과 상기 표적 플라즈마 챔버의 효율을 비교하여 프로세스 효율 비를 생성하는 단계로서, 상기 생성하는 단계는 상기 표적 플라즈마 챔버의 상기 무 플라즈마 퍼포먼스 기울기, 상기 레퍼런스 챔버의 상기 무 플라즈마 퍼포먼스 기울기 및 상기 선택된 전력 세트 포인트 값에 기초하여 산출되는 상기 모니터링된 레시피 전압을 입력들로 사용하고, 상기 레퍼런스 챔버의 상기 효율은 상기 표적 플라즈마 챔버의 상기 효율, 상기 레퍼런스 챔버의 상기 무 플라즈마 퍼포먼스 기울기, 상기 선택된 전력 세트 포인트 값 및 상기 모니터링된 레시피 전압의 함수인, 상기 레퍼런스 챔버의 효율과 상기 표적 플라즈마 챔버의 효율을 비교하여 프로세스 효율 비를 생성하는 단계;
    상기 선택된 전력 세트 포인트 값에 상기 생성된 비를 승산함으로써 보상 요인을 계산하는 단계; 및
    조정된 전력 세트 포인트 값을 산출하도록 상기 선택된 전력 세트 포인트 값에 상기 보상 요인을 적용하는 단계로서, 상기 조정된 전력 세트 포인트는 상기 레퍼런스 챔버에서 형성된 레퍼런스 플라즈마로 전달될 전력을 매칭하도록 상기 표적 플라즈마 챔버에서 형성된 플라즈마로 전달된 전력을 야기시키도록 구성된, 상기 조정된 전력 세트 포인트 값을 산출하도록 상기 선택된 전력 세트 포인트 값에 상기 보상 요인을 적용하는 단계를 포함하고,
    상기 생성된 비는 상기 레퍼런스 챔버에서 모니터링된 레시피로부터 데이터를 요구하지 않는, 표적 플라즈마 챔버에 전력을 인가하는 방법.
  20. 삭제
  21. 삭제
KR1020140062379A 2013-05-23 2014-05-23 플라즈마 에칭 챔버에서의 rf 전력 보상을 위한 장치, 방법 및 시스템 KR102102487B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/901,535 2013-05-23
US13/901,535 US9412670B2 (en) 2013-05-23 2013-05-23 System, method and apparatus for RF power compensation in plasma etch chamber

Publications (2)

Publication Number Publication Date
KR20140138077A KR20140138077A (ko) 2014-12-03
KR102102487B1 true KR102102487B1 (ko) 2020-04-21

Family

ID=51935625

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140062379A KR102102487B1 (ko) 2013-05-23 2014-05-23 플라즈마 에칭 챔버에서의 rf 전력 보상을 위한 장치, 방법 및 시스템

Country Status (3)

Country Link
US (1) US9412670B2 (ko)
KR (1) KR102102487B1 (ko)
TW (1) TWI639182B (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102459432B1 (ko) 2015-06-16 2022-10-27 삼성전자주식회사 기판 제조 설비 및 그의 관리 방법
US9754769B2 (en) * 2015-09-15 2017-09-05 Lam Research Corporation Metrology methods to detect plasma in wafer cavity and use of the metrology for station-to-station and tool-to-tool matching
US10607815B2 (en) * 2018-06-29 2020-03-31 Applied Materials, Inc. Methods and apparatuses for plasma chamber matching and fault identification
CN112530773B (zh) * 2020-11-27 2023-11-14 北京北方华创微电子装备有限公司 半导体工艺设备
CN113113282B (zh) * 2021-04-01 2023-11-14 北京北方华创微电子装备有限公司 上电极电源功率调节方法、半导体工艺设备
KR20240025184A (ko) * 2022-08-18 2024-02-27 한국핵융합에너지연구원 플라즈마 모니터링용 뷰포트, 이를 포함하는 플라즈마 발생기 및 플라즈마 모니터링 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060042753A1 (en) 2004-08-26 2006-03-02 Scientific Systems Research Limited Method for transferring process control models between plasma processing chambers

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6873114B2 (en) * 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US6781317B1 (en) * 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
US7341954B2 (en) * 2005-08-24 2008-03-11 Tokyo Electron Limited Method and apparatus for determining an operation status of a plasma processing apparatus, program and storage medium storing same
JP5498217B2 (ja) * 2010-03-24 2014-05-21 株式会社ダイヘン 高周波測定装置、および、高周波測定装置の校正方法
US8492980B2 (en) * 2010-10-28 2013-07-23 Applied Materials, Inc. Methods for calibrating RF power applied to a plurality of RF coils in a plasma processing system
US9119283B2 (en) * 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) * 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060042753A1 (en) 2004-08-26 2006-03-02 Scientific Systems Research Limited Method for transferring process control models between plasma processing chambers

Also Published As

Publication number Publication date
US9412670B2 (en) 2016-08-09
US20140349417A1 (en) 2014-11-27
KR20140138077A (ko) 2014-12-03
TWI639182B (zh) 2018-10-21
TW201511089A (zh) 2015-03-16

Similar Documents

Publication Publication Date Title
KR102102487B1 (ko) 플라즈마 에칭 챔버에서의 rf 전력 보상을 위한 장치, 방법 및 시스템
JP6279535B2 (ja) プラズマ処理システムを制御するための方法および装置
US10748748B2 (en) RF impedance model based fault detection
JP4718093B2 (ja) 複合セグメント電極に供給される電力を制御するための方法並びにシステム
US9754769B2 (en) Metrology methods to detect plasma in wafer cavity and use of the metrology for station-to-station and tool-to-tool matching
JP4928817B2 (ja) プラズマ処理装置
US11956883B2 (en) Methods and apparatus for controlling RF parameters at multiple frequencies
JP2015029093A5 (ko)
Sobolewski Measuring the ion current in electrical discharges using radio-frequency current and voltage measurements
KR20180065004A (ko) 챔버 매칭 및 모니터링을 위한 방법 및 시스템
KR102190926B1 (ko) 기판 상태 및 플라즈마 상태를 측정하기 위한 측정 시스템
KR102190925B1 (ko) 챔버 간 매칭 모니터링 시스템
KR20130139187A (ko) 플라즈마 프로세싱 시스템에서 방위각 불균일성을 검출하는 방법들 및 장치
CN114008749A (zh) 用于补偿射频功率损耗的系统和方法
US11961711B2 (en) Radio frequency match network and generator
KR20150083800A (ko) 가상 계측을 위한 케이블 전력 손실 결정
TWI677264B (zh) 基於射頻阻抗模型之故障檢測
TW459326B (en) Method to measure the spacing between two electrodes by spectrum measurement and device thereof
KR101553664B1 (ko) 플라즈마를 이용한 기판 처리 장치 및 이의 전력 조절 방법
TW202420384A (zh) 半導體製造設備中的電漿偵測
JP2006012424A (ja) プラズマ測定方法及びプラズマ測定装置

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant