KR101047971B1 - 적응형 다변량 해석을 이용한 프로세싱 시스템의 진단 방법및 장치 - Google Patents

적응형 다변량 해석을 이용한 프로세싱 시스템의 진단 방법및 장치 Download PDF

Info

Publication number
KR101047971B1
KR101047971B1 KR1020067005122A KR20067005122A KR101047971B1 KR 101047971 B1 KR101047971 B1 KR 101047971B1 KR 1020067005122 A KR1020067005122 A KR 1020067005122A KR 20067005122 A KR20067005122 A KR 20067005122A KR 101047971 B1 KR101047971 B1 KR 101047971B1
Authority
KR
South Korea
Prior art keywords
data
delete delete
processing system
adaptive
statistics
Prior art date
Application number
KR1020067005122A
Other languages
English (en)
Other versions
KR20060123098A (ko
Inventor
케빈 앤드류 챔니스
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20060123098A publication Critical patent/KR20060123098A/ko
Application granted granted Critical
Publication of KR101047971B1 publication Critical patent/KR101047971B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0218Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterised by the fault detection method dealing with either existing or incipient faults
    • G05B23/0224Process history based detection method, e.g. whereby history implies the availability of large amounts of data
    • G05B23/024Quantitative history assessment, e.g. mathematical relationships between available data; Functions therefor; Principal component analysis [PCA]; Partial least square [PLS]; Statistical classifiers, e.g. Bayesian networks, linear regression or correlation analysis; Neural networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Data Mining & Analysis (AREA)
  • Power Engineering (AREA)
  • Artificial Intelligence (AREA)
  • Evolutionary Computation (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Algebra (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Databases & Information Systems (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Computational Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing And Monitoring For Control Systems (AREA)
  • General Factory Administration (AREA)

Abstract

본 발명은 반도체 제조 공정 동안 기판을 처리하기 위한 프로세싱 시스템을 모니터링하는 방법 및 장치에 관한 것이다. 그것만으로, 데이터는 복수 회의 관측을 위한 프로세싱 시스템으로부터 취득되며(단계 510), 상기 데이터는 복수 개의 데이터 파라미터를 포함한다. 주요 성분 해석(PCA: Principal Components Analysis) 모델은 상기 데이터로부터 구성되며, 센터링 계수를 포함한다(단계 520). 추가 데이터는 프로세싱 시스템으로부터 취득되며(단계 550), 추가 데이터는 복수 개의 데이터 파라미터의 추가 관측을 포함한다. 상기 센터링 계수는 PCA 모델의 데이터 파라미터 각각을 위한 업데이트된 적응형 센터링 계수를 산출하기 위해 조정된다. 상기 업데이트된 적응형 센터링 계수는 PCA 모델에서 데이터 파라미터 각각에 적용된다(단계 560). 적어도 하나의 통계량이 PCA 모델을 이용하여 추가 데이터로부터 결정된다(단계 560). 제어 한도가 통계량에 대해 설정되며(단계 570), 통계량과 비교된다(단계 580).

Description

적응형 다변량 해석을 이용한 프로세싱 시스템의 진단 방법 및 장치{METHOD AND SYSTEM OF DIAGNOSING A PROCESSING SYSTEM USING ADAPTIVE MULTIVARIATE ANALYSIS}
본 발명은 주요 성분 해석(PCA: Principal Components Analysis)을 이용하여 프로세싱 시스템을 진단하는 방법에 관한 것으로, 보다 구체적으로 말하면 업데이트된 PCA의 사용에 관한 것이다.
반도체 제조에서와 같이 물질 프로세싱 시스템(material processing system)의 모델링과 제어는 역사적으로 매우 도전적인 임무로 여겨져 왔다. 물질 프로세싱 시스템은 통상적으로 다양한 프로세스법으로 작동하며, 독특한 화학적, 기계적, 전기적인 특징을 각각 지닌 생산물을 산출한다. 물질 프로세싱 시스템은 또한 주요 부품들을 세척하고 교체해야 하는 유지 보수 사이클을 빈번히 실시해야 되고, 간헐적으로 문제점이 발생할 때 그 시스템은 새로운 하드웨어 디자인으로 어드레스 된다. 추가적으로, 그 시스템의 성능과 직접 연관된 기판 품질의 계량이 없는 특별한 프로세스 단계가 존재한다. 일관된 도량법은 없으나, 이들 측정은 지연되고, 종종 모든 기판을 측정하지 못하게 된다. 이는 이미 단순한 툴을 이용하여 모델하기 어려운 복잡한 프로세싱 시스템에 문제를 유발하는 원인이 된다.
소정의 모델에서 프로세싱 시스템의 거동을 획득하기 위한 하나의 접근법으로는 주요 성분 해석(PCA) 등의 다변량 해석(MVA; multivariate analysis)을 프로세싱 시스템 데이터에 적용하는 방법이 있다. 그러나, 트레이스 데이터의 변화뿐만 아니라 프로세스 시스템 드리프트(drift)로 인해, 정적 PCA 모델은 광범위에 걸쳐 단일 프로세싱 시스템의 모니터링을 가능하게 만들기에는 불충분하다. 추가적으로, 하나의 프로세싱 시스템을 위해 개발한 모델은 다른 프로세싱 시스템으로, 예컨대 하나의 식각 처리 챔버에서 동일한 디자인의 다른 식각 처리 챔버로 이월될 수 없다.
본 발명의 목적은 전술한 문제점 혹은 종래 기술이 갖는 다른 문제점들 중 일부 혹은 전부를 해결 또는 경감시키는 데 있다.
본 발명의 다른 목적은 광범위에 걸쳐 단일 프로세싱 시스템의 모니터링을 가능하게 만드는 확고한 PCA 모델을 제공하는 데 있다.
본 발명의 또 다른 목적은 하나 이상의 프로세싱 시스템에 유용하게 적용할 수 있는 확고한 PCA 모델을 제공하는 데 있다.
본 발명의 이러한 목적 및 다른 목적들은 본 발명에 따른 적응형 다변량 해석을 이용한 프로세싱 시스템의 진단 방법 및 장치에 의해 달성된다.
본 발명의 하나의 양태에 따르면, 반도체 제조 공정 동안 기판을 처리하기 위한 프로세싱 시스템을 모니터링하는 방법이 제공된다. 이 방법은 복수 회의 관측 동안 프로세싱 시스템으로부터 복수 개의 데이터 파라미터를 포함하는 데이터를 취득하는 단계; 센터링 계수를 포함하는 데이터로부터 주요 성분 분석(PCA) 모델을 구성하는 단계; 프로세싱 시스템으로부터 복수 개의 데이터 파라미터의 추가적인 관측을 포함하는 추가 데이터를 취득하는 단계; PCA 모델에서 데이터 파라미터 각각에 대한 업데이트된 적응형 센터링 계수를 산출하기 위해 센터링 계수를 조정하는 단계; 업데이트된 적응형 센터링 계수를 PCA 모델 내에서 데이터 파라미터 각각에 적용하는 단계; PCA 모델을 사용하여 추가 데이터로부터 하나 이상의 통계량을 결정하는 단계; 하나 이상의 통계량에 대한 제어 한계값을 설정하는 단계; 그리고 하나 이상의 통계량을 제어 한계값과 비교하는 단계를 포함한다. 추가적으로, 상기 방법은 PCA 모델에 대한 스케일링 계수를 결정하는 단계; PCA 모델에서 데이터 파라미터 각각에 대하여 업데이트된 적응형 스케일링 계수를 산출하기 위해 스케일링 계수를 조정하는 단계; 그리고 업데이트된 적응형 스케일링 계수를 PCA 모델 내에서 데이터 파라미터 각각에 적용하는 단계를 더 포함할 수 있다.
본 발명의 또 하나의 양태에 따르면, 반도체 제조 공정 동안 기판을 처리하기 위한 프로세싱 시스템을 모니터링하는 주요 성분 분석(PCA) 모델이 제공되며, 이 모델은 주어진 데이터 파라미터의 현재 관측 동안 각각의 데이터 파라미터에 대한 적응형 센터링 계수를 포함하며, 상기 적응형 센터링 계수는 적응형 센터링 계수의 이전값(old value)과 상기 현재 관측에 대한 데이터 파라미터의 현재값을 조합하며, 상기 이전값은 현재 관측에 앞서 복수 회의 관측 동안 데이터 파라미터의 평균값을 포함한다. 추가적으로, 상기 PCA 모델은 주어진 데이터 파라미터의 현재 관측 동안 각각의 데이터 파라미터에 대한 적응형 스케일링 계수를 더 포함할 수 있으며, 상기 적응형 스케일링 계수는 정확한 귀납적 표준편차 공식의 적용을 포함하며, 그 공식은 적응형 스케일링 계수의 이전값과, 현재 관측에 대한 각각의 데이터 파라미터의 현재값과, 적응형 센터링 계수의 이전값을 조합하며, 적응형 센터링 계수의 이전값은 상기 현재 관측에 앞서 복수 회의 관측 동안 데이터 파라미터의 표준편차를 포함하며, 적응형 센터링 계수의 이전값은 현재 관측에 앞서 복수 회의 관측 동안 데이터 파라미트의 평균값을 포함한다.
추가적으로, 본 발명의 또 다른 양태에 있어서, 반도체 제조 공정 동안 기판을 처리하기 위한 프로세싱 시스템이 제공되며, 이 시스템은, 프로세스 툴과, 프로세스 툴에 결합되는 동시에 프로세스 툴에 결합된 복수 개의 센서와, 복수 개의 센서 및 상기 프로세스 툴에 결합된 컨트롤러를 구비하는 프로세스 성능 모니터링 시스템을 포함하며, 상기 컨트롤러는, 복수 회의 관측 동안 상기 복수 개의 센서로부터 복수 개의 데이터 파라미터를 포함하는 데이터를 취득하는 수단; 센터링 계수를 포함하는 데이터로부터 주요 성분 분석(PCA) 모델을 구성하는 수단; 복수 개의 센서로부터 추가 데이터를 취득하는 수단; 데이터 파라미터 각각에 대한 업데이트된 적응형 센터링 계수를 산출하기 위해 상기 센터링 계수를 조정하는 수단; 업데이트된 적응형 센터링 계수를 PCA 모델 내에서 상기 데이터 파라미터 각각에 적용하는 수단; PCA 모델을 사용하여 추가 데이터로부터 하나 이상의 통계량을 결정하는 수단; 하나 이상의 통계량에 대한 제어 한계값을 설정하는 수단; 및 하나 이상의 통계량을 제어 한계값과 비교하는 수단을 포함한다. 추가적으로, 상기 프로세싱 시스템은 PCA 모델에 대한 스케일링 계수를 결정하는 수단; PCA 모델에서 데이터 파라미터 각각에 대하여 업데이트된 적응형 스케일링 계수를 산출하기 위해 스케일링 계수를 조정하는 수단; 업데이트된 적응형 스케일링 계수를 PCA 모델 내에서 데이터 파라미터 각각에 적용하는 수단을 더 포함할 수 있다.
본 발명의 또 다른 양태에 있어서, 반도체 제조 공정 동안 기판을 처리하기 위한 프로세싱 시스템을 모니터하기 위한 프로세싱 성능 모니터링 시스템이 제공되며, 상기 시스템은 프로세싱 시스템에 결합된 복수 개의 센서; 복수 개의 센서와 프로세싱 시스템에 결합된 컨트롤러를 포함하며, 상기 컨트롤러는, 복수 회의 관측 동안 복수 개의 센서로부터 복수 개의 데이터 변수를 포함하는 데이터를 취득하는 수단; 복수 회의 관측 동안 복수 개의 센서로부터 복수 개의 데이터 파라미터를 포함하는 데이터를 취득하는 수단; 센터링 계수를 포함하는 데이터로부터 주요 성분 분석(PCA) 모델을 구성하는 수단; 복수 개의 센서로부터 추가 데이터를 취득하는 수단; 데이터 파라미터 각각에 대하여 업데이트된 센터링 계수를 산출하기 위해 센터링 계수를 조정하는 수단; 업데이트된 적응형 센터링 계수를 PCA 모델 내에서 데이터 파라미터 각각에 적용하는 수단; PCA 모델을 사용하여 추가 데이터로부터 하나 이상의 통계량을 결정하는 수단; 하나 이상의 통계량에 대한 제어 한계값을 설정하는 수단; 하나 이상의 통계량을 상기 제어 한계값과 비교하는 수단을 포함한다. 추가적으로, 상기 프로세싱 시스템은 PCA 모델에 대한 스케일링 계수를 결정하는 수단; PCA 모델에서 데이터 파라미터 각각에 대하여 업데이트된 적응형 스케일링 계수를 산출하기 위해 스케일링 계수를 조정하는 수단; 업데이트된 적응형 스케일링 계수를 PCA 모델 내에서 데이터 파라미터 각각에 적용하는 수단을 더 포함할 수 있다.
본 발명의 또 다른 양태에 있어서, 반도체 제조 공정 동안 기판을 처리하기 위한 제1 프로세싱 시스템을 모니터링하는 방법이 제공된다. 이 방법은 복수 회의 관측 동안 제2 프로세싱 시스템으로부터 복수 개의 데이터 파라미터를 포함하는 데이터를 취득하는 단계; 센터링 계수를 포함하는 제2 프로세싱 시스템을 위한 데이터로부터 주요 성분 분석(PCA) 모델을 구성하는 단계; 제1 프로세싱 시스템으로부터 복수 개의 데이터 파라미터의 추가적인 관측을 포함하는 추가 데이터를 취득하는 단계; PCA 모델에서 데이터 파라미터 각각에 대하여 업데이트된 적응형 계수를 산출하기 위해 센터링 계수를 조정하는 단계; 업데이트한 적응형 센터링 계수를 PCA 모델 내에서 데이터 파라미터 각각에 적용하는 단계; PCA 모델을 사용하여 추가 데이터로부터 하나 이상의 통계량을 결정하는 단계; 하나 이상의 통계량에 대한 제어 한계값을 설정하는 단계; 하나 이상의 통계량을 제어 한계값과 비교하는 단계를 포함한다. 추가적으로, 상기 방법은 PCA 모델에 대한 스케일링 계수를 결정하는 단계; PCA 모델에서 데이터 파라미터 각각에 대한 업데이트한 적응형 스케일링 계수를 산출하기 위해 스케일링 계수를 조정하는 단계; 업데이트한 적응형 스케일링 계수를 PCA 모델 내에서 데이터 파라미터 각각에 적용하는 단계를 더 포함할 수 있다.
본 발명의 또 다른 양태에 따르면, 프로세싱 시스템에서 복수 개의 기판 실행 동안 일어나는 프로세스 폴트를 분류하기 위한 방법이 제공된다. 상기 방법은 복수 회의 기판 실행에서 각각의 기판 실행 동안 프로세싱 시스템으로부터 복수 개의 데이터 파라미터를 모니터링하는 단계; 다변량 해석을 사용하여 복수 회의 기판 실행에서 프로세스 폴트가 일어나는 폴트 기판 실행을 식별하는 단계; 폴트 기판 실행을 선행한 제1 기판 실행을 선택하는 단계; 제1 기판 실행 동안 복수 개의 데이터 파라미터 각각에 대한 복수 개의 제1 평균값을 계산하는 단계; 폴트 기판 실행에 후속하는 제2 기판 실행을 선택하는 단계; 제2 기판 실행 동안 복수 개의 데이터 파라미터 각각에 대한 복수 개의 제2 평균값을 계산하는 단계; 복수 개의 제2 평균값과 복수 개의 데이터 파라미터 각각에 대한 복수 개의 제1 평균값 간의 복수 개의 차의 절대값을 결정하는 단계; 제1 기판 실행과 제2 기판 실행 중 하나 이상의 실행 동안 복수 개의 데이터 파라미터 각각에 대한 복수 개의 표준 편차를 계산하는 단계; 복수 개의 데이터 파라미터 각각에 대한 복수 개의 표준 편차에 의해 복수 개의 차를 표준화하는 단계; 표준화된 차의 최대값을 결정하는 단계; 상기 차의 최대값과 일치하는 복수 개의 데이터 파라미터들 중 데이터 파라미터를 식별하는 단계를 포함한다.
본 발명의 또 다른 양태에 따르면, 프로세싱 시스템에서 복수 개의 기판 실행 동안 일어나는 프로세스 폴트를 분류하기 위한 방법이 제공된다. 이 방법은 복수 회의 기판 실행에서 각각의 기판 실행 동안 상기 프로세싱 시스템으로부터 복수 개의 데이터 파라미터를 모니터링하는 단계; 다변량 해석을 사용하여 복수 회의 기판 실행에서 프로세스 폴트가 일어나는 폴트 기판 실행을 식별하는 단계; 폴트 기판 실행을 선행한 제1 기판 실행을 선택하는 단계; 제1 기판 실행 동안 복수 개의 데이터 파라미터 각각에 대한 복수 개의 제1 표준편차를 계산하는 단계; 폴트 기판 실행에 후속하는 제2 기판 실행을 선택하는 단계; 제2 기판 실행 동안 복수 개의 데이터 파라미터 각각에 대한 복수 개의 제2 평균값을 계산하는 단계; 복수 개의 제2 표준편차와 복수 개의 데이터 파라미터 각각에 대한 복수 개의 제1 표준편차 간의 복수 개의 차의 절대값을 결정하는 단계; 제1 기판 실행과 제2 기판 실행 중 하나 이상의 실행 동안 복수 개의 데이터 파라미터 각각에 대한 복수 개의 표준 편차를 계산하는 단계; 복수 개의 데이터 파라미터 각각에 대한 복수 개의 표준 편차에 의해 복수 개의 차를 표준화하는 단계; 표준화된 차의 최대값을 결정하는 단계; 차의 최대값과 일치하는 복수 개의 데이터 파라미터들 중 데이터 파라미터를 식별하는 단계를 포함한다.
첨부 도면에 있어서,
도 1은 본 발명의 양호한 실시 형태에 따른 물질 프로세싱 시스템을 도시한 도면이다.
도 2는 본 발명의 하나의 실시 형태에 따른 물질 프로세싱 시스템을 도시한 도면이다.
도 3은 본 발명의 또 하나의 실시 형태에 따른 물질 프로세싱 시스템을 도시한 도면이다.
도 4는 본 발명의 또 다른 실시 형태에 따른 물질 프로세싱 시스템을 도시한 도면이다.
도 5는 본 발명의 추가 실시 형태에 따른 물질 프로세싱 시스템을 도시한 도 면이다.
도 6a는 정적 센터링과 스케일링 계수를 이용하는 예시적인 계산된 Q-통계를 나타내는 그래프이다.
도 6b는 초기의 500개 기판에 후속하는 적응형 센터링과 스케일링 계수를 이용하는 예시적인 계산된 Q-통계를 나타내는 그래프이다.
도 7은 예시적인 Q 분포도를 도시한 그래프이다.
도 8은 2개의 데이터 변수에 대한 예시적인 요약 통계를 나타낸 그래프이다.
도 9a는 2개의 기판 범위에 대한 예시적인 모델의 평균 운동 계량도를 나타낸 그래프이다.
도 9b는 도 9a의 운동 계량도에서 가장 높은 수치에 대한 예시적인 요약통계를 나타낸 그래프이다.
도 10은 제2 프로세싱 시스템에 적용된 정적 센터링과 스케일링 계수를 사용하는 예시적인 계산된 Q-통계를 나타내는 그래프이다.
도 11은 제2 프로세싱 시스템에 적용된 적응형 센터링과 스케일링 계수를 사용하는 예시적인 계산된 Q-통계를 나타내는 그래프이다.
도 12는 본 발명의 여러 실시예를 실시하기 위한 컴퓨터 시스템을 도시한 도면이다.
도 13은 본 발명의 실시 형태에 따른 프로세싱 시스템을 모니터링하는 방법을 도시한 도면이다.
본 발명의 일실시예에 따르면, 프로세스 툴(10)과 프로세스 성능 모니터링 시스템(100)을 포함하는 물질 프로세싱 시스템(1)이 도 1에 도시되어 있다. 프로세스 성능 모니터링 시스템(100)은 복수 개의 센서(50)와 컨트롤러(55)를 포함한다. 그 대안으로, 물질 프로세싱 시스템(1)은 복수 개의 프로세스 툴(10)을 포함할 수 있다. 센서(50)는 툴 데이터를 측정하기 위해 프로세스 툴(10)에 결합되어 있고, 컨트롤러(55)는 툴 데이터를 수용하기 위해 센서(50)에 결합되어 있다. 그 대안으로, 컨트롤러(55)는 프로세스 툴(10)에도 결합될 수 있다. 더욱이, 상기 컨트롤러(55)는 (툴) 데이터 파라미터를 사용하여 프로세싱 시스템(1)의 성능을 모니터하도록 구성되어 있다. 프로세스 성능은 예컨대, 프로세스 폴트의 검출을 포함할 수 있다.
도 1에 도시된 실시예에 있어서, 물질 프로세싱 시스템(1)은 물질 프로세싱을 위한 플라스마를 이용한다. 양호하게는, 상기 물질 프로세싱 시스템(1)은 식각 챔버를 포함한다. 그 대안으로, 물질 프로세싱 시스템(1)은 예컨대, 포토레지스트 스핀 코팅 시스템 등의 포토레지스트 코팅 챔버; 예컨대, 자외선(UV) 리소그래피 시스템 등의 포토레지스트 패터닝 챔버; 예컨대, 스핀-온-글라스(SOG) 혹은 스핀-온-유전체(SOD)시스템 등의 유전체 코팅 챔버; 예컨대 화학 증착(CVD) 시스템 혹은 물리 증착(PVD) 시스템 등의 증착 챔버; 예컨대 열 어닐링을 위한 RTP 시스템 등의 급속 열 프로세싱(RTP) 챔버; 혹은 배치-프로세싱 수직형 노를 포함한다.
도 2에 도시된 본 발명의 예시된 실시예에 따르면, 물질 프로세싱 시스템(1)은 프로세스 툴(10), 처리될 기판(25)이 그 위에 고정될 기판 홀더(20), 가스 주입 시스템(40), 및 진공 펌핑 시스템(58)을 포함한다. 기판(25)은 예컨대, 반도체 기판, 웨이퍼, 혹은 액정 표시 장치(LCD)일 수 있다. 프로세스 툴(10)은 예컨대, 기판(25)의 표면에 인접하는 동시에 플라스마가 가열된 전자와 이온화 가능한 가스 사이에서의 충돌에 의해 형성될 프로세싱 영역(45)에서 플라즈마의 생성을 용이하게 해주도록 구성될 수 있다. 이온화 가능한 가스 혹은 가스 혼합물은 가스 주입 시스템(40)을 매개로 주입되며, 프로세스 압력은 조정된다. 양호하게는, 플라스마는 예정된 물질 처리에 특유한 물질을 생성하기 위해 그리고 기판(25)에 물질의 증착과 기판(25)의 노출면으로부터 물질의 제거 중 하나를 보조하기 위해 사용된다. 예컨대, 컨트롤러(55)는 진공 펌핑 시스템(58)과 가스 주입 시스템(40)을 제어하기 위해 사용될 수 있다.
기판(25)은 예컨대, 기판 홀더(20) 내에 내장된 기판 리프트 핀(도시 생략)에 의해 기판이 수납되고 그 내부의 장치들에 의해 기계식으로 병진 운동되는 곳인 로봇식 기판 이송 시스템을 매개로 슬롯 밸브(도시 생략)와 챔버 급송구(도시 생략)를 통해 프로세스 툴(10) 안팎으로 이송될 수 있다. 기판(25)이 일단 기판 이송 시스템으로부터 수용되면, 그 기판은 기판 홀더(20)의 상측면으로 하강한다.
예컨대, 기판(25)은 정전기 클램핑 시스템(28)을 매개로 기판 홀더(20)에 고정될 수 있다. 더욱이, 기판 홀더(20)는 기판 홀더(20)로부터 열을 수용하고 그 열을 열교환 시스템(도시 생략)으로 전달하거나, 또는 가열시 열교환기 시스템으로부터 열을 전달하는 재순환 냉매 흐름을 갖는 냉각 시스템을 더 포함할 수 있다. 더욱이, 가스는 기판(25)과 기판 홀더(20) 사이의 가스-간극 열 전도를 향상시키기 위해 배면 가스 시스템(26)을 통해 기판의 배면으로 운반될 수 있다. 이러한 시스템은 상승 혹은 감소된 온도에서 기판의 온도 제어가 필요할 때 사용될 수 있다. 예컨대, 기판의 온도 제어는 플라스마로부터 기판(25)으로 운반된 열 플럭스와 기판 홀더(20)로의 전도에 의한 기판(25)으로부터 제거된 열 플럭스의 균형으로 인해 얻어진 정적 온도를 초과하는 온도에서 유용할 수 있다. 다른 실시예에서, 저항식 가열 요소, 혹은 열-전기 가열기/냉각기 등의 가열 요소가 포함될 수 있다.
도 2에 도시된 바와 같이, 기판 홀더(20)는 전극을 포함하며, 그 전극을 통해 무선주파 전력(RF power)은 프로세싱 영역(45)에서 플라스마에 결합된다. 예컨대, 기판 홀더(20)는 임피던스 매치 네트워크(32; impedance match network)를 통해 RF 발생기(30)에서 기판 홀더(20)로 RF 전력의 전달에 의해 RF 전압에서 전기적으로 바이어스(bias)될 수 있다. RF 바이어스는 플라스마의 형성 및 유지를 위해 전자를 가열하는 역할을 할 수 있다. 이러한 구조에 있어서, 시스템은 반응성 이온 식각(RIE; reactive ion etch) 반응기로서 작동할 수 있으며, 거기서 챔버와 상부 가스 주입 전극은 접지면으로서의 역할을 한다. RF 바이어스를 위한 전형적인 주파수는 1MHz 내지 100MHz 범위일 수 있고, 양호하게는 13.56MHz 이다.
그 대안으로, RF 전력은 복수 주파수에서 기판 홀더 전극에 인가될 수 있다. 더욱이, 임피던스 매치 네트워크(32)는 반사된 전력을 최소화시킴으로써 프로세싱 챔버(10) 내에서 플라스마에 RF 전력의 전달을 최대화시키는 역할을 한다. 다양한 매치 네트워크 토폴로지(topology)(예컨대, L-타입, π-타입, T-타입 등)와 자동 제어법이 이용될 수 있다.
도 2를 계속 참조하면, 프로세스 가스는 예컨대, 가스 주입 시스템(40)을 통해 프로세싱 영역(45)으로 도입될 수 있다. 프로세스 가스는 예컨대, 아르곤, CF4 및 O2 등의 가스 혼합물, 혹은 산화 식각용 아르곤, C4F8 및 O2, 혹은 예컨대, O2/CO/Ar/C4F8, O2/CO/Ar/C5F8, O2/CO/Ar/C4F6, O2/Ar/C4F6, N2/H2 등의 다른 화학물을 포함한다. 가스 주입 시스템(40)은 가스 주입 플레넘(도시 생략), 일련의 배플판(도시 생략) 및 멀티-오리피스 샤워헤드 가스 주입판(도시 생략)을 통해 가스 운반 시스템(도시 생략)에서 프로세싱 영역(45)으로 프로세스 가스가 공급되는 샤워헤드를 포함한다.
진공 펌프 시스템(58)은 예컨대, 초당 5000리터 이내의 펌핑 속도를 발휘할 수 있는 터보-분자 진공 펌프(TMP)와, 챔버 압력의 흐름 조절(throttling)하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라스마 식각을 위해 사용된 종래의 플라스마 프로세싱 장치에서, 초당 1000 내지 3000리터의 TMP가 대개 사용된다. TMP는 통상 50mTorr 미만의 저압 프로세싱을 위해 유용하다. 더 높은 압력에서, TMP 펌핑 속도는 현저하게 떨어진다. 고압 프로세싱(즉, 100mTorr 초과)에 있어서, 기계식 부스터 펌프와 건식 초기배기 펌프(roughing pump)가 사용될 수 있다. 더욱이, 챔버 압력을 모니터링하기 위한 장치(도시 생략)이 프로세스 챔버(16)에 결합되어 있다. 압력 측정 장치는 예컨대, MKS 인스트루먼트 인토포레이티드(매사추세츠 앤도버 소재)에서 상업적으로 시판하고 있는 Type 628 Baratron 절대 커패시턴스 마노미터일 수 있다.
도 1에 도시된 바와 같이, 프로세스 성능 모니터링 시스템(100)은 툴 데이터를 측정하기 위해 프로세스 툴(10)에 결합된 복수 개의 센서(50)와 툴 데이터를 수용하기 위해 센서(50)에 결합된 컨트롤러(55)를 포함한다. 센서(50)는 프로세스 툴(10) 내부의 센서와 프로세스 툴(10) 외부의 센서 양자를 포함할 수 있다. 상기 프로세스 툴(10) 내부의 센서는 헬륨 배면 가스압, 헬륨 배면 흐름, 정전기 척(ESC) 전압, ESC 전류, 기판 홀더(20) 온도(혹은 하측 전극(LEL) 온도), 냉매 온도, 상측 전극(UEL) 온도, 전방 RF 전력, 반사된 RF 전력, RF 자가 유도된(self-induced) DC 바이어스, RF 피크-대-피크 전압, 챔버 벽 온도, 프로세스 가스 유량, 프로세스 가스 부분 압력, 챔버 압력, 커패시터 세팅(즉, C1 및 C2 위치), 초점 링 두께, RF 시간, 초점 링 RF 시간, 및 임의의 통계치 측정과 같은 프로세스 툴(10)의 기능과 관련한 그러한 센서를 포함할 수 있다. 그 대안으로, 상기 프로세스 툴(10) 외부의 센서는 도 2에 도시된 바와 같은 프로세싱 영역(45)에서 플라스마로부터 방출된 광을 모니터링 하기 위한 광 검출 장치(34) 또는 도 2에 도시된 바와 같은 프로세스 툴(10)의 전기 시스템을 모니터링 하기 위한 전기 측정 장치(36) 등의 프로세스 툴(10)의 기능과 직접 관련이 없는 센서를 포함할 수 있다.
상기 광 검출 장치(34)는 플라스마로부터 방출된 총 광 세기를 측정하기 위한 (실리콘) 포토다이오드 혹은 포토멀티플라이어 튜브(PMT) 등의 검출기를 포함할 수 있다. 광 검출 장치(34)는 협대역 간섭 필터(narrow-band interference filter) 등의 광 필터를 더 포함할 수 있다. 변형례에 있어서, 광 검출 장치(34)는 라인 CCD(전하 결합 소자) 혹은 CID(전하 인젝션 소자) 어레이와, 격자 혹은 프 리즘 등의 광 분산 장치를 포함한다. 추가적으로, 광 검출 장치(34)는 주어진 파장에서 광을 측정하기 위한 단색화장치(monochromator)(예컨대, 격자/검출기 시스템) 혹은 예컨대, 미국 특허 제5,888,337호에 개시된 장치와 같은 광 스펙트럼을 측정하기 위한 분광계(spectrometer)(예컨대, 회전 격자를 구비한 분광계)를 포함할 수 있다.
광 검출 장치(34)는 피크 센서 시스템스(Peak Sensor Systems)에서 입수 가능한 고해상 OES 센서를 포함할 수 있다. 이러한 OES 센서는 자외선(UV), 가시광선(VIS) 및 근적외선(NIR) 광 스펙트럼에 걸쳐 있는 광폭 스펙트럼을 구비한다. 피크 센서 시스템에 있어서, 해상도는 약 1.4암스트롱이며, 다시 말해서 센서는 240 내지 1000nm의 파장 5550을 수집할 수 있다. 피크 센서 시스템에 있어서, 센서에는 2048 픽셀의 선형 CCD 어레이들과 차례로 통합되는 고감도 미소 섬유 광학 UV-VIS-NIR 분광계가 설치되어 있다.
본 발명의 하나의 실시 형태에 따른 분광계는 단일 및 번들식 광 섬유를 통해 전달된 광을 수신하며, 거기서 광 섬유로부터의 광 출력이 고정식 격자를 이용하여 라인 CCD 어레이를 가로질러 분산된다. 전술한 구조와 유사하게, 광 진공 윈도우를 통해 발산하는 광은 구형 볼록렌즈를 경유하여 광 섬유의 입력단으로 집중된다. 주어진 스펙트럼 범위(UV, VIS 및 NIR)에 대해 각각 구체적으로 조율된 3개의 분광계는 프로세스 챔버용 센서를 형성한다. 각각의 분광계는 독립된 A/D 컨버터를 포함한다. 결국, 센서 이용에 따라, 완전 방출 스펙트럼이 매 0.1 내지 1.0초마다 기록될 수 있다.
전기 측정 장치(36)는 예컨대, 전류 및/또는 전압 탐침, 전력 미터, 스펙트럼 분석기를 포함할 수 있다. 예컨대, 플라스마 프로세싱 시스템은 플라스마를 형성하기 위해 종종 RF 전력을 사용하는데, 이 경우 동축 케이블 혹은 구조 등의 RF 전송선이 전기 결합 요소(즉, 유도성 코일, 전극 등)를 통해 RF 에너지를 플라스마에 커플로 잇기 위해 사용된다. 예컨대, 전류-전압 탐침을 사용한 전기 측정은 RF 전송선 내부에서와 같이 전기(RF) 회로 내의 어느 위치에서도 실행될 수 있다. 더욱이, 전압 혹은 전류의 시간 트레이스 등과 같은 전기 신호의 측정은 이산 푸리에 시리즈 표시(Fourier series representation, 주기 신호로 가정)를 사용하여 주파수 공간으로 신호의 전송을 허용한다. 푸리에 스펙트럼(혹은 시간에 따라 변하는 신호에 대한 주파수 스펙트럼)은 물질 프로세싱 시스템(1)의 상태를 특징짓기 위해 모니터 및 분선될 수 있다. 전압-전류 프로브는 예컨대, 2001년 1월 8일자로 출원되어 공동 계류 중인 미국 특허 출원번호 제60/259,862호, 및 미국 특허 제5,467,013호에 상세히 개시된 장치일 수 있으며, 이들 특허는 본 명세서 전반에 걸쳐 참조 문헌으로 인용되고 있다.
변형례에 있어서, 전기 측정 장치(36)는 물질 프로세싱 시스템(1) 외부의 방사된 RF 필드를 측정하기에 유용한 광대역 RF 안테나를 포함할 수 있다. 상업적으로 입수 가능한 광대역 RF 안테나의 예로는 안테나 리서치(Antenna Research)사의 모델 RAM-220(0.1MHz 내지 300MHz)을 들 수 있다.
일반적으로, 복수 개의 센서(50)들은 툴 데이터를 컨트롤러(55)에 공급하기 위해 프로세스 툴(10)에 결합될 수 있는 임의의 수의 내부 및 외부의 센서를 포함 할 수 있다.
컨트롤러(55)는 마이크로프로세서, 메모리, 및 물질 프로세싱 시스템(1)으로부터 나온 출력을 모니터할 뿐만 아니라 물질 프로세싱 시스템(10)으로 들어가는 입력을 통신 및 작동시키기에 충분한 제어 전압을 발생할 수 있는 디지털 I/O 포트(잠재적으로 D/A 및/또는 A/D 컨버터)를 포함한다. 도 2에 도시된 바와 같이, 컨트롤러(55)는 RF 발생기(30), 임피던스 매치 네트워크(32), 가스 주입 시스템(40), 진공 펌프 시스템(58), 배면 가스 운반 시스템(26), 정전기 클램핑 시스템(28), 광 검출 장치(34), 및 전기 측정 장치(36)에 결합되어 그것과 정보 교환을 할 수 있다. 상기 메모리에 저장된 프로그램은 저장된 프로세스법에 따라 물질 프로세싱 시스템의 전술한 성분들과 상호 작용하도록 사용된다. 컨트롤러(55)의 일례로는 텍사스주 오스틴 소재의 델 코프레이션(Dell Corporation)에서 입수 할 수 있는 DELL PRECISION WORKSTATION 530TM 을 들 수 있다. 상기 컨트롤러(55)는 물질 프로세싱 시스템(1)에 대해 국부적으로 배치될 수 있거나, 또는 물질 프로세싱 시스템(1)에 대해 원격적으로 배치될 수 있다. 예컨대, 컨트롤러(55)는 직접 연결, 인트라넷 및 인터넷 중 적어도 하나를 사용하여 데이터를 물질 프로세싱 시스템(1)과 교환할 수 있다. 컨트롤러(55)는 소비자 측(즉, 장비 메이커 등)에서 인트라넷에 결합되거나 또는 판매자 측(즉, 장시 제조업자)에서 인트라넷에 결합될 수 있다. 추가적으로, 예컨대, 컨트롤러(55)는 인터넷에 결합될 수 있다. 더욱이, 또 다른 컴퓨터(즉, 컨트롤러, 서버 등)는 직접 연결, 인트라넷 및 인터넷 중 적어도 하나를 매개로 데이터를 교환하기 위해 컨트롤러(55)에 액세스할 수 있다.
도 3에 도시된 바와 같이, 물질 프로세싱 시스템(1)은 자기장 시스템(60)을 포함할 수 있다. 예컨대, 자기장 시스템(60)은 플라스마 밀도를 잠재적으로 증가 및/또는 물질 균일성을 향상시키기 위해 고정식 혹은 기계식과 전기식 중 하나의 회전 DC 자기장을 포함할 수 있다. 더욱이, 컨트롤러(55)는 자기장 세기 혹은 회전 속도를 조절하기 위해 자기장 시스템(60)에 결합될 수 있다.
도 4에 도시된 바와 같이, 물질 프로세싱 시스템은 상측 전극(70)을 포함할 수 있다. 예컨대, RF 전력은 RF 발생기(72)로부터 임피던스 매치 네트워크(74)를 통해 상측 전극(70)에 결합될 수 있다. 상측 전극에 RF 전력을 인가하기 위한 주파수는 양호하게는 10MHz 내지 200MHz에 속하며, 60MHz가 바람직하다. 추가적으로, 하측 전극에 RF 전력을 인가하기 위한 주파수는 양호하게는 0.1MHz 내지 30MHz에 속하며, 2MHz가 바람직하다. 더욱이, 컨트롤러(55)는 상측 전극(70)에 RF 전력의 인가를 제어하기 위해 RF 발생기(72)와 임피던스 매치 네트워크(74)에 결합될 수 있다.
도 5에 도시된 바와 같이, 도 1의 물질 프로세싱 시스템은 유도성 코일(80)을 포함할 수 있다. 예컨대, RF 전력은 RF 발생기(82)로부터 임피던스 매치 네트워크(84)를 통해 유도성 코일(80)에 결합될 수 있고, RF 전력은 유도성 코일(80)로부터 유전체 윈도우(도시 생략)를 통해 플라스마 프로세싱 영역(45)으로 유도식 결합될 수 있다. 유도성 코일(80)에 RF 전력을 인가하기 위한 주파수는 양호하게는 10MHz 내지 100MHz에 속하며, 13.56MHz가 바람직하다. 이와 유사하게, 척 전극에 전력을 인가하기 위한 주파수는 양호하게는 0.1MHz 내지 30MHz에 속하며, 13.56MHz가 바람직하다. 추가적으로, 슬롯형 패러데이 실드(slotted Faraday shield; 도시 생략)는 유도성 코일(80)과 플라스마 사이의 용량성 결합을 줄이기 위해 사용될 수 있다. 더욱이, 컨트롤러(55)는 유도성 코일(80)에 전력의 인가를 제어하기 위해 RF 발생기(82)와 임피던스 매치 네트워크(84)에 결합될 수 있다. 변형례에서, 유도성 코일(80)은 트랜스 결합 플라스마(TCP) 반응기와 마찬가지로 위로부터 플라스마 프로세싱 영역(45)과 연통된 상태로 있는 "나선형" 코일 혹은 "팬케익"형 코일일 수 있다.
그 대안으로, 플라스마는 전자 사이클로트론 공명(ECR)을 사용하여 형성될 수 있다. 다른 실시예에 있어서, 플라스마는 헬리콘파(Helicon wave)의 발진으로부터 형성된다. 또 다른 실시예에서, 플라스마는 표면파의 전파로부터 형성된다.
전술한 바와 같이, 프로세스 성능 모니터링 시스템(100)은 센서(50)가 프로세스 툴(10)에 결합되어 있고 컨트롤러(55)가 툴 데이터를 수용하도록 센서(50)에 결합되어 있는 복수 개의 센서(50)와 컨트롤러(55)를 포함한다. 컨트롤러(55)는 센서(50)로부터 수용된 툴 데이터를 최적화하고, 툴 데이터 사이의 관계(모델)를 결정하며, 그리고 폴트 검출을 위한 관계(모델)를 사용하기 위해 적어도 하나의 알고리즘을 실행할 수 있다.
현저한 수의 변수를 포함하는 대량 세트의 데이터가 있는 경우, 다변량 해석(MVA; multivariate analysis)이 종종 적용된다. 예컨대, 하나의 전술한 MVA 기술은 주요 성분 해석(PCA: Principal Components Analysis)을 포함한다. PCA에서, 모델은 대량 세트의 데이터로부터 다차원 변수 공간에서 가장 큰 분산을 나타내는 신호를 추출하기 위해 조립될 수 있다.
예컨대, 주어진 기판 실행(run)에서 혹은 인스턴트 인 타임(instant in time)에서 각 세트의 데이터 파라미터는 행렬
Figure 112010082815829-pct00001
의 행으로서 저장될 수 있으며, 이에 따라 행렬
Figure 112010082815829-pct00002
가 집합되면 각 행은 상이한 기판 실행 혹은 인스턴트 인 타임(혹은 관측)을 나타내며, 각각의 열은 복수 개의 센서(50)에 일치하는 상이한 데이터 파라미터(혹은 데이터 변수)를 나타낸다. 따라서 행렬
Figure 112010082815829-pct00003
는 q ×r 크기의 직사각형 행렬이며, 여기서 q는 행 크기를 그리고 r은 열 크기를 각각 나타낸다. 데이터가 일단 행렬에 저장되면, 데이터는 보통 평균-센터링(mean-centering) 및/또는 표준화(normalization) 된다. 행렬 열에 저장된 데이터를 평균-센터링하는 절차는 열 원소의 평균치를 계산하는 것과, 각 원소로부터 평균치를 빼는 것을 포함한다. 더욱이, 행렬의 열에 귀속하는 데이터는 열 데이터의 표준 편차를 결정함으로써 표준화 될 수 있다. 예컨대, PCA 모델은 2002년 5월 16일자의 "프로세스 시스템 헬스 인덱스 및 그것의 사용 방법"이라는 제목의 미국 가출원 제60/470,901호에 개시된 것과 유사하게 구성될 수 있다. 이 출원의 전체 내용은 본 명세서 참조로 인용되어 있다.
PCA 기술을 사용하여, 행렬
Figure 112006017633516-pct00004
내의 상호 관계 구조는 낮은 차원의 행렬 곱
Figure 112006017633516-pct00005
를 에러 행렬
Figure 112006017633516-pct00006
에 더하여 행렬
Figure 112006017633516-pct00007
의 근사치를 냄으로써 정해진다. 즉,
Figure 112006017633516-pct00008
여기서,
Figure 112006017633516-pct00009
"i"는 i번째 행을 나타내고, "j"는 j번째 열을 나타내며, 첨자 "M"은 평균치를 나타내고, σ는 표준 편차를 나타내며,
Figure 112006017633516-pct00010
는 원 데이터이며,
Figure 112006017633516-pct00011
Figure 112006017633516-pct00012
-변수를 요약하는 스코어의 (q×p) 행렬이고, 그리고
Figure 112006017633516-pct00013
는 변수의 영향을 보여주는 로딩 메트릭스(r×p, 여기서 p≤r)이다.
일반적으로, 로딩 행렬
Figure 112006017633516-pct00014
Figure 112006017633516-pct00015
의 공분산 행렬의 고유벡터를 포함하도록 표시될 수 있으며, 여기서 공분산 행렬
Figure 112006017633516-pct00016
는 아래의 수학식 2로 나타낼 수 있다.
Figure 112006017633516-pct00017
공분산 행렬
Figure 112006017633516-pct00018
는 실수의 대칭 행렬이며; 따라서 공분산 행렬은 아래의 수학식 3과 같이 표현될 수 있다.
Figure 112006017633516-pct00019
여기서, 실수의 대칭 고유벡터 행렬
Figure 112006017633516-pct00020
는 열로서 표준화된 고유벡터를 포함하며,
Figure 112006017633516-pct00021
은 대각선을 따라 각각의 고유벡터와 일치하는 고유값을 포함한다. 수학 식 1a 및 수학식 3을 사용하면(p=r의 완전 행렬에 대해; 즉 에러 행렬이 없음), 다음 관계를 알 수 있다. 즉,
Figure 112006017633516-pct00022
그리고
Figure 112006017633516-pct00023
전술한 고유분석의 결과에 따르면, 각각의 고유값은 n차원의 공간 내에서 대응하는 고유벡터의 방향으로 데이터의 변동을 나타낸다. 따라서, 가장 큰 고유값은 다차원 공간 내에서 데이터의 가장 큰 변동에 해당하는 반면에 가장 작은 고유값은 데이터에서 가장 작은 변동을 나타낸다. 정의에 의하며, 모든 고유벡터들은 직교하기 때문에, 두 번째로 가장 큰 고유값은 물론 첫 번째 고유벡터의 방향에 수직한 대응하는 고유벡터의 방향으로 데이터의 두 번째로 가장 큰 변동과 일치한다. 일반적으로, 이러한 분석에 따르면, 첫 번째로 가장 큰 몇몇(3 내지 4, 혹은 그 이상) 고유값들은 데이터의 근사값을 매기기기 위해 선택되고, 그 결과로서 에러
Figure 112006017633516-pct00024
는 수학식 1a의 표시식에 도입된다. 요약하자면, 고유값들 및 이들의 대응하는 고유벡터 세트가 일단 정해지면, 가장 큰 고유값 세트는 선택될 수 있고, 수학식 1a의 에러 행렬
Figure 112006017633516-pct00025
가 정해질 수 있다.
PCA 모델링을 지원하는 상업적으로 입수 가능한 소프트웨어의 일례로는 MATLABTM(매사추세츠 나틱 소재의 더 매스웍스 인코포레이티드(The Mathworks, Inc.)사의 제품)과 PLS Toolbox(워싱턴주 맨손 소재의 아이젠벡터 리서치 인코포레이티드(Eigenvector Research, Inc.)사의 제품)이 있다.
추가적으로, PCA 모델이 설정되면, MATLABTM 등의 상업적인 입수 가능한 소프트웨어가 출력으로서 관측을 위한 Hotelling T2 파라미터 혹은 Q-통계 등의 다른 통계적 량을 더 생성할 수 있다. 관측을 위한 Q-통계는 다음과 같이 계산될 수 있다.
Figure 112006017633516-pct00026
여기서,
Figure 112006017633516-pct00027
그리고,
Figure 112006017633516-pct00028
는 적절한 크기의 항등원 행렬이다. 예컨대, PCA 모델(행렬
Figure 112006017633516-pct00029
로딩 등)은 데이터의 세트의 "트레이닝(training)"을 사용하여 구성될 수 있다(즉, 몇 회의 관측에 대한
Figure 112006017633516-pct00030
집합 및 MATLABTM를 사용하여 PCA 모델 결정). 일단 PCA 모델이 구성되면, PCA 모델 상으로 새로운 관측의 투영은 수학식 1a와 같이 잔여 행렬
Figure 112006017633516-pct00031
를 결정하기 위해 사용될 수 있다.
이와 유사하게, Hotelling T2는 다음과 같이 계산될 수 있다.
Figure 112006017633516-pct00032
여기서,
Figure 112006017633516-pct00033
그리고, Tia는 i번째 관측(기판 실행, 인스턴트 인 타임, 등; 즉 i=1 내지 q)과 a번째 모델 크기(즉, a=1 내지 p)에 대한 스코어이며(수학식 7b로부터 계산), s2 ta
Figure 112006017633516-pct00034
a의 변동이다. 예컨대, PCA 모델(행렬
Figure 112006017633516-pct00035
로딩 등)은 데이터의 세트의 "트레이닝(training)"을 사용하여 구성될 수 있다(즉, 몇 회의 관측에 대한
Figure 112006017633516-pct00036
집합 및 MATLABTM를 사용하여 PCA 모델 결정). 일단 PCA 모델이 구성되면, PCA 모델 상으로 새로운 관측의 투영은 새로운 스코어 행렬
Figure 112006017633516-pct00037
를 결정하기 위해 사용될 수 있다.
통상적으로, Q-통계 혹은 Hotelling T2와 같은 통계적 량은 프로세스를 위해 모니터링 되고, 이러한 양이 예정된 제어 한계를 초과할 때, 프로세스에 대한 폴트가 검출된다.
도 6a에는 프로세스의 Q-통계(Q-인자)를 모니터하여 프로세스 내의 폴트를 검출하기 위해 종래의 PCA 모델의 사용 예가 도시되어 있다. 도 6a의 예에 있어서, 모델은 C4H8/CO/Ar+O2를 주성분으로 하는 화합물을 이용하여 패턴화된 산화물의 식각을 행하는 Unity II DRM(Dipole Ring Magnet) CCP(용량적 결합 플라스마) 프로세싱 시스템(도쿄 일렉트론 리미티드사로부터 상업적으로 입수 가능한 시스템; 도 3 참조)으로부터 얻은 프로세스 데이터에 적용된다. 이러한 프로세싱 시스템은 각각의 로트(lot)에서 고정식 프로세스법을 이용하는 배치 모드에서 작동한다. 통상적으로, 단일법은 장치의 제조에서 특정의 프로세스 단계를 위해 하나의 로트에서 다른 로트에서 사용된다. 동일한 프로세싱 시스템은 많은 상이한 장치의 층들과 단계를 위해 그러나 각각의 프로세스 단계를 위해 빈번하게 사용되며, 그 방법은 동일하게 남아 있다.
수집된 데이터 파라미터들은 챔버 압력, 인가된 전력, 다양한 온도, 및 표 1에 나타낸 바와 같이 압력, 전력 및 온도 제어와 관련되는 다른 많은 변수를 포함한다.
상기 예에 사용된 프로세스법은 3개의 주요 단계 즉, 포토레지스트 세척 단계, 주요 식각 단계, 및 포토레지스트 박리 단계를 갖는다. 주요 식각 단계에 적용된 이러한 예의 범주는 전술한 특정 단계 혹은 임의의 특정한 단계에 한정되지 않지 않지만 다른 단계에도 또한 적용될 수 있다.
각각의 프로세스 단계에 대해, 각각의 데이터 파라미터(혹은 툴 변수)에 대 한 시간 트레이스의 관측 평균과 관측 표준 편차는 각각의 기판에 대해 대략 160개의 샘플로부터 계산된다. RF 전력이 증가하게 되는 각각의 데이터 파라미터에 대한 시간 트레이스의 시작 부분은, 켜질 때의 전력에 인한 변동을 없애려는 시도에서 전술한 통계적 계산에서 트림(trim)되었다.
도 6a의 예에서, PCA 모델은 단일 프로세싱 시스템에서 동일한 방법을 사용하여 처음 500개의 기판을 위해 실행되었다. MATLABTM으로 실시된 표준 PCA 방법을 평균 중심 및 단위 변동 스켈링과 함께 사용하였다. 또한, 표준 Q-잔류(SPE) 와 Q 분포를 MATLABTM의 추가 기기로서 아이젠벡터 리서치 인코포레이티드사에 의해 제공된 Eigenvector Research PLS Toolbox를 사용하여 계산하였다.
도 6a의 예에 있어서, PCA 모델을 제1 프로세싱 시스템에서 첫 번째 500개의 기판으로부터 구성하였고, 상기 프로세싱 시스템으로부터 모두 3200개의 기판에 적용하였다. 상기 도면에 도시된 바와 같이, 그 결과로 생긴 Q 통계는 PCA 모델을 만든 후 250개 미만(즉, 기판 수 750)에서의 모델의 95%의 신뢰성을 가진 상한치를 초과하며, 결코 그 레벨 아래로 되돌아가지 않는다. 추가적으로, 이상치(outlier) 및 계단형의 변화가 명확히 나타난다. 따라서, 도 6a에는, 전술한 바와 같이 구성된 종래의 PCA 모델이 Q-통계를 모니터하기 위해 사용될 수 있지만 통계적인 파라미터가 제어 한계를 벗어나 그 아래로 결코 되돌아가지 않는 시간 주기가 존재한다는 것이 도시되어 있다. 실제로, 전술한 임의의 통계(예컨대, Q-통계 혹은 Hotelling T2 파라미터)는 특정의 프로세싱 시스템에서 특정의 프로세스를 위한 주어진 모델을 사용하여 모니터링 될 수 있지만, 궁극적으로는 제어 한계를 벗어나 그 아래로 결코 되돌아가지 않을 것이다. 그 후, 상기 모델은 더 이상 주어진 프로세스와 주어진 프로세싱 시스템에 적용되지 않게 될 것이다.
오랜 프로세스 실행에 걸쳐 PCA 모델의 유용성을 보전하기 위한 여러 가지의 방법들이 공지되어 왔지만, 본 발명의 발명자들은 이러한 방법들이 반도체 제조 프로세스 제어에 상업적으로 응용하기에는 실용적이지 못하다는 것을 발견하였다. 예컨대, 적응형 모델 기술을 사용하여, PCA 모델은 프로세스 동안 실행중의 모델을 업데이트하기 위해 각각의 프로세스 실행과 함께 실제로 다시 구성될 수 있다. 비록 이러한 적응형 모델링법은 일반적으로 통계적 모델링을 주어진 제어 한계치 내에서 안정시킬 수 있지만, 그것은 상업적인 프로세스에 비실용적인 컴퓨터 자원을 필요로 한다.
도 6a의 통계적 모니터링의 유용성을 유지하기 위한 또 다른 기술로는 더 복잡한 제어 한계법을 이용하는 방법이 있다. 구체적으로 말하면, 제어 한계치는 PCA 모델의 유용성이 저하되는 것을 예측하고 이러한 예측을 기초로 각각의 프로세스 실행에 대해 재설정될 수 있다. 이러한 방법은 PCA 모델의 유용성 저하로 인해 프로세스 조건이 벗어나는 것(out-of process condition)을 방지할 것이지만, 각 프로세스 실행에 따른 제어 한계치를 변화시키는 것은 상업적인 프로세스에 또한 비실용적인 복잡한 방법을 필요로 한다.
따라서, 긴 프로세스 실행에 걸쳐 통계적인 모니터링을 실행 가능하도록 PCA 모델을 적용하기 위한 종래의 방법은 상업적인 프로세스를 위해 실용적이지 못하다는 것이 본 발명의 발명자들에 의해 밝혀졌다. 보다 구체적으로 말하면, 본 발명의 발명자들은, PCP 매트릭스 내에서 데이터의 센터링(centering)과 스케일링(scaling)을 위한 표준 접근법으로는 긴 시간 주기 동안 사용할 수 있는 견고한 모델을 개발할 수 없다는 것을 발견하였다(즉, 상당한 횟수의 기판 실행).
본 발명의 실시예에서, 적응형 다변량 해석이 견고한 PCA 모델을 준비하기 위해 설명될 것이다. 여기서, 센터링과 스케일링 계수는 적응법을 사용하여 업데이트 된다. 각각의 요약통계에 대한 평균치(센터링을 위해 사용)는 아래에 도시된 지수 가중 이동 평균(EWMA: exponentially weighted moving average) 필터 등의 필터를 사용하여 하나의 관측으로부터 다음 관측으로 업데이트 된다.
Figure 112006017633516-pct00038
여기서,
Figure 112006017633516-pct00039
M,j,n은 현재의 실행(혹은 "n" 관측 )에서 j번째 데이터 파라미터의 계산된 모델 평균값("M")을 나타내며,
Figure 112006017633516-pct00040
M,j,n-1은 그 이전의 실행(혹은 "n-1" 관측)에서 j번째 데이터 파라미터의 계산된 모델 평균값("M")을 나타내고,
Figure 112006017633516-pct00041
j,n은 현재의 실행에서 j번째 데이터 파라미터의 현재 값을 나타내며, 그리고 λ는 0 내지 1 범위의 가중 인자이다. 예컨대, λ=1일 때, 각각의 데이터 파라미터를 센터링하기 위해 사용된 모델 평균값은 이전에 사용한 값이며, λ=0일 때, 각각의 데이터 파라미터를 센터링하기 위해 사용된 모델 평균값은 측정한 현재값이다.
각각의 요약통계에 대한 모델의 표준 편차(스케일링을 위해 사용)는 아래의 귀납적 표준 편차 필터를 사용하여 업데이트 된다.
Figure 112006017633516-pct00042
여기서, σX,j,n은 현재의 실행(혹은 "n" 관측 )에서 j번째 데이터 파라미터의 계산된 모델 표준 편차를 나타내며, σX,j,n-1은 그 이전의 실행(혹은 "n-1" 관측)에서 j번째 데이터 파라미터의 계산된 모델 표준 편차를 나타내고, n은 실행(혹은 관측) 회수를 나타내며, 그리고 k는 필터 상수를 나타낸다. 필터 상수 k는 예컨대, N 이하의 상수로 선택될 수 있으며, 여기서 N은 PCA 모델을 구성을 위해 사용된 기판 실행 혹은 관측 수를 나타낸다.
영역 변수 설명


가스 유동 및 압력

PRESSURE 챔버 압력
APC 스로틀 밸브 각도
Ar Ar 유량
C4F8 C4F8 유량
CO CO 유량



전력 및 매칭
RF-FORWARD-LO 하측 전극 전력
C1-POSITION-LO 매칭 네트워크 커패시터 1
C2-POSITION-LO 매칭 네트워크 커패시터 2
MAGNITUDE 매쳐 크기
PHASE 매쳐 상(matcher phase)
RF-VDC-LO 하측 전극 DC 전압
RF-VPP-LO 하측 전극의 피크 대 피크 전압
ES 척 ESC-CURRENT 정전기 척 전류
ESC-VOLTAGE 정전기 척 전압



온도 및 냉각
LOWER-TEMP 하측 전극 온도
UPPER-TEMP 상측 전극 온도
WALL-TEMP 벽 온도
COOL-GAS-FLOW1 He 가장자리 냉각 유량
COOL-GAS-FLOW2 He 중심 유량
COOL-GAS-P1 He 가장자리 냉각 가스압
COOL-GAS-P2 He 중심 냉각 가스압
도 6b에는 본 발명에 따른 적응법을 사용하여 센터링 및 스케일링 계수를 업데이트하는 것만 제외하고 도 6a에 나타낸 Q-통계를 모니터하기 위해 PCA 모델을 사용하는 동일한 예가 나타나 있다. 도면에 도시된 바와 같이, 첫 번째 500개의 웨이퍼 이후, 센터링 및 스케일링 계수가 전술한 적응형 센터링과 스케일링 계수를 사용하여 적응될 때(λ=0.92; k=500), Q-통계 차트는 나머지 기판 모두에 걸쳐 실질적으로 더 안정되고, 데이터는 동일한 제한치 내에서 널리 퍼져 잔류한다. 본 발명의 적응법은 다른 통계적 모니터링법(예컨대, Hotelling T2 파라미터)과 유사한 향상을 제공한다. 따라서, 본 발명에 따른 PCA 모델의 적응은 긴 프로세스 실행 동안 사용될 수 있는 더욱 견고한 PCA 모델이 되도록 해준다.
도 6a와 도 6b를 함께 참조하면, 상당한 크기의 첫 번째 급증(excursion)은 적응형인 경우에서 가장 큰 Q 값을 갖는 실행이며, 이는 기판 1492에서 일어난다. 정적인 경우와 적응형인 경우 양자(도 7 참조)의 잔류 분포도에서, C1-POSITION-LO 평균, RF-VPP-LO 평균, 및 ESC-CURRENT는 극한값(extreme value)이다. 도 8에는 임의로 스케일링된 요약 통계에서 RF-VPP-LO 평균 및 ESC-CURRENT의 데이터 파라미터가 나타나 있다. 이들 3개의 데이터 파라미터는 4개의 점에서의 데이터에서 큰 스파이크의 원인이 되며, 이는 임피던스 매치 네트워크 시스템을 이용하여 나온 결과를 나타낼 수 있다. 이러한 타입의 이상치(outlier)는 도 6a 및 6b의 Q 차트에서 명확히 나타나지만, 적응형 경우에만 모든 시간 동안 한계치(예컨대, 95%의 신뢰성을 가진 상한치)가 변하지 않는다.
또 다른 실시예에서, 센터링 및 스케일링 계수에서의 상대적인 변화가 계산되어, 스텝 요약 통계가 2개의 실행 혹은 관측 사이에서 전환되었다는 것을 오퍼레이터 또는 엔지니어에게 경고하도록 할 수 있다. 각각의 센터링 계수에 있어서, 이러한 계산은 초기 실행에서의 추정치를 최종 실행에서의 추정치로부터 뺀 다음, 초기 실행 동안 스텝 통계의 스케일링을 위해 사용된 표준편차로 각각의 차를 스케일링함으로써 행해진다. 즉,
Figure 112006017633516-pct00043
여기서, M
Figure 112006017633516-pct00044
는 모델 평균 운동 계량이며,
Figure 112006017633516-pct00045
M,j,a는 a번째 기판에서 j번째 데이터 파라미터에 대한 모델 평균값을 나타내고,
Figure 112006017633516-pct00046
M,j,b는 b번째 기판에서 j번째 데이터 파라미터에 대한 모델 평균값을 나타내며, σj,a는 a번째 기판에서 j번째 데이 터 파라미터에 대한 모델 평균값을 나타낸다.
스케일링 계수를 얻기 위해, 다음의 [수학식 11]과 같이, 스텝 통계를 센터링하기 위해 사용된 평균으로 스케일링된 표준편차의 차를 계산한다.
Figure 112006017633516-pct00047
여기서, σj,b는 b번째 기판에서 j번째 데이터 파라미터에 대한 모델 표준편차를 나타낸다.
이들 결과는 그 다음 소정의 주기 동안 가장 큰 상대 변화를 나타낸 변수를 인식하기 위해 파레토 그래프(Pareto chart)로 표시되어 있다. 예컨대, 오퍼레이터는 전형적인 분포도에서의 이러한 보충에 의해 데이터 파라미터 세트에서 전체적인 변화를 통찰할 수 있다. 이와는 대조적으로, 상기 분포도는 특정의 실행에서 국부적인 편차를 표시한다.
도 6a 및 도 6b를 참조하면, 다음 타입의 행정이 입력 요약 데이터의 스텝에서 관측된다. 정적인 경우에서, 이러한 변화의 자동 검출이 매우 어려운 것으로 알고 있었지만, 이들 행정은 Q차트에서 명백하게 나타난다. 적응형 경우에서, Q 통계가 6개 이상의 연속한 기판(기판 1880, 2535, 2683, 2948에서 시작)에서 신뢰성을 갖는 상한치를 위반하게 되는 단지 4주기가 존재한다. 모델 평균 운동 계량이 이들 4 주기의 각각에 대해 계산될 때(그 주기 이전의 기판에서 그 주기 이후의 기판까지), 가장 극한값은 C1-POSITION-LO 평균과 WALL-TEMP 평균에서 각각 기판 1880과 기판 2946에 대해 일어난다. 도 9a에는 모든 데이터 파라미터에 대한 모델 평균 운동 계량과 모델 표준편차 계량이 나타나 있다. 2개의 데이터 파라미터에 대한 임의의 스케일링된 요약 데이터가 도 9b에 표시되어 있다. Q 통계에서 2개의 주요 변화는 이들 2개의 데이터 파라미터에 의해 지배되는 것으로 보인다. 예컨대, 이들 데이터 파라미터에서의 전환은 툴 세척, 예컨대 주요 부품을 교체하고 프로세싱 시스템의 전기 혹은 열전달 특성을 바꿈으로써 초래될 수 있다. 프로세싱 시스템 내에서 온도는 비록 조절되지만, 이것은 상측 전극과 벽에서만 행해진다. 저온은 제어되지 않고, 프로세싱 시스템에서 상이한 물질 혹은 부품 구조에 의해 영향을 받게 된다. 기판 1880에서의 정적인 경우와 적응형 경우 양자에 대한 분포도는 C1-POSITION-LO에 의해 지배적으로 된다. 기판 2948에 대해, WALL-TEMP는 적응형 경우에 지배적인 분포로 되지만, 정적인 경우에서 C1-POSITION-LO 값(상기 실행에서 변화되지 않음)보다 단지 약간 더 크게 된다.
긴 프로세스 실행에 걸쳐 통계적 모니터링을 위해 사용할 수 있는 더 견고한 PCA 모델을 제공하는 것에 추가하여, 적응형 기술은 또한 상이한 프로세싱 시스템들 중에서 동일한 PCA 모델의 사용을 가능하게 해준다. 도 10 및 도 11에는 본 발명의 제2의 예가 도시되어 있으며, 여기서 하나의 프로세싱 시스템에 대해 소정의 시간에 걸쳐 주요 변화를 조사한 후, 첫 번째 500개의 기판으로부터 동일한 모델을 두 번째 프로세싱 시스템으로부터 나온 800개 기판 세트에 적용하였다. 도 10에 도시된 바와 같이, 정적 모델에 대한 Q 통계의 그래프는 상기 모델에 대한 신뢰성을 갖는 상한치보다 더 큰 크기의 많은 차수들이다. 따라서, 주어진 프로세싱 시스템에서 주어진 프로세스 동안 하나의 종래의 모델로부터 유도된 통계적 파라미터는 동일한 프로세스를 위해 다른 프로세싱 시스템에 전달될 수 없다. 더욱이, 전술한 도 6a의 예와 마찬가지로, 각각의 프로세싱 시스템에 대한 PCA 모델을 재건하거나 또는 하나의 시스템의 PCA 모델을 다른 시스템에 적용하기 위해 복잡한 제어 한계법을 사용하는 것은 비실용적이다. 도 11에는 적용된 본 발명의 적응형 센터링과 스케일링 계수를 갖는 동일한 모델이 도시되어 있다. 상기 데이터는 단지 25개의 기판 이후에 신뢰성을 갖는 상한치 이하로 복귀한다. λ증가는 더 빠른 회복을 제공할 수 있지만 오버슛(overshoot) 문제를 초래할 수 있다. 일단 신뢰성을 갖는 상한치 이내로 복귀하면, 전체 이상치(outlier)가 동일한 것이 여전히 명백하지만, 기판 445 내지 455 사이의 영역에서와 같은 다른 변동이 또한 두드러지게 된다.
이러한 동일한 모델을 제2 프로세싱 시스템에 적용함으로써, 전술한 바와 같이 단일의 포인트 행정의 원인을 인식하기 위해 분포도를 다시 사용할 수 있다. 정적 모델을 기초한 분포도는 명백한 단일 원인이 없는 다수의 데이터 파라미터를 제공하며, 인식된 이들 데이터 파라미터들 중 몇몇은 큰 이상치 특성을 나타낸다. 적응법을 기초한 분포는 2개의 파라미터 즉, PF-VPP-LO 평균과 APC 표준편차를 명확하게 표시한다. 이러한 이상치는 단계의 처음부터 끝까지 전압이 높게 되는 플라스마 누설로 이어지며, 압력 제어는 불안정한 플라스마를 제어하기 위해 노력하는 것과 같이 매우 변동이 심하게 된다.
상기 시스템의 급격한 전환을 조사하기 위해, 연속한 위반 주기를 도 11의 데이터로부터 알게 된다. 기판 1, 91, 446에서 일어나는 세 가지의 상이한 영역은 신뢰성을 갖는 상한치를 초과하는 6개 이상의 연속점을 지닌다. 모델이 새로운 프로세싱 시스템 값에 적용되고 있었던 첫 번째 22개의 기판에 대한 운동 계량, 많은 전기적 특성을 나타내는 RF-VPP-LO 평균, ESC_VOLTAGE 평균, C2-POSITION-LO 평균, ESC-CURRENT 평균, 및 RF-FORWARD-LO 표준 편차에서의 강조된 주요 변화는 2개의 프로세싱 시스템들 사이에서 오프셋 되었다. 기판 91과 함께 시작하는 주기는 5번 실행에서 2개의 큰 스파이크를 지니며, 이는 운동 계량이 이상치의 적응를 인식할 수 있도록 해준다. 기판 44에서 시작하는 최종 영역에서, 계량점은 APC 평균과 COOL-GAS-FLOW1 표준 편차이다. 이들 변수에 대한 기판 요약 데이터는 이 시점에서 뚜렷한 점프를 나타낸다. 압력 제어를 위해 사용된 스로틀 밸브의 각도의 변화와, 하측 전극에서 제어 온도를 제어하기 위해 사용된 헬륨 유동에서의 변이성에 의해 특징지울 수 있는 문제점의 형태를 추측하기 위해 추가의 분석이 요구된다.
따라서, 본 발명의 발명자는, 정적 PCA 모델이 산업용 물질 프로세싱 시스템에서의 국부적인 폴트를 모니터링 및 검출하기 위해 부적절하다는 것을 인식하였다. 모델에서 신뢰성을 갖는 상한치는 모델을 구성한 후 신속하게 초과하게 되며; 더욱이, 신뢰성을 갖는 상한치는 상기 모델인 또 다른 프로세싱 시스템에 적용될 때 부적절하게 된다. 단변량 스케일링을 위해 사용된 평균과 표준편차 값은 천천히 새로운 데이터에 적응될 수 있다. 적응형 센터링과 스케일링법은 잔류 공간(Q)에 있는 모델까지의 간격 안정화를 유지하기에 충분하며, 원래의 모델 신뢰성을 갖는 상한치는 행정 검출을 위해 적절하다. 추가적으로, 적응형 방법으로부터 계산 된 Q 분포는 전체적인 변화를 갖는 그러한 데이터 파라미터의 분포에 결합되는 대신에 국부적인 편차의 루트 코스 분석(root cause) 데이터 파라미터를 구별하는 것을 돕는다. 분포도에 보충하여, 예리한 단계를 갖는 입력 데이터 파라미트에 의해 인식된 운동 계량은 연속한 신뢰성을 갖는 상한치 위반 주기 동안 변한다.
도 13은 반도체 제조 공정 동안 기판을 처리하기 위한 프로세싱 시스템을 모니터링하는 방법을 설명하는 플로차트이다. 상기 방법(500)은 복수 회의 관측을 위한 프로세싱 시스템으로부터 데이터를 취득하는 단계 510에서 시작한다. 프로세싱 시스템은 예컨대, 식각 시스템일 수 있거나, 혹은 도 1을 참조하여 설명한 바와 같은 또 다른 프로세싱 시스템일 수 있다. 프로세싱 시스템에서 나온 데이터는 프로세싱 시스템과 컨트롤러에 결합된 복수 개의 센서를 사용하여 취득될 수 있다. 상기 데이터는 예컨대, 임의 측정 가능한 데이터 파라미터와, 그것의 임의의 통계(예컨대, 평균, 표준편차, 비대칭도, 첨도 등)를 포함할 수 있다. 추가의 데이터는 예컨대, 광학 발사 스펙트럼, 전압 및/또는 전류 측정치의 RF 고조파, 혹은 발산한 RF 방산 등을 포함할 수 있다. 각각의 관측은 기판 실행, 인스턴트 인 타임(instant in time), 시간 평균 등에 관련될 수 있다.
단계 520에서, PCA 모델은 단계 530에서 데이터를 나타내기 위해 하나 또는 그 이상의 주요 성분을 결정하고 전술한 바와 같이 단계 540에서 취득한 데이터의 데이터 파라미터에 정적 센터링 및 스케일링 계수를 적용함으로써 구성된다. 예컨대, PCA 모델을 구성하기 위해 MATLABTM 및 PLS Toolbox 등의 상업적으로 입수 가능한 소프트웨어를 사용할 수 있다.
단계 550에서, 추가의 데이터가 프로세싱 시스템으로부터 취득되고, 단계 555에서는, 취득된 데이터 파라미터에 PCA 모델을 적용할 때 적응형 센터링과 스케일링 계수들이 사용된다. 단계560에서, 적어도 하나의 통계량이 추가의 데이터와 PCA 모델로부터 결정된다. 예컨대, 추가의 데이터는 스코어 세트를 결정하기 위해 하나 또는 그 이상의 주요 성분으로 전방 투영될 수 있고, 상기 스코어 세트는 하나 또는 그 이상의 잔류 에러를 결정하기 위해 주요 성분 위로 후방 돌출 될 수 있다. 스코어의 모델 세트와 관련한 스코어 세트와 하나 혹은 그 이상의 잔류 애러 중 하나를 이용함으로써, Q-통계 혹은 Hotelling T2 파라미터 등의 적어도 하나의 통계량은 결정될 수 있다.
단계 570에서 제어 한계값이 설정될 수 있고, 단계 580에서는 적어도 하나의 통계량이 상기 제어 한계값과 비교될 수 있다. 상기 제어 한계값은 주관적인 방법과 실험적인 방법 중 하나에 의해 설정될 수 있다. 에컨대, Q-통계를 사용할 때, 제어 한계값은 95%의 신뢰성을 갖는 상한치(예컨대, 도 6a, 도 6b 및 도 11 참조)로 설정될 수 있다. 그 대안으로, 예컨대, 제어 한계값은 χ2-분포 등의 통계량을 위한 이론적인 분포를 가정함으로써 달성될 수 있지만, 관측된 분포는 이론적으로 증명되어야 한다. 적어도 하나의 통계량이 제어 한계값을 초과할 경우, 프로세싱 시스템에 대한 폴트는 단계 590에서 검출되고, 단계 600에서는 오퍼레이터에 통지될 수 있다.
도 12에는 본 발명의 여러 실시예를 실시하기 위한 컴퓨터 시스템(1201)이 도시되어 있다. 이 컴퓨터 시스템(1201)은 전술한 컨트롤러의 임의의 기능 혹은 기능 전부를 수행하기 위한 컨트롤러(55)로 사용될 수 있다. 컴퓨터 시스템(1201)은 정보를 통신하기 위한 버스(1202) 혹은 다른 통신 장치와, 정보를 처리하기 위해 버스(1202)에 결합된 프로세서(1203)를 포함한다. 상기 컴퓨터 시스템(1201)은 또한 프로세서(1203)에 의해 실행될 정보와 명령을 저장하기 위한 버스(1202)에 결합된 랜덤 액세스 메모리(RAM) 혹은 다른 동적 저장 장치(예컨대, 동적 RAM(DRAM), 정적 RAM(SRAM), 및 동기화 DRAM(SDRAM)) 등의 주메모리(1204)를 포함한다. 추가적으로, 주메모리(1204)는 프로세서(1203)에 의한 명령을 실행하는 동안 일시적인 변수 혹은 다른 중간 정보를 저장하기 위해 사용될 수 있다. 컴퓨터 시스템(1201)은 프로세서(1203)를 위한 정적 정보와 명령을 저장하기 위해 버스(1202)에 결합된 읽기 전용 메모리(ROM)(1205) 혹은 다른 정적 저장 장치(예컨대, 프로그램 가능한 ROM(PROM), 착탈 가능한 있는 PROM(EPROM) 및 전기적으로 지울 수 있는 PROM(EEPROM))를 더 포함한다.
컴퓨터 시스템(1201)은 또한 마그네틱 하드 디스크(1207) 및 착탈 가능 매체 드라이브(1208)(예컨대, 플로피 디스크 드라이브, 읽기 전용 컴팩트 디스크 드라이브, 읽기/쓰기 컴팩트 디스크 드라이브, 컴팩트 디스크 쥬크박스, 테이프 드라이브, 및 착탈 가능 광자기 드라이브) 등과 같이 정보 및 명령을 저장하기 위한 하나 혹은 그 이상의 저장 장치를 제어하기 위해 버스(1202)에 결합된 디스크 컨트롤러(1206)를 또한 포함한다. 저장 장치는 적절한 장치 인터페이스(예컨대, 소형 컴퓨 터 시스템 인터페이스(SCSI), 집적 장치 일렉트로닉스(IDE), 확장-IDE(E-IDE), 직접 메모리 액세스(DMA) 혹은 ultra-DMA)를 사용하여 컴퓨터 시스템(1201)에 추가될 수 있다.
상기 컴퓨터 시스템(1201)은 또한 특별한 목적의 논리 장치(예컨대, 주문형 집적 회로(ASICs)) 혹은 구성가능형 논리 장치(예컨대, 단순 프로그램형 논리 장치(SPLDs), 콤플렉스 프로그램형 논리 장치(CPLDs), 및 필드 프로그램형 게이트 어레이(FPGAs))를 포함할 수 있다.
상기 컴퓨터 시스템(1201)은 또한 컴퓨터 사용자에게 정보를 디스플레이하기 위해 음극선관(CRT) 등의 디스플레이(1210)를 제어하기 위한 버스(1202)에 결합된 디스플레이 컨트롤러(1209)를 포함할 수 있다. 상기 컴퓨터 시스템은 컴퓨터 사용자와 상호 작용하여 정보를 프로세서(1203)로 제공하기 위한 키보드(1211) 및 포인팅 장치(1212) 등의 입력 장치를 포함한다. 포인팅 장치(1212)는 예컨대, 방향 정보와 명령 선택을 프로세서(1203)로 전달하고 디스플레이(1210) 상에서 커서 운동을 제어하기 위한 마우스, 트랙볼 혹은 포인트 스틱일 수 있다. 추가적으로, 프린터는 컴퓨터 시스템(1201)에 의해 저장 및/또는 생성된 데이터 리스트를 프린터하기 위해 제공될 수 있다.
컴퓨터 시스템(1201)은 주메모리(1204) 등의 메모리에 포함된 하나 이상의 명령의 하나 이상의 시퀀스를 실행하는 프로세서(1203)에 반응하여 본 발명의 처리 단계(도 13을 참조하여 설명한 것과 같이)의 일부 혹은 전부를 실행한다. 이러한 명령들은 하드 디스크(1207) 혹은 착탈 가능 매체 드라이브(1208) 등과 같은 다른 컴퓨터 읽기 가능 매체로부터 주메모리(1204)로 읽힐 수 있다. 멀티-프로세싱 장치에서 하나 이상의 프로세서는 또한 주메모리(1204) 내에 포함된 명령 시퀀스를 실행하기 위해 사용될 수 있다. 변형례에 있어서, 하드 와이어드 회로는 소프트웨어 명령 대신에 혹은 그것과 조합하여 사용될 수 있다. 따라서 실시예들은 하드웨어 회로 및 소프트웨어의 임의의 특정 조합에 한정되지 않는다.
전술한 바와 같이, 컴퓨터 시스템(1201)은 본 발명의 교시에 따라 프로그램된 명령을 유지하기 위해 그리고 데이터 구조, 테이블, 레코드 혹은 본 명세서에 설명한 다른 데이터를 포함하기 위한 적어도 하나의 컴퓨터 읽기 가능 매체 혹은 메모리를 포함한다. 컴퓨터 읽기 가능 매체의 예로서 콤펙트 디스크, 하드 디스크, 플로피 디스크, 테이프, 광자기 디스크, PROMs(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM, 혹은 임의의 다른 자성 매체, 컴팩트 디스크(예컨대, CD-ROM), 혹은 다른 임의의 광 매체, 펀치 카드, 종이 테이프, 혹은 홀 패턴을 갖는 다른 몰리적 매체, 반송파(이하 참조) 혹은 컴퓨터가 읽을 수 있는 다른 임의의 매체를 들 수 있다.
컴퓨터 읽기 가능 매체들 중 어떤 하나 혹은 그 조합에 저장된 상태로, 본 발명은 장치(들)를 구동하여 본 발명을 구현하기 위해, 그리고 컴퓨터 시스템(1201)을 휴먼 유져(예컨대, 프린트 제조 퍼스널)에 상호 작용할 수 있게 해주기 위해 컴퓨터 시스템(1201)을 조절하기 위한 소프트웨어를 포함한다. 이러한 소프트웨어는 한정하려는 의도는 아니지만, 장치 드라이버, 오퍼레이팅 시스템, 디벨로프먼트 툴, 응용 소프트웨어 등을 포함할 수 있다. 이러한 컴퓨터 읽기 가능한 매 체는 본 발명을 실시하는 데 행해진 프로세싱의 전부 혹은 일부(만약 프로세싱이 배포되는 경우)를 행하기 위해 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
본 발명의 컴퓨터 코드 장치는 한정하려는 의미하는 아니지만, 해석 가능한 프로그램, 다이나믹 링크 라이브러리(DLLs), 자바 클라스(Java class), 및 완전한 실행 가능 프로그램을 포함하여 임의의 해석 가능한 혹은 실행 가능한 코드 메커니즘일 수 있다. 또한, 본 발명의 프로세싱의 일부는 더 양호한 성능, 신뢰성 및/또는 비용을 위해 배포될 수 있다.
본 명세서에 사용된 "컴퓨터 읽기 가능한 매체"라는 용어는 프로세서(1203) 실행을 위해 명령어을 제공하는데 기여하는 임의의 매체를 일컫는다. 컴퓨터 읽기 가능 매체는 한정하려는 의도는 아니지만, 비휘발성 매체, 휘발성 매체, 및 전송 매체를 포함하여 많은 형태를 취할 수 있다. 비휘발성 매체는 예컨대, 광, 자기 디스크, 및 하드 디스크(1207)나 착탈 가능한 매체 드라이브(1208) 등의 광자기 디스크를 포함한다. 휘발성 매체는 동적 메로리 등의 주메모리(1204)를 포함한다. 전송 매체는 동축 케이블, 구리 와이어 및 버스(1202)를 구성하는 와이어를 포함한 광섬유를 포함한다. 전송 매체는 또한 라디오파와 적외선 데이터 통신 동안 발생된 것과 같은 음속 혹은 광파 형태를 취할 수 있다.
컴퓨터 읽기 가능 매체의 다양한 형태는 실행용 프로세서(1203)에 하나 혹은 그 이상의 명령의 하나 이상의 시퀀스를 실행하는데 포함될 수 있다. 예컨대, 상기 명령은 원격 컴퓨터의 자기 디스크 상에서 초기에 속행될 수 있다. 상기 원격 컴퓨터는 본 발명의 전부 혹은 일부를 실시하기 위한 명령어를 원격적으로 동적 메 모리에 로딩하여 모뎀을 사용하여 그 명령어를 전화선으로 전송할 수 있다. 컴퓨터 시스템(1201)으로의 모뎀 로컬은 전화선 상에서 데이터를 수신할 수 있고, 데이터를 적외선 신호로 전환하기 위해 적외선 트랜스미터를 사용할 수 있다. 버스(1202)에 결합된 적외선 디텍터는 적외선 신호로 운반된 데이터를 수신하여 그 데이터를 버스(1202)에 배치할 수 있다. 버스(1202)는 데이터를 주메모리(1204)로 운반하여 그 주메모리로부터 프로세서(1203)는 명령어를 검색 및 실행한다. 주메모리(1204)에 의해 수신된 명령어들은 선택적으로 프로세서(1203)에 의해 실행되기 전후에 저장 장치(1207 혹은 1208)에 저장될 수 있다.
컴퓨터 시스템(1201)은 또한 버스(1202)에 결합된 통신 인터페이스(1213)를 포함한다. 통신 인터페이스(1213)는 예컨대, 근거리 통신망(LAN)(1215)이나 혹은 인터넷 등의 다른 통신망(1216)에 접속되는 네트웍 링크(1214)에 양방향 데이터 통신 연결을 제공한다. 예컨대, 통신 인터페이스(1213)는 임의의 패킷 교환 방식 LAN에 부착되는 네트웍 인터페이스 카드일 수 있다. 또 다른 예로서, 통신 인터페이스(1213)는 대응하는 타입의 통신 회선에 데이터 통신 연결을 제공하는 비대칭 디지털 가입자 회선(ADSL) 카드, 복합 서비스 디지털망(ISDN) 카드 혹은 모뎀일 수 있다. 무선 링크를 또한 사용할 수 있다. 이러한 무선 링크의 사용에서, 통신 인터페이스(1213)는 다양한 종류의 정보를 나타내는 디지털 데이터 스트림을 운반하는 전기, 전자기 혹은 광신호를 전송 및 수신한다.
네트웍 링크(1214)는 통상적으로 데이터 통신을 하나 또는 그 이상의 네트웍을 통해 다른 데이터 장치로 제공한다. 예컨대, 네트웍 링크(1214)는 로컬 네트웍 (1215)(예컨대, LAN)을 통해 또는 통신 네트웍(1216)을 이용하여 통신을 제공하는 서비스 제공업자에 의해 작동된 장비를 통해 또 다른 컴퓨터로의 연결을 제공할 수 있다. 로컬 네트웍(1214)과 통신 네트웍(1216)은 예컨대 디지털 데이터 스트림을 운반하는 전기, 전자기, 혹은 광신호와, 관련된 물리적인 층(예컨대, CAT 5 케이블, 동축 케이블, 광섬유 등)을 사용한다. 여러 네트웍을 통과한 신호와, 네트웍 링크(1214) 상에서 그리고 통신 인터페이스(1213)를 통과한 신호로 컴퓨터 시스템(1201)에 그리고 그로부터 디지털 데이터를 운반하는 신호는 아마 기저대역 신호이거나 또는 반송파 기저 신호이다. 기저대역 신호는 디지털 데이터 비트의 스트림으로 기술되는 비변조된 전기 펄스로서 디지털 데이터를 전송하며, 여기서 "비트(bit)" 라는 용어는 광의적으로 심벌을 의미하며, 각각의 심벌은 적어도 하나 혹은 그 이상의 정보 비트를 전달한다. 디지털 데이터는 또한 도전성 매체를 넘어 전파되고 전파 매체를 통해 전자기파로서 전송되는 진폭, 상 및/또는 주파수 전환 키이 신호와 함께 반송파를 변조시키기 위해 사용될 수 있다. 따라서, 디지털 데이터는 "와이어드" 통신 채널을 비변조된 기저대역 데이터로서 전송될 수 있고 및/또는 반송파를 변조시킴으로써 기저대역과 상이한 예정된 주파수 대역 내에서 전송될 수 있다. 컴퓨터 시스템(1201)은 프로그램 코드를 포함한, 네트웍(들)(1215, 1216), 네트웍 링크(1214), 통신 인터페이스(1213)를 이용하여 데이터를 전송 및 수신할 수 있다. 더욱이, 네트웍 링크(1214)는 개인용 휴대정보 단말기(PDA), 랩탑 컴퓨터, 혹은 휴대폰 등의 모바일 장치(1217)에 LAN(1215)을 통한 연결을 제공할 수 있다.
이상에서 본 발명의 단지 몇몇 양호한 실시예들만을 설명하였지만, 본 발명의 신규한 교시 및 장점으로부터 현저하게 벗어나지 않고 예시적인 실시예들로부터 많은 변형이 가능하다는 것이 당업자들에게 용이하게 이해될 것이다. 따라서, 이러한 변형 모두가 본 발명의 영역에 포함되는 것을 의도한다.

Claims (50)

  1. 반도체 제조 공정 동안 기판을 프로세싱하는 프로세싱 시스템을 프로세스 성능 모니터링 시스템에 의해 모니터링하는 방법으로서,
    복수 회의 관측 동안에 상기 프로세싱 시스템으로부터 복수 개의 데이터 파라미터를 포함하는 데이터를 취득하는 단계;
    센터링 계수를 포함하는, 상기 데이터로부터 주요 성분 분석(PCA; principal components analysis) 모델을 구성하는 단계;
    상기 프로세싱 시스템으로부터 상기 복수 개의 데이터 파라미터의 추가 관측을 포함하는 추가 데이터를 취득하는 단계;
    상기 PCA 모델에서의 상기 데이터 파라미터 각각에 대하여 업데이트된 적응형 센터링 계수를 산출하기 위해 상기 센터링 계수를 조정하는 단계;
    상기 업데이트된 적응형 센터링 계수를 상기 PCA 모델에서의 상기 데이터 파라미터 각각에 적용하는 단계;
    상기 PCA 모델을 사용하여 상기 추가 데이터로부터 하나 이상의 통계량을 결정하는 단계;
    상기 하나 이상의 통계량에 대한 제어 한계값을 설정하는 단계; 및
    상기 하나 이상의 통계량을 상기 제어 한계값과 비교하는 단계
    를 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  2. 제1항에 있어서, 상기 센터링 계수를 조정하는 단계는, 각 데이터 파라미터에 대한 적응형 센터링 계수의 이전값과 상기 추가적인 관측에 대한 각각의 데이터 파라미터의 현재값을 결합함으로써 각 데이터 파라미터에 대한 적응형 센터링 계수를 업데이트하는 단계를 더 포함하며, 상기 이전값은 상기 복수 회의 관측 동안 데이터 파라미터의 평균값을 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  3. 제2항에 있어서, 상기 적응형 센터링 계수의 상기 이전값과 상기 추가 관측에 대한 상기 데이터 파라미터의 상기 현재값을 결합하는 단계는, 지수 가중 이동 평균(EWMA; exponentially weighted moving average) 필터를 적용하는 단계를 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  4. 제3항에 있어서, 상기 지수 가중 이동 평균(EWMA) 필터를 적용하는 단계는 가중 인자를 설정하는 단계를 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  5. 제4항에 있어서, 상기 가중 인자를 설정하는 단계는 상기 가중 인자를 0.5 내지 1.0 범위의 값으로 설정하는 단계를 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  6. 제5항에 있어서, 상기 가중 인자를 설정하는 단계는 상기 가중 인자를 0.8 내지 0.95 범위의 값으로 설정하는 단계를 포함하는 것인 프로세싱 시스템의 모니 터링 방법.
  7. 제1항에 있어서, 상기 업데이트된 적응형 센터링 계수를 상기 데이터 파라미터 각각에 적용하는 단계는, 상기 데이터 파라미터 각각으로부터 상기 업데이트된 센터링 계수를 감산하는 단계를 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  8. 제1항에 있어서, PCA 모델에 대한 스케일링 계수를 결정하는 단계;
    상기 PCA 모델에서의 상기 데이터 파라미터 각각에 대하여 업데이트된 적응형 스케일링 계수를 산출하기 위해 상기 스케일링 계수를 조정하는 단계; 및
    상기 업데이트된 적응형 스케일링 계수를 상기 PCA 모델에서의 상기 데이터 파라미터 각각에 적용하는 단계를 더 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  9. 제8항에 있어서, 상기 스케일링 계수를 조정하는 단계는 귀납적 표준편차 필터를 적용하는 단계를 포함하며, 상기 필터는 각각의 데이터 파라미터에 대한 적응형 스케일링 계수의 이전값과, 상기 추가적인 관측에 대한 각각의 데이터 파라미터의 현재값과, 그리고 각각의 데이터 파라미터에 대한 적응형 센터링 계수의 이전값을 조합하며,
    상기 적응형 스케일링 계수의 상기 이전값은, 상기 복수 회의 관측 동안의 상기 데이터 파라미터의 표준편차를 포함하며, 상기 적응형 센터링 계수의 상기 이전값은 상기 복수 회의 관측 동안 상기 데이터 파라미터의 평균값을 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  10. 제9항에 있어서, 상기 귀납적 표준편차 필터를 적용하는 단계는 필터 상수를 설정하는 단계를 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  11. 제1항에 있어서, 상기 업데이트된 적응형 스케일링 계수를 상기 데이터 파라미터 각각에 적용하는 단계는, 상기 데이터 파라미터 각각을 상기 업데이트된 스케일링 계수로 나누는 단계를 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  12. 제1항에 있어서, 상기 PCA 모델을 구성하는 단계는, 상기 복수 회의 관측 동안에 주요 성분 해석을 이용하여 상기 데이터의 하나 이상의 주요 성분을 결정하는 단계를 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  13. 제1항에 있어서, 상기 하나 이상의 통계량이 상기 제어 한계값을 초과할 때 발생한 프로세스 폴트(fault)를 검출하는 단계를 더 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  14. 제1항에 있어서, 상기 복수 개의 데이터 파라미터는 커패시터 위치, 전방 무선주파(RF) 전력, 반사된 RF 전력, 전압, 전류, 상(phase), 임피던스, RF 피크-대-피크 전압, RF 자가 유도된(self-induced) 직류 바이어스, 챔버 압력, 가스 유량, 온도, 배면 가스압, 배면 가스 유량, 정전기 클램프 전압, 정전기 클램프 전류, 초점 링 두께, RF 시간, 프로세스 단계 존속 시간, 초점 링 RF 시간, 광학 방사 스펙트럼, 및 RF 고조파 중 하나 이상을 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  15. 제1항에 있어서, 상기 복수 개의 데이터 파라미터는 순간값, 시간 평균, 표준편차, 제3 모멘트, 제4 모멘트 및 분산 중 하나 이상을 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  16. 제1항에 있어서, 상기 통계량은 Q-통계와 Hotelling T2 파라미터 중 하나 이상을 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  17. 제1항에 있어서, 인트라넷과 인터넷 중 하나 이상을 통해 상기 데이터, 상기 추가 데이터, 상기 적응형 센터링 계수, 상기 하나 이상의 통계량, 및 상기 제어 한계값 중 하나 이상에 액세스하는 단계를 더 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  18. 제8항에 있어서, 인트라넷과 인터넷 중 하나 이상을 통해 상기 적응형 스케일링 계수에 액세스하는 단계를 더 포함하는 것인 프로세싱 시스템의 모니터링 방법.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 반도체 제조 공정 동안 기판을 처리하기 위한 프로세싱 시스템으로서,
    프로세스 툴; 및
    상기 프로세스 툴에 결합되며, 상기 프로세스 툴에 결합된 복수 개의 센서와, 상기 복수 개의 센서 및 상기 프로세스 툴에 결합된 컨트롤러를 구비하는 프로세스 성능 모니터링 시스템
    을 포함하며, 상기 컨트롤러는,
    복수 회의 관측 동안에 상기 복수 개의 센서로부터 복수 개의 데이터 파라미터를 포함하는 데이터를 취득하는 수단과,
    센터링 계수를 포함하는, 상기 데이터로부터 주요 성분 분석(PCA) 모델을 구성하는 수단과,
    상기 복수 개의 센서로부터 추가 데이터를 취득하는 수단과,
    상기 데이터 파라미터 각각에 대하여 업데이트된 적응형 센터링 계수를 산출하기 위해 상기 센터링 계수를 조정하는 수단과,
    상기 업데이트된 적응형 센터링 계수를 상기 PCA 모델에서의 상기 데이터 파라미터 각각에 적용하는 수단과,
    상기 PCA 모델을 사용하여 상기 추가적인 데이터로부터 하나 이상의 통계량을 결정하는 수단과,
    상기 하나 이상의 통계량에 대한 제어 한계값을 설정하는 수단과,
    상기 하나 이상의 통계량을 상기 제어 한계값과 비교하는 수단을 포함하는 것인 프로세싱 시스템.
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
KR1020067005122A 2003-09-12 2004-08-27 적응형 다변량 해석을 이용한 프로세싱 시스템의 진단 방법및 장치 KR101047971B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/660,697 US7328126B2 (en) 2003-09-12 2003-09-12 Method and system of diagnosing a processing system using adaptive multivariate analysis
US10/660,697 2003-09-12
PCT/US2004/025599 WO2005036314A2 (en) 2003-09-12 2004-08-27 Method and system of diagnosing a processing system using adaptive multivariate analysis

Publications (2)

Publication Number Publication Date
KR20060123098A KR20060123098A (ko) 2006-12-01
KR101047971B1 true KR101047971B1 (ko) 2011-07-13

Family

ID=34273706

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067005122A KR101047971B1 (ko) 2003-09-12 2004-08-27 적응형 다변량 해석을 이용한 프로세싱 시스템의 진단 방법및 장치

Country Status (7)

Country Link
US (1) US7328126B2 (ko)
EP (1) EP1665076A4 (ko)
JP (1) JP4699367B2 (ko)
KR (1) KR101047971B1 (ko)
CN (1) CN100476798C (ko)
TW (1) TWI261738B (ko)
WO (1) WO2005036314A2 (ko)

Families Citing this family (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020191102A1 (en) * 2001-05-31 2002-12-19 Casio Computer Co., Ltd. Light emitting device, camera with light emitting device, and image pickup method
JP2004240628A (ja) * 2003-02-05 2004-08-26 Yokogawa Electric Corp 生産プロセスの評価方法及び評価装置
US8676538B2 (en) * 2004-11-02 2014-03-18 Advanced Micro Devices, Inc. Adjusting weighting of a parameter relating to fault detection based on a detected fault
US7404123B1 (en) * 2005-03-28 2008-07-22 Lam Research Corporation Automated test and characterization data analysis methods and arrangement
US7809450B2 (en) * 2005-07-07 2010-10-05 Mks Instruments, Inc. Self-correcting multivariate analysis for use in monitoring dynamic parameters in process environments
JP4712462B2 (ja) * 2005-07-11 2011-06-29 東京エレクトロン株式会社 基板処理監視装置、基板処理監視システム、基板処理監視プログラム及び記録媒体
US7467064B2 (en) * 2006-02-07 2008-12-16 Timbre Technologies, Inc. Transforming metrology data from a semiconductor treatment system using multivariate analysis
US7523021B2 (en) * 2006-03-08 2009-04-21 Tokyo Electron Limited Weighting function to enhance measured diffraction signals in optical metrology
US9158941B2 (en) * 2006-03-16 2015-10-13 Arm Limited Managing access to content in a data processing apparatus
US7596718B2 (en) * 2006-05-07 2009-09-29 Applied Materials, Inc. Ranged fault signatures for fault diagnosis
US7587296B2 (en) * 2006-05-07 2009-09-08 Applied Materials, Inc. Adaptive multivariate fault detection
US8606544B2 (en) * 2006-07-25 2013-12-10 Fisher-Rosemount Systems, Inc. Methods and systems for detecting deviation of a process variable from expected values
US7657399B2 (en) * 2006-07-25 2010-02-02 Fisher-Rosemount Systems, Inc. Methods and systems for detecting deviation of a process variable from expected values
US8145358B2 (en) 2006-07-25 2012-03-27 Fisher-Rosemount Systems, Inc. Method and system for detecting abnormal operation of a level regulatory control loop
US7912676B2 (en) * 2006-07-25 2011-03-22 Fisher-Rosemount Systems, Inc. Method and system for detecting abnormal operation in a process plant
EP2392982B1 (en) * 2006-09-28 2015-03-25 Fisher-Rosemount Systems, Inc. Abnormal situation prevention in a heat exchanger
US7917240B2 (en) * 2006-09-29 2011-03-29 Fisher-Rosemount Systems, Inc. Univariate method for monitoring and analysis of multivariate data
US20080120060A1 (en) * 2006-09-29 2008-05-22 Fisher-Rosemount Systems, Inc. Detection of catalyst losses in a fluid catalytic cracker for use in abnormal situation prevention
WO2008042757A2 (en) * 2006-09-29 2008-04-10 Fisher-Rosemount Systems, Inc. Univariate method for monitoring and analysis of multivariate data
PL2097794T5 (pl) * 2006-11-03 2018-01-31 Air Prod & Chem System i sposób monitorowania procesu
US20080140345A1 (en) * 2006-12-07 2008-06-12 International Business Machines Corporation Statistical summarization of event data
US8103481B2 (en) * 2006-12-12 2012-01-24 International Business Machines Corporation Processing irregularly occuring data events in real time
US8032341B2 (en) 2007-01-04 2011-10-04 Fisher-Rosemount Systems, Inc. Modeling a process using a composite model comprising a plurality of regression models
US8032340B2 (en) * 2007-01-04 2011-10-04 Fisher-Rosemount Systems, Inc. Method and system for modeling a process variable in a process plant
US20080167842A1 (en) * 2007-01-04 2008-07-10 Honeywell International Inc. Method and system for detecting, analyzing and subsequently recognizing abnormal events
US7827006B2 (en) * 2007-01-31 2010-11-02 Fisher-Rosemount Systems, Inc. Heat exchanger fouling detection
US7630859B2 (en) * 2007-05-01 2009-12-08 Verity Instruments, Inc. Method and apparatus for reducing the effects of window clouding on a viewport window in a reactive environment
WO2008137544A1 (en) * 2007-05-02 2008-11-13 Mks Instruments, Inc. Automated model building and model updating
US7765020B2 (en) * 2007-05-04 2010-07-27 Applied Materials, Inc. Graphical user interface for presenting multivariate fault contributions
US8010321B2 (en) * 2007-05-04 2011-08-30 Applied Materials, Inc. Metrics independent and recipe independent fault classes
KR100885187B1 (ko) * 2007-05-10 2009-02-23 삼성전자주식회사 플라즈마 챔버의 상태를 모니터링하는 방법 및 시스템
KR100928205B1 (ko) * 2007-06-05 2009-11-25 삼성전자주식회사 반도체 제조설비 관리시스템 및 그의 통계적 공정 관리방법
US8301676B2 (en) * 2007-08-23 2012-10-30 Fisher-Rosemount Systems, Inc. Field device with capability of calculating digital filter coefficients
US7702401B2 (en) 2007-09-05 2010-04-20 Fisher-Rosemount Systems, Inc. System for preserving and displaying process control data associated with an abnormal situation
US8055479B2 (en) 2007-10-10 2011-11-08 Fisher-Rosemount Systems, Inc. Simplified algorithm for abnormal situation prevention in load following applications including plugged line diagnostics in a dynamic process
US8271122B2 (en) 2008-03-07 2012-09-18 Mks Instruments, Inc. Process control using process data and yield data
US7622308B2 (en) * 2008-03-07 2009-11-24 Mks Instruments, Inc. Process control using process data and yield data
DE102008021558A1 (de) * 2008-04-30 2009-11-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für die Halbleiterprozesssteuerung und Überwachung unter Verwendung von PCA-Modellen mit reduzierter Grösse
US20090282296A1 (en) * 2008-05-08 2009-11-12 Applied Materials, Inc. Multivariate fault detection improvement for electronic device manufacturing
US8335582B2 (en) * 2008-05-19 2012-12-18 Applied Materials, Inc. Software application to analyze event log and chart tool fail rate as function of chamber and recipe
KR101504594B1 (ko) * 2008-08-28 2015-03-23 삼성전자주식회사 반도체 소자의 누설전류 예측 방법
US8494798B2 (en) * 2008-09-02 2013-07-23 Mks Instruments, Inc. Automated model building and batch model building for a manufacturing process, process monitoring, and fault detection
US8527080B2 (en) * 2008-10-02 2013-09-03 Applied Materials, Inc. Method and system for managing process jobs in a semiconductor fabrication facility
US8643539B2 (en) * 2008-11-19 2014-02-04 Nokomis, Inc. Advance manufacturing monitoring and diagnostic tool
US9069345B2 (en) * 2009-01-23 2015-06-30 Mks Instruments, Inc. Controlling a manufacturing process with a multivariate model
DE102009006887B3 (de) * 2009-01-30 2010-07-15 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zur Halbleiterprozesssteuerung und Überwachung unter Anwendung eines Datenqualitätsmaßes
US8989887B2 (en) 2009-02-11 2015-03-24 Applied Materials, Inc. Use of prediction data in monitoring actual production targets
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8855804B2 (en) 2010-11-16 2014-10-07 Mks Instruments, Inc. Controlling a discrete-type manufacturing process with a multivariate model
SG190883A1 (en) * 2010-11-26 2013-07-31 Heung Seob Koo Representative-value calculating device and method
US9295148B2 (en) * 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9541471B2 (en) 2012-04-06 2017-01-10 Mks Instruments, Inc. Multivariate prediction of a batch manufacturing process
US9429939B2 (en) 2012-04-06 2016-08-30 Mks Instruments, Inc. Multivariate monitoring of a batch manufacturing process
JP5739841B2 (ja) * 2012-06-13 2015-06-24 株式会社東芝 電子デバイスの生産管理装置、生産管理システム及び生産管理プログラム
US8917261B2 (en) * 2012-06-26 2014-12-23 Atmel Corporation Pixel occlusion mitigation
TWI463426B (zh) * 2012-09-25 2014-12-01 China Steel Corp Integrated Process Monitoring Method and Its System
CN104736744B (zh) 2012-10-17 2017-06-06 东京毅力科创株式会社 使用多变量分析的等离子体蚀刻终点检测
FR3003034B1 (fr) * 2013-03-05 2017-09-08 Polyor Sarl Protocole pour la preconisation et le dosage de la fertilisation phospho-potassique et magnesique (pkmg) de cultures agronomiques
US9400944B2 (en) * 2013-03-11 2016-07-26 Sas Institute Inc. Space dilating two-way variable selection
JP6173851B2 (ja) 2013-09-20 2017-08-02 株式会社日立ハイテクノロジーズ 分析方法およびプラズマエッチング装置
CN103472732A (zh) * 2013-09-27 2013-12-25 上海交通大学 一种改进的基于马氏距离的多变量控制器性能监控方法
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
KR101522385B1 (ko) * 2014-05-02 2015-05-26 연세대학교 산학협력단 반도체 제조 공정에서의 이상 감지 방법, 장치 및 기록매체
FR3029620B1 (fr) * 2014-12-05 2019-06-14 Safran Aircraft Engines Procede de suivi de la fabrication de pieces base sur l'analyse simultanee d'indicateurs statistiques
FR3029622B1 (fr) * 2014-12-05 2019-06-14 Safran Aircraft Engines Procede de suivi de la fabrication de pieces base sur l'analyse de coefficients de centrage
CN104714537B (zh) * 2015-01-10 2017-08-04 浙江大学 一种基于联合相对变化分析和自回归模型的故障预测方法
CN104777831B (zh) * 2015-04-09 2017-11-17 武汉船用机械有限责任公司 一种液压式潜液泵系统的故障诊断方法
US10622197B2 (en) * 2015-07-21 2020-04-14 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US10395895B2 (en) 2015-08-27 2019-08-27 Mks Instruments, Inc. Feedback control by RF waveform tailoring for ion energy distribution
US10656102B2 (en) 2015-10-22 2020-05-19 Battelle Memorial Institute Evaluating system performance with sparse principal component analysis and a test statistic
US10692705B2 (en) 2015-11-16 2020-06-23 Tokyo Electron Limited Advanced optical sensor and method for detecting an optical event in a light emission signal in a plasma chamber
TWI690009B (zh) * 2015-11-20 2020-04-01 財團法人工業技術研究院 設備的故障評估方法與故障評估裝置
US20170245361A1 (en) * 2016-01-06 2017-08-24 Nokomis, Inc. Electronic device and methods to customize electronic device electromagnetic emissions
US10521774B2 (en) * 2016-03-22 2019-12-31 Asm Ip Holding B.V. Preventive maintenance system and preventive maintenance method
WO2017172536A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10804821B2 (en) * 2016-11-04 2020-10-13 Advanced Ion Beam Technology, Inc. Apparatus and method for monitoring the relative relationship between the wafer and the chuck
US10436717B2 (en) 2016-11-18 2019-10-08 Tokyo Electron Limited Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
CN106647274B (zh) * 2016-12-28 2018-05-18 中南大学 一种连续生产过程中运行工况稳态判别方法
US10606253B2 (en) * 2017-02-08 2020-03-31 United Microelectronics Corp. Method of monitoring processing system for processing substrate
US10448864B1 (en) 2017-02-24 2019-10-22 Nokomis, Inc. Apparatus and method to identify and measure gas concentrations
JP2020515063A (ja) 2017-03-17 2020-05-21 東京エレクトロン株式会社 エッチングメトリックを向上させるための表面改質制御
FR3066289B1 (fr) * 2017-05-09 2021-03-19 Quantics Tech Procede, mise en oeuvre par ordinateur, de recherche de regles d'association dans une base de donnees
JP6524274B2 (ja) * 2017-05-26 2019-06-05 ローム株式会社 信号灯モニタ
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network
US11489847B1 (en) 2018-02-14 2022-11-01 Nokomis, Inc. System and method for physically detecting, identifying, and diagnosing medical electronic devices connectable to a network
JP7139734B2 (ja) * 2018-07-09 2022-09-21 日新電機株式会社 異常検知方法及び異常検知システム
JP6990634B2 (ja) * 2018-08-21 2022-02-03 株式会社日立ハイテク 状態予測装置及び半導体製造装置
JP7068583B2 (ja) * 2018-09-20 2022-05-17 日本電信電話株式会社 学習装置、推定装置、学習方法、推定方法およびプログラム
US10976718B2 (en) * 2019-03-06 2021-04-13 Honeywell Limited System and method for monitoring changes in process dynamic behavior by mapping parameters to a lower dimensional space
CN109933049B (zh) * 2019-03-29 2020-10-13 国网山东省电力公司费县供电公司 一种电力调度日志故障分类方法及系统
CN110109435B (zh) * 2019-05-22 2020-07-24 杭州电子科技大学 一种改进两步子空间划分的在线监测方法
CN113924474A (zh) 2019-05-23 2022-01-11 东京毅力科创株式会社 使用高光谱成像的半导体过程的光学诊断
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching
JP7450358B2 (ja) * 2019-09-25 2024-03-15 東京エレクトロン株式会社 基板処理制御方法、基板処理装置、及び記憶媒体
US11293981B2 (en) 2020-01-15 2022-04-05 Toyota Motor Engineering & Manufacturing North America, Inc. Systems and methods for false-positive reduction in power electronic device evaluation
JP7482651B2 (ja) * 2020-03-04 2024-05-14 キヤノン株式会社 情報処理装置、監視方法、プログラムおよび物品製造方法
CN110987436B (zh) * 2020-03-05 2020-06-09 天津开发区精诺瀚海数据科技有限公司 基于激励机制的轴承故障诊断方法
TWI742693B (zh) * 2020-05-26 2021-10-11 國立中正大學 感測器安裝最佳化裝置及其方法
US11893004B2 (en) 2020-08-26 2024-02-06 Ford Global Technologies, Llc Anomaly detection in multidimensional sensor data
CN112463264B (zh) * 2020-12-11 2022-12-09 西安交通大学 一种用于反应堆破口事故分析程序的交互功能实现方法
CN117519052B (zh) * 2023-12-12 2024-05-28 博纯(泉州)半导体材料有限公司 基于电子气体生产制造系统的故障分析方法及系统

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030055523A1 (en) 1999-03-19 2003-03-20 Bunkofske Raymond J. User configurable multivariate time series reduction tool control method

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5442562A (en) 1993-12-10 1995-08-15 Eastman Kodak Company Method of controlling a manufacturing process using multivariate analysis
SE9304246L (sv) * 1993-12-22 1995-06-23 Asea Brown Boveri Förfarande vid övervakning av multivariata processer
US5796606A (en) * 1996-02-07 1998-08-18 Noranda Inc. Process information and maintenance system for distributed control systems
US6153115A (en) * 1997-10-23 2000-11-28 Massachusetts Institute Of Technology Monitor of plasma processes with multivariate statistical analysis of plasma emission spectra
JP2000114338A (ja) * 1998-09-30 2000-04-21 Mitsubishi Electric Corp 半導体装置の特性変動評価方法、特性変動評価装置および特性変動評価プログラムを記憶した記録媒体
JP2000252179A (ja) * 1999-03-04 2000-09-14 Hitachi Ltd 半導体製造プロセス安定化支援システム
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6419846B1 (en) * 1999-09-08 2002-07-16 Advanced Micro Devices, Inc. Determining endpoint in etching processes using principal components analysis of optical emission spectra
US6470230B1 (en) * 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
AU4733601A (en) * 2000-03-10 2001-09-24 Cyrano Sciences Inc Control for an industrial process using one or more multidimensional variables
GB0007063D0 (en) * 2000-03-23 2000-05-10 Simsci Limited Mulitvariate statistical process monitors
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
WO2002003256A1 (en) * 2000-07-05 2002-01-10 Camo, Inc. Method and system for the dynamic analysis of data
AU2001288856A1 (en) 2000-09-15 2002-03-26 Advanced Micro Devices Inc. Adaptive sampling method for improved control in semiconductor manufacturing
US6549864B1 (en) 2001-08-13 2003-04-15 General Electric Company Multivariate statistical process analysis systems and methods for the production of melt polycarbonate
WO2004105101A2 (en) * 2003-05-16 2004-12-02 Tokyo Electron Limited A process system health index and method of using the same
US6952657B2 (en) * 2003-09-10 2005-10-04 Peak Sensor Systems Llc Industrial process fault detection using principal component analysis

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030055523A1 (en) 1999-03-19 2003-03-20 Bunkofske Raymond J. User configurable multivariate time series reduction tool control method

Also Published As

Publication number Publication date
EP1665076A4 (en) 2007-06-20
KR20060123098A (ko) 2006-12-01
WO2005036314A2 (en) 2005-04-21
US20050060103A1 (en) 2005-03-17
CN100476798C (zh) 2009-04-08
EP1665076A2 (en) 2006-06-07
WO2005036314A3 (en) 2005-11-10
JP4699367B2 (ja) 2011-06-08
JP2007505494A (ja) 2007-03-08
US7328126B2 (en) 2008-02-05
TWI261738B (en) 2006-09-11
TW200515112A (en) 2005-05-01
CN1849599A (zh) 2006-10-18

Similar Documents

Publication Publication Date Title
KR101047971B1 (ko) 적응형 다변량 해석을 이용한 프로세싱 시스템의 진단 방법및 장치
EP1639632B1 (en) Method of monitoring a processing system
KR101121809B1 (ko) 런 투 런 제어를 위한 방법 및 시스템
US6582618B1 (en) Method of determining etch endpoint using principal components analysis of optical emission spectra
US6675137B1 (en) Method of data compression using principal components analysis
US7844559B2 (en) Method and system for predicting process performance using material processing tool and sensor data
US7167766B2 (en) Controlling a material processing tool and performance data
JP2006501684A (ja) プラズマプロセスのデータを解析するための方法とシステム
CN104040679A (zh) 自适应配方选择器
KR20220047281A (ko) 플라즈마 에칭시 종료점 검출을 위한 합성 파장
WO2003103017A2 (en) Method and system of determining chamber seasoning condition by optical emission
KR20020027634A (ko) 광학적 방출 스펙트라의 주요 구성원 분석을 이용한 식각종료점 결정 방법
Ma Unsupervised feature extraction techniques for plasma semiconductor etch processes
IE83492B1 (en) Fault classification in a plasma process chamber
IE83802B1 (en) A method for fault detection in a plasma process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140626

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150618

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160617

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170616

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180618

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190618

Year of fee payment: 9