KR101121809B1 - 런 투 런 제어를 위한 방법 및 시스템 - Google Patents

런 투 런 제어를 위한 방법 및 시스템 Download PDF

Info

Publication number
KR101121809B1
KR101121809B1 KR1020067016060A KR20067016060A KR101121809B1 KR 101121809 B1 KR101121809 B1 KR 101121809B1 KR 1020067016060 A KR1020067016060 A KR 1020067016060A KR 20067016060 A KR20067016060 A KR 20067016060A KR 101121809 B1 KR101121809 B1 KR 101121809B1
Authority
KR
South Korea
Prior art keywords
process control
input data
control input
output data
control output
Prior art date
Application number
KR1020067016060A
Other languages
English (en)
Other versions
KR20070012340A (ko
Inventor
홍유 유이
조셉 윌리엄 와이즈먼
Original Assignee
어드밴스드 마이크로 디바이시즈, 인코포레이티드
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 마이크로 디바이시즈, 인코포레이티드, 도쿄엘렉트론가부시키가이샤 filed Critical 어드밴스드 마이크로 디바이시즈, 인코포레이티드
Publication of KR20070012340A publication Critical patent/KR20070012340A/ko
Application granted granted Critical
Publication of KR101121809B1 publication Critical patent/KR101121809B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management
    • G06Q10/06Resources, workflows, human or project management; Enterprise or organisation planning; Enterprise or organisation modelling
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/041Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators in which a variable is automatically adjusted to optimise the performance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Automation & Control Theory (AREA)
  • Health & Medical Sciences (AREA)
  • Software Systems (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Business, Economics & Management (AREA)
  • Strategic Management (AREA)
  • Human Resources & Organizations (AREA)
  • Entrepreneurship & Innovation (AREA)
  • Economics (AREA)
  • Game Theory and Decision Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Business, Economics & Management (AREA)
  • Tourism & Hospitality (AREA)
  • Quality & Reliability (AREA)
  • Operations Research (AREA)
  • Marketing (AREA)
  • Educational Administration (AREA)
  • Development Economics (AREA)
  • Drying Of Semiconductors (AREA)
  • Feedback Control In General (AREA)

Abstract

반도체 제조를 위한 런 투 런으로부터 프로세스를 제어하는 방법 및 시스템이다. 제어 방법은 프로세스 모델을 이용해 프로세스 제어 입력 데이터와 프로세스 제어 출력 데이터 사이의 관계를 확립한다. 제어 방법은 목표 프로세스 제어 출력 데이터와 프로세스 모델을 새로운 프로세스 제어 입력 데이터에 적용을 통하여 예측되는 프로세스 제어 출력 데이터 사이의 차이를 최소화하는 단계를 수반한다.

Description

런 투 런 제어를 위한 방법 및 시스템{METHOD AND SYSTEM FOR RUN-TO-RUN CONTROL}
본 발명은 집적 회로(IC, integrated circuit) 제조에 있어서, 프로세스 제어 출력 데이터(process control output data)를 모니터링, 조정 및 제어하기 위한 방법 및 시스템에 관한 것이다. 보다 구체적으로, 다변량 분석(multivariate anaylsis)을 이용하여 반도체 제조 과정에서 프로세스 제어 출력 데이터를 모니터링, 조정 및 제어하기 위한 방법 및 시스템에 관한 것이다.
반도체 또는 디스플레이의 제조 등과 같은 플라즈마 프로세싱(plasma processing)의 여러 단계에 걸쳐, 임계 프로세스 파라미터들은 주목할 만하게 변할 수 있다. 프로세싱 조건들은 임계 프로세싱 파라미터들에서의 작은 변화들이 바람직하지 않은 결과를 초래하면서 시간에 걸쳐 변화한다. 작은 변화들은 에칭 가스(etch gas)의 조성 및 압력, 프로세스 챔버(process chamber) 또는 기판(substrate)의 온도에서 쉽게 발생할 수 있다. 이와 같이, 플라즈마 프로세싱 설비는 지속적인 모니터링을 요한다. 명목상으로 동일한 결과를 낼 것으로 예상되는 조건하에서 조차, 예를 들어 플라즈마 프로세싱 설비의 장착물(fixture)들 상에 절연층(또는 전도층)의 증착(deposition)을 전개(development)하는 것은 프로세싱 설비의 전기적 임피던스에 변화를 주고, 그 결과 플라즈마 설비에 대한 전력의 연결 관계를 규정하는 기존의 전기적 구성(configuration)을 변경시킨다. 이와 같이, 입력 파라미터의 일관성(consistency)에도 불구하고 플라즈마 밀도들과 플라즈마 균일도들은 시간(즉, 시기)에 따라 변화한다.
반도체 IC들의 제조 과정 동안, 프로세스 파라미터의 모니터링과 제어는 높은 IC 제품 수율과 품질 획득을 위해 필수적이다. 예를 들어 에칭 피처(feature)의 임계 치수들의 정확한 제어는, 게이트 컨택트들 뿐만 아니라 인터 레벨 및 인트라 레벨 유전층들을 통해 IC 배선 레벨(wiring level) 및 상호 접속 구조들을 형성하는 데 이용되는 다마신 구조 프로세스에서 필수적이다. 그러나 요구되는 프로세스 제어는 플라즈마 프로세싱 설비 내에서 발생하는 전술한 변화들에 의해 방해받는다.
종래에는, 결과적(resultant) 에칭 프로세스 또는 에칭 구조의 실행 후(after-the-effect)의 관측치들이, 프로세스를 "교정"하기 위한 시도에 있어서 프로세스 제어 모델을 변경하기 위한 최선의 환영할 근거(guest basis)로서 사용되고 있다. 프로세스 모델을 수정할 때에, 프로세스 제어에 대한 이러한 실행 후 방식은 제품을 낭비한다. 또한, 이러한 실행 후의 변경은 프로세스 모델에 대한 결과를 예측하도록 설계되어 있지 않다.
집적 회로 제조에서, 프로세스 제어 출력 데이터를 모니터링, 조정 및 제어하기 위한 방법 및 시스템으로서, 목표 변화들에 대한 예측 모델 응답에 기초하여 프로세스 모델을 제어하기 위하여 프로세스 모델을 변경하는 방법 및 시스템이 개시된다.
본 발명의 이와 같은 그리고 다른 목적들은, 반도체 제조 시스템에서 프로세스를 제어하기 위한 방법 및 시스템과 컴퓨터 판독 가능 매체 뿐만 아니라 프로세스 제어 입력 및 출력 데이터를 예측하고 최적화하기 위한 그래픽 사용자 인터페이스(GUI, graphical user interface, 이하, "GUI"라 함)에 의해서도 제공된다. 반도체 제조 시스템의 프로세스 제어 방법은, 반도체 제조 시스템의 프로세스에 대하여 프로세스 제어 입력 데이터를 설정하는 단계; 반도체 제조 시스템의 프로세스로부터 프로세스 제어 출력 데이터를 측정하는 단계; 프로세스의 목표 결과를 위한 프로세스 제어 출력 데이터와 프로세스 제어 입력 데이터 사이의 관계를 결정하는 단계; 목표 프로세스 제어 출력 데이터를 설정하는 단계; 및 목표 프로세스 제어 출력 데이터와 예측 프로세스 제어 출력 데이터 사이의 차를 최소화하여, 새로운 프로세스 제어 입력 데이터를 계산하는 단계를 포함한다. 이때, 예측 프로세스 제어 출력 데이터는 새로운 프로세스 제어 입력 데이터와의 관계를 이용하여 결정된다.
반도체 제조 시스템의 프로세스 제어를 위한 본 발명의 한 실시예인 제어 시스템은 프로세스를 실행하기 위한 프로세스 툴(process tool)과 연결되는 프로세스 툴 제어기를 포함한다. 이때, 프로세스 툴 제어기는 프로세스를 위한 프로세스 제어 입력 데이터를 설정 및 조정하고, 프로세스의 목표 결과를 위한 목표 프로세스 제어 출력 데이터를 설정하도록 구성된 프로세스 레시피(recipe) 제어기와, 프로세스 레시피 제어기와 연결되며, 프로세스 제어 입력 데이터와 프로세스 제어 출력 데이터 사이의 관계를 제공하도록 구성된 프로세스 모델을 포함한다. 프로세스 레시피 제어기는 목표 프로세스 제어 출력 데이터와 새로운 프로세스 제어 입력 데이터를 이용하여 상기 관계에 의하여 예측되는 프로세스 제어 출력 데이터 사이의 차이를 최소화함으로써, 새로운 프로세스 제어 입력 데이터를 생성한다.
프로세스를 실행하기 위한 본 발명의 한 실시예에서 반도체 제조 시스템은, 프로세스를 실행하기 위한 프로세스 툴; 및 프로세스 툴과 연결되는 프로세스 툴 제어기를 포함한다. 여기서, 프로세스 툴 제어기는 프로세스를 위한 프로세스 제어 입력 데이터를 설정 및 조정하고, 목표 프로세스 제어 출력 데이터를 프로세스의 목표 결과로 설정하도록 구성된 프로세스 방법(recipe) 제어기, 및 프로세스 레시피 제어기와 연결되어 있으며, 프로세스 제어 입력 데이터와 프로세스 제어 출력 데이터 사이의 관계를 제공하도록 구성되는 프로세스 모델을 포함한다. 이때, 프로세스 레시피 제어기는 목표 프로세스 제어 출력 데이터와 새로운 프로세스 제어 입력 데이터를 이용하는 관계에 의하여 예측되는 프로세스 제어 출력 데이터 사이의 차이를 최소화시킴으로써, 새로운 프로세스 제어 입력 데이터를 생성한다.
프로세스 제어 입력 데이터로부터 프로세스 제어 출력 데이터를 예측하기 위하여 프로세스 모델을 사용하기 위한 본 발명의 한 실시예의 GUI는, GUI에서 적어도 하나의 델타 필드(delta field)를 사용하여, 프로세스 제어 입력 데이터의 적어도 하나의 파라미터에 입력 변화를 입력하는 수단; 입력 변화를 사용하여 프로세스 제어 출력 데이터의 적어도 하나의 파라미터에서 출력 변화를 결정하기 위하여 프로세스 모델을 실행하는 수단; 및 GUI의 출력 필드(output field)에 출력 변화를 디스플레이하는 수단을 포함한다.
프로세스 모델을 사용하여, 목표 프로세스 제어 출력 데이터를 얻기 위하여, 프로세스 제어 입력 데이터 및 출력 데이터를 최적화하기 위한 본 발명의 한 실시예에서 GUI는, 프로세스 제어 출력 데이터의 적어도 하나의 파라미터에 대한 목표 변화를 입력하는 수단; 목표 변화를 사용하여 프로세스 제어 입력 데이터의 적어도 하나의 파라미터에 대한 입력 변화량을 최적화하는 프로세스 모델을 실행하는 수단; 및 GUI 상의 델타 필드에 입력 변화량을 디스플레이하는 수단을 포함한다.
첨부된 도면과 함께 아래의 상세한 설명으로부터 본 발명과 이에 수반되는 많은 장점들이 쉽게 이해될 수 있을 것이다.
도 1은 본 발명의 바람직한 실시예에 따른 반도체 제조 시스템을 나타낸 것이다.
도 2는 본 발명의 한 실시예에 따른 반도체 제조 시스템을 나타낸 것이다.
도 3은 본 발명의 다른 실시예에 따른 반도체 제조 시스템을 나타낸 것이다.
도 4는 본 발명의 또 다른 실시예에 따른 반도체 제조 시스템을 나타낸 것이다.
도 5는 본 발명의 추가 실시예에 따른 반도체 제조 시스템을 나타낸 것이다.
도 6은 트렌치(trench)와 컨택트(contact)를 갖는 에칭 피처의 개략도이다.
도 7은 부분 최소 제곱(PLS, partial least squares) 분석을 사용하기 위한 개락적인 흐름도이다.
도 8은 본 발명의 실시예에 따른, 프로세스 제어 출력 데이터를 예측하기 위한 그래픽 사용자 인터페이스(GUI)를 나타낸 것이다.
도 9는 본 발명의 다른 실시예에 따른, 프로세스 제어 입력 데이터를 최적화 하기 위한 GUI를 나타낸 것이다.
도 10은 본 발명의 실시예에 따른 반도체 제조 시스템에서 프로세스를 제어하는 방법을 나타낸 것이다.
도 11은 본 발명의 프로세스 툴 제어기가 장착될 수 있는 컴퓨터 시스템의 한 실시예를 나타낸 것이다.
아래에서는 유사한 참조 번호들이 수 개의 도면에 걸쳐 동일하거나 대응되는 부분들을 지시하는 도면들을 참조하며, 그 중에서도 특히 도 1을 참조한다.
명세서 전체를 통하여 유사한 부분에 대해서는 유사한 도면 부호를 붙였다.
도 1에 나타낸 바와 같은 본 발명의 한 실시예에 따르면, 반도체 제조 시스템(1)은 프로세스 툴(10)과 프로세스 제어 시스템(100)을 포함한다. 프로세스 제어 시스템(100)은 프로세스 툴(10)과 연결되는 프로세스 툴 제어기(55)를 포함한다. 그리고 프로세스 툴 제어기(55)는 프로세스 레시피 제어기(110), 프로세스 모델(150), 프로세스 모델 보정 필터(120) 및 프로세스 모델 보정 알고리즘(130)을 포함한다. 프로세스 모델(150)은 프로세스 제어 입력 데이터로부터 프로세스 제어 출력 데이터를 예측하도록 구성된다. 또한, 프로세스 제어 시스템(100)은 프로세스 툴(10)과 프로세스 레시피 제어기(110)와 연결되는 계측 툴(metrology tool)(140)을 더 포함한다. 계측 툴(140)은 프로세스 툴(10) 및 프로세스 툴 제어기(55)와 연결될 수 있으며, 프로세스 툴(10)에서 프로세싱된 기판을 수신하여 프로세스 출력 제어 데이터를 측정하도록 구성될 수 있다.
프로세스 레시피 제어기(110)는 계측 툴(140)로부터의 프로세스 제어 출력 데이터와, 프로세스 모델(150)로부터 예측된 프로세스 제어 출력 데이터와, 목표 프로세스 제어 출력 데이터를 수신하도록 구성된다. 프로세스 레시피 제어기(110)는 이들 데이터를 이용하여, 목표 프로세스 제어 출력 데이터를 얻기 위하여 프로세스 제어 입력 데이터를 조정하도록 구성된다.
더욱이, 프로세스 모델 보정 알고리즘(130)은 프로세스 모델 보정 필터(120)에서 설정된 가중치(weighting factor) 뿐만 아니라 계측 툴(140)로부터 프로세스 제어 출력 데이터를 수신하도록 구성된다. 현재의 프로세스 제어 입력 데이터가 주어지면, 프로세스 모델 보정 알고리즘(130)은 프로세스 모델에 대한 보정치를 결정할 수 있다. 그리고 프로세스 모델 보정 알고리즘(130)에 의하여 결정된 보정치를 이용하여 프로세스 모델(150)이 갱신된다.
도 1에 도시된 실시예에서, 반도체 제조 시스템(1)은 재료 프로세싱을 위하여 플라즈마를 사용한다. 바람직하게는, 반도체 제조 시스템(1)은 에칭 챔버(etch chamber)를 포함한다. 또한, 반도체 제조 시스템(1)은 감광제 스핀 코팅 시스템(photoresist spin coating system)과 같은 감광제 코팅 챔버; 자외선(UV) 리소그래피(lithography) 시스템과 같은 감광제 패터닝(patterning) 챔버; SOG(spin-on-glass) 또는 SOD(spin-on-dielectric) 시스템과 같은 유전체(dielectric) 코팅 챔버; 화학 기상 증착(CVD, chemical vapor deposition) 시스템 또는 물리적 기상 증착(PVD, physical vapor deposition) 시스템과 같은 증착 챔버(deposition chamber); 예를 들어, 열 어닐링(thermal annealing)을 위한 급속 열처리(RTP, rapid thermal processing) 시스템과 같은 RTP 챔버; 또는 일괄 처리 방식 종형로(batch-processing vertical furnace)를 포함한다.
도 2에 나타낸 바와 같은 본 발명의 실시예에 따르면, 반도체 제조 시스템(10)은 프로세스 툴(10), 프로세싱될 기판(25)이 부착되는 기판 홀더(substrate holder)(20), 가스 주입 시스템(40), 그리고 진공 펌핑 시스템(58)을 포함한다. 기판(25)은 예를 들어, 반도체 기판, 웨이퍼(wafer) 또는 액정 표시 장치(LCD, liquid crystal display)일 수 있다. 프로세스 툴(10)은 예를 들어, 기판(25)의 표면에 인접한 프로세싱 영역(processing region)(45)에서 플라즈마의 생성을 촉진하도록 구성될 수 있고, 여기서 가열된 전자들과 이온화될 수 있는 가스 사이의 충돌을 통하여 플라즈마가 형성된다. 이온화될 수 있는 가스 또는 가스 혼합물은 가스 주입 시스템(40)으로 유입되고, 프로세스 압력(process pressure)이 조정된다. 바람직하게는, 미리 설정된 재료 프로세스에 특정된 재료를 생성하고, 기판(25)에 재료를 증착하거나 기판(25)의 노출된 표면에서 재료를 제거하기 위하여 플라즈마가 이용된다. 예를 들어, 제어기(55)는 진공 펌핑 시스템(58)과 가스 주입 시스템(40)을 제어할 수 있다.
기판(25)은 예를 들어, 기판이 기판 홀더(20) 내에 수용되어 있는 리프트 핀들(lift pins)(도시하지 않음)에 의해 수취되고, 내장된 장치들에 의해 기계적으로 이동되는 로봇을 이용하는 기판 이송 시스템에 의하여, 슬롯 밸브(slot valve)(도시하지 않음)와 챔버 피드스루(feed-through)(도시되지 않음)를 통하여 프로세스 툴(10)로 그리고 프로세스 툴(10) 외부로 이송될 수 있다. 일단, 기판(25)이 기판 이송 시스템으로부터 전달되면, 기판(25)은 기판 홀더(20)의 상부 표면에 안착된다.
예를 들어, 기판(25)은 정전기적 클램핑 시스템(electrostatic clamping system)(28)에 의하여 기판 홀더(20)에 고정될 수 있다. 또한, 기판 홀더(20)는 기판 홀더(20)로부터 열을 흡수하여 열 교환기 시스템(heat exchanger system)(도시되지 않음)으로 전달하거나, 가열 중일 경우에는 열 교환기 시스템으로부터 열을 전달하는 재순환 냉각제 흐름(recirculating coolant flow)을 포함하는 냉각 시스템(cooling system)을 더 포함할 수 있다. 더욱이, 기판(25)과 기판 홀더(20) 사이의 가스 간극(gas-gap) 열 컨덕턴스(thermal conductance)를 향상시키기 위하여, 후면 가스 시스템(back-side gas system)(26)을 경유하여 기판의 후면으로 가스가 전달될 수 있다. 이러한 시스템은 상승된 온도 또는 저하된 온도에서 기판의 온도 제어가 필요한 경우에 이용될 수 있다. 예를 들어, 기판의 온도 제어는 플라즈마로부터 기판으로 전달되는 열 유속(heat flux)과 기판 홀더(20)와의 접촉에 의해 기판(25)으로부터 제거되는 열 유속 사이의 균형으로 인하여 얻어지는 정상 상태(steady-state) 온도를 초과한 경우에 유용할 수 있다. 다른 실시예들에서는, 저항 가열 소자(resistive heating element)들과 같은 가열 소자 또는 열전기적 가열기/냉각기들이 포함될 수 있다.
도 2에 나타낸 바와 같이, 기판 홀더(20)는 프로세싱 영역(45)에서 고주파 전력(RF power)을 플라즈마와 연결시키는 전극을 포함한다. 예를 들어, 기판 홀더(20)는 고주파 발생기(RF generator)(30)로부터 임피던스 정합 네트워크(32)를 통해 기판 홀더(20)에 이르는 고주파 전력의 전송에 의해, RF 전압에서 전기적으로 바이어스될 수 있다. RF 바이어스는 플라즈마를 생성하고 유지하게 하기 위하여 전자를 가열하는 역할을 한다. 이러한 구성에서, 시스템은 반응성 이온 에칭(RIE, reactive ion etch) 반응기로 동작할 수 있다. 여기서 챔버와 상부의 가스 주입 전극은 그라운드 표면들로 사용될 수 있다. RF 바이어스를 위한 전형적인 주파수는 1MHz 내지 100MHz 범위에 속할 수 있으며, 바람직하게는 13.56MHz이다.
또한, 고주파 전력은 다양한 주파수들에서 기판 홀더 전극에 적용될 수 있다. 더욱이, 임피던스 정합 네트워크(32)는 반사 전력(reflected power)을 최소화함으로써, 프로세싱 챔버(10)에서 플라즈마로의 고주파 전력 전달을 극대화하기 위하여 사용된다. 다양한 정합 네트워크 토폴로지(예를 들어, L-type, π-type, T-type, 등)와 자동 제어 방법들이 사용될 수 있다.
도 2를 참조하면, 프로세스 가스는 예를 들어 가스 주입 시스템(40)을 통하여 프로세싱 영역(45)으로 보내질 수 있다. 프로세스 가스는, 예를 들어, 산화 에칭(oxide etch) 어플리케이션들을 위한 아르곤, CF4 및 O2 또는 C4F8 및 O2와 같은 가스들의 혼합물을 포함할 수 있다. 그리고 프로세스 가스는 예를 들어, O2/CO/Ar/C4F8, O2/CO/Ar/C5F8, O2/CO/Ar/C4F6, O2/Ar/C4F6, N2/H2와 같은 다른 화학물들을 포함할 수 있다. 가스 주입 시스템(40)은 프로세스 가스가 가스 전달 시스템(도시하지 않음)으로부터 가스 주입 플레넘(plenum)(도시하지 않음), 일련의 정류판(baffle plate)들(도시하지 않음) 및 다공(multi-orifice) 샤워헤드(showerhead) 가스 주입판(도시하지 않음)을 통하여, 프로세싱 영역(45)으로 공급되는 샤워헤드를 포함한다.
진공 펌핑 시스템(58)은 예를 들어 5000L/s(및 그 이상)까지의 펌핑 속도를 제공할 수 있는 터보 분자 진공 펌프(TMP, turbo-molecular vacuum pump)와 챔버의 압력을 조절하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭(dry plasma etch)을 위하여 사용되는 종래의 플라즈마 프로세싱 장치들에서는, 일반적으로 1000 내지 3000L/s의 터보 분자 펌프가 적용된다. 터보 분자 펌프들은, 통상적으로 50 mTorr 이하의 저압 프로세싱에서 유용하다. 더 높은 압력들에서는, 터보 분자 펌프의 펌핑 속도가 급격하게 떨어진다. 고압(즉, 100 mTorr 이상의) 프로세싱을 위하여, 기계적 부스터 펌프(mechanical booster pump)와 드라이 러핑 펌프(dry roughing pump)가 사용될 수 있다. 또한, 챔버 압력을 모니터링하기 위한 장치(도시하지 않음)가 프로세스 챔버(16)에 연결될 수 있다. 압력 측정 장치는 예를 들어 MKS Instrument, Inc.(Andover, MA)로부터 상업적으로 입수할 수 있는 Type 628B 바라트론 앱솔루트 커패시턴스 마노미터(Baratron absolute capacitance manometer)일 수 있다.
또한, 프로세스 제어 시스템(100)은 툴 데이터를 측정하기 위하여 프로세스 툴(10)과 연결되는 복수의 센서를 포함할 수 있으며, 프로세스 툴 제어기(55)는 툴 데이터를 수신하기 위하여 센서들과 연결할 수 있다. 이들 센서들은 프로세스 툴(10) 내부의 센서들과 프로세스 툴(10) 외부의 센서들을 모두 포함할 수 있다. 프로세스 툴(10) 내부의 센서들은 프로세스 툴(10)의 기능과 관계되는 센서들을 포 함할 수 있다. 여기서, 프로세스 툴(10)의 기능은 헬륨 후면 가스(Helium back-side gas) 압력, 헬륨 후면 흐름, 정전기적 클램핑(ESC) 전압, ESC 전류, 기판 홀더(20) 온도 (또는 하부 전극(LEL, lower electrode) 온도), 냉각제 온도, 상부 전극(UEL, upper electrode) 온도, 정방향(forward) 고주파 전력, 역방향 고주파 전력, 고주파 자기 유도 DC 바이어스, 고주파 피크 대 피크(peak-to-peak) 전압, 챔버 내벽 온도, 프로세스 가스 유속(flow rate), 프로세스 가스 분압, 챔버 압력, 커패시터 설정들(settings)(즉, C1과 C2의 위치), 포커스 링(focus ring) 두께, RF 시간(RF hours), 포커스링 RF 시간들의 측정 및 그에 대한 통계 자료를 얻을 수 있도록 한다. 택일적으로, 프로세스 툴(10) 외부의 센서들은 도 2에 도시된 바와 같이 프로세싱 영역(45)의 플라즈마로부터 방출된 광을 모니터링하기 위한 광검출 장치(34), 또는 도 2에 도시된 바와 같이, 프로세스 툴(10)의 전기적 시스템을 모니터링하기 위한 전기적 측정 장치(36)와 같은 프로세스 툴(10)의 기능과 직접적으로 관련되지 않은 것들을 포함할 수 있다.
광검출 장치(34)는 플라즈마로부터 방출된 전체 광 세기를 측정하기 위한 (실리콘) 광 다이오드 또는 광전자 증배관(PMT, photomultiplier tube)과 같은 검출기를 포함할 수 있다. 광검출 장치(34)는 협대역 간섭 필터와 같은 광학 필터를 더 포함할 수 있다. 다른 실시예에서, 광검출 장치(34)는 라인 전하 결합 소자(CCD, charge coupled device) 또는 전하 주입 소자(CID, charge injection device) 어레이 및 격자(grating) 또는 프리즘과 같은 분광 장치를 포함한다. 부 가적으로, 광검출 장치(34)는 주어진 파장에서 광을 측정하기 위한 단색 분광계(monochromator)(예를 들어, 격자/검출기 시스템), 또는 예를 들어, 미국특허 제5,888,337호에서 설명된 장치와 같은 광 스펙트럼을 측정하기 위한 분광기(예를 들어, 회전 격자를 갖춘)를 포함할 수 있다.
광검출 장치(34)는 Peak Sensor Systems로부터의 고해상도의 OES 센서를 포함할 수 있다. 이러한 OES 센서는 자외선(UV), 가시광선(VIS) 및 근적외선(NIR, near infrared) 광 스펙트럼에 걸쳐 넓은 스펙트럼을 갖는다. 해상도는 약 1.4 Å으로, 즉 센서는 240nm에서 1000nm 사이에서 5550개의 파장들을 수집할 수 있다. 센서는 2048 픽셀 라인 CCD 어레이들이 차례로 결합된 고감도 미니어쳐 광섬유 UV-VIS-NIR 분광계들을 갖추고 있다.
분광계는 단일 및 번들형 광섬유를 통해 전송된 광을 수신하고, 광섬유로부터 출력된 광은 고정 격자를 이용하여 라인 CCD 어레이를 가로질러 분산된다. 위에서 기술된 구성과 유사하게, 광학 진공 창(optical vacuum window)을 통한 광방출은 볼록 구형 렌즈를 통하여 광섬유의 입력단에 모아진다. 각각 주어진 스펙트럼 영역(UV, VIS 및 NIR)에 특정하게 맞추어진 3개의 분광계들은 프로세스 챔버용 센서를 형성한다. 각각의 분광계는 독립적인 A/D 컨버터를 포함한다. 또한, 마지막으로, 센서 이용에 의존하여, 전체 방출 스펙트럼은 0.1초 내지 1.0초마다 기록될 수 있다.
전기적 측정 장치(36)는 예를 들어, 전류 및/또는 전압 프로브(probe), 전력 계 또는 스펙트럼 분석기를 포함할 수 있다. 예를 들면, 플라즈마 프로세싱 시스템들은 흔히 고주파 전력을 이용해 플라즈마를 형성하는 데, 이 경우, 동축 케이블 또는 구조물과 같은 RF 전송선이 전기적 연결 소자(즉, 유도성 코일, 전극 등)를 통해 플라즈마에 RF 에너지를 연결하기 위해 사용된다. 예를 들어, 전류-전압 프로브를 사용한 전기적 측정들은, RF 전송선 내부와 같은 전기적(RF) 회로 내의 어디에서도 실시될 수 있다. 또한, 전압 또는 전류의 시간 추적과 같은 전기적 신호의 측정은 이산 푸리에 급수 표시(주기적인 신호로 가정하여)를 사용하여 주파수 공간으로의 신호의 변환을 허용한다. 이후, 푸리에 스펙트럼(또는 시변(time-varying) 신호의 경우, 주파수 스펙트럼)은 반도체 제조 시스템(1)의 상태를 특징짓기 위하여 모니터링되고 분석될 수 있다. 전압-전류 프로브는 예를 들어, 그 전부가 참조로써 본 명세서에 각각 포함되어 있는, 2001년 1월 8일에 출원되어 계류 중인 미국특허출원 일련번호 60/259,862 및 미국특허 제5,467,013에서 설명된 장치일 수 있다.
다른 실시예들에서, 전기적 측정 장치(36)는 반도체 제조 시스템(1)의 외부에 방사된 RF 필드를 측정하는 데 유용한 광대역 RF 안테나를 포함할 수 있다. 상업적으로 이용 가능한 광대역 RF 안테나는 안테나 리서치(Antenna Research) 모델 RAM-220(0.1MHz에서 300MHz)와 같은 광대역 안테나이다.
일반적으로, 복수의 센서들(50)은 프로세스 툴 제어기(55)에 툴 데이터를 제공하기 위하여 프로세스 툴(10)에 연결될 수 있는, 임의의 수의 내부 및 외부 센서들을 포함할 수 있다.
프로세스 툴 제어기(55)는 마이크로 프로세서, 메모리와 반도체 제조 시스템(1)과 통신하고, 반도체 제조 시스템(1)에 대한 입력들을 전달하고 활성화시킬 뿐만 아니라, 반도체 제조 시스템(1)의 출력을 모니터링하기 위하여 충분한 제어 전압을 생성할 수 있는 디지털 I/O 포트(잠재적으로 D/A 및/또는 A/D 컨버터를 포함하는)를 포함한다. 도 2에 도시된 바와 같이, 프로세스 툴 제어기(55)는 RF 발생기(30), 임피던스 정합 네트워크(32), 가스 주입 시스템(40), 진공 펌핑 시스템(58), 후면 가스 전달 시스템(26), 정전기적 클램핑 시스템(28), 광검출 장치(34) 및 전기적 측정 장치(36)에 연결되어 이들과 정보를 교환할 수 있다. 메모리에 저장된 프로그램은 저장된 프로세스 방법에 따라 반도체 제조 시스템(1)의 전술한 구성 요소들과 상호 작용하기 위하여 사용될 수 있다. 프로세스 툴 제어기(55)의 일례는 텍사스주 오스틴의 Dell Corporation에서 생산되는 DELL PRECISION WORKSTATION 530TM이다. 프로세스 툴 제어기(55)는 반도체 제조 시스템(1)에 대하여 근접하여 위치할 수도 있고, 반도체 제조 시스템(1)에 대해 멀리 위치할 수도 있다. 예를 들어, 프로세스 툴 제어기(55)는 직접 접속, 인트라넷 및 인터넷 중 적어도 하나를 이용하여 반도체 제조 시스템(1)과 정보를 교환할 수 있다. 프로세스 툴 제어기(55)는 예를 들어, 커스토머 위치(즉, 장치 생산자 등)에서 인트라넷에 연결되거나, 또는 벤더(vendor) 위치(즉, 설비 생산자)에서 인트라넷에 연결될 수 있다. 부가적으로, 예를 들어 프로세스 툴 제어기(55)는 인터넷에 연결될 수 있다. 또한, 다른 컴퓨터(즉, 제어기, 서버 등)는 예를 들어 직접 접속, 인트라넷 및 인터넷 중 적어도 하나를 통해 데이터를 교환하도록 프로세스 툴 제어기(55)에 액세스할 수도 있다.
도 3에 나타낸 바와 같이, 반도체 제조 시스템(1)은 자기장 시스템(60)을 포함할 수 있다. 예를 들어, 자기장 시스템(60)은 플라즈마 밀도를 잠재적으로 증가시키고/거나 재료 프로세싱 균일성을 향상시키기 위해 고정되거나 기계적 또는 전기적으로 회전하는 DC 자기장을 포함할 수 있다. 또한, 제어기(55)는 필드 세기 또는 회전 속도를 조절하기 위하여 자기장 시스템(60)과 연결될 수 있다.
도 4에 나타낸 바와 같이, 반도체 제조 시스템(1)은 상부 전극(70)을 포함할 수 있다. 예를 들면, RF 전력은 RF 생성기(72)로부터 임피던스 정합 네트워크(74)를 통하여 상부 전극(70)에 연결될 수 있다. 상부 전극에 인가되는 RF 전력의 주파수는 바람직하게는 10MHz 내지 200MHz의 범위에 포함되며, 바람직하게는 60MHz이다. 부가적으로, 하부 전극에 인가되는 RF 전력의 주파수는 0.1MHz 내지 30MHz이고, 바람직하게는 2MHz이다. 또한, 제어기(55)는 상부 전극(70)에 인가되는 RF 전력을 제어하기 위하여, RF 발생기(82) 및 임피던스 정합 네트워크(74)에 연결될 수 있다.
도 5에 나타낸 바와 같이, 도 1의 반도체 제조 시스템(1)은 유도성 코일(80)을 포함할 수 있다. 예를 들어, RF 전력은 RF 발생기(82)로부터 임피던스 정합 네트워크(84)를 통해 유도성 코일(80)에 연결될 수 있고, RF 전력은 유도성 코일(80)로부터 유전체창(도시하지 않음)을 통하여 플라즈마 프로세싱 영역(45)까지 유도적으로 연결될 수 있다. 유도성 코일(80)에 인가되는 RF 전력의 주파수는 바람직하게는 10MHz 내지 100MHz이고, 바람직하게는 13.56MHz이다. 유사하게, 척(chuck) 전극에 인가되는 전력의 주파수는 바람직하게는 0.1MHz 내지 30MHz이고, 바람직하게는 13.56MHz이다. 또한, 유도성 코일(80)과 플라즈마 사이의 용량성 연결을 감소시키기 위해 슬롯형(slotted) 패러데이 차폐물(Faraday shield)이 사용될 수 있다. 또한, 제어기(55)는 유도성 코일(80)에 인가되는 전력을 제어하기 위해 RF 발생기(82) 및 임피던스 정합 네트워크(84)에 연결될 수 있다. 다른 실시예에서, 유도성 코일(80)은 트랜스포머 연결 플라즈마(TCP, transformer coupled plasma) 리액터에서와 같이 위로부터 플라즈마 프로세스 영역(45)과 통신 중인 "나선형" 코일 또는 "팬케익형" 코일일 수 있다.
다른 방법으로, 플라즈마는 전자 싸이클로트론 공진(ECR, electron cyclotron resonance)을 이용하여 형성될 수 있다. 또 다른 실시예에서, 플라즈마는 헬리콘파의 발사(launching)로부터 형성될 수 있다. 다른 실시예에서, 플라즈마는 표면진행파(propagating surface wave)로부터 형성될 수 있다.
위에서 논의한 바와 같이, 프로세스 제어 시스템(100)은 프로세스 툴 제어기(55)를 포함하고, 프로세스 툴 제어기(55)는 프로세스 툴(10)을 조작하기 위하여 필요한 프로세스 제어 입력 데이터를 설정하도록 구성된다. 예를 들어, 프로세스 제어 입력 데이터 세트는 프로세스 제어 출력 데이터의 목표 세트를 실현하도록 선택될 수 있다. 프로세스 툴 제어기(55)는 또한, 계측 툴(14)로부터의 프로세스 제어 출력 데이터를 수신하고, 프로세스 제어 입력 데이터와 프로세스 제어 출력 데이터 사이의 관계(프로세스 모델(150))를 결정하고, 매 실행(run)에 있어서 프로세스 모델을 업데이트하고, 프로세스 모델을 이용하여 목표 프로세스 제어 출력 데이터를 얻기 위하여 프로세스 제어 입력 데이터를 최적화하고, 프로세스 제어를 위하여 프로세스 모델을 사용하기 위하여 적어도 하나의 알고리즘을 수행할 수 있다.
프로세스 모델(150)은 프로세스 제어 입력 데이터와 프로세스 제어 출력 데이터 사이의 관계를 확립하고, 그에 따라 프로세스 모델(150)은 프로세스 제어 입력 데이터에 대한 주어진 관측치에 대한 프로세스 제어 출력 데이터의 예측을 수행할 수 있다. 아래에서는, 프로세스 모델(150)을 구성하는 방법에 대하여 설명한다.
일례로서, 반도체 제조 시스템(1)은 건식 플라즈마 에칭을 수행하도록 구성된 플라즈마 프로세싱 장치일 수 있다. 보다 구체적으로, 플라즈마 프로세싱 장치는, 이산화실리콘(silicon dioxide)과 같은 유전체 필름에 트렌치, 비아, 또는 컨택트 중에서 적어도 하나를 에칭하기에 적합한, 도 3에 도시된 바와 같은, 에칭 시스템을 포함할 수 있다. 예를 들어, 도 6은 다마신 프로세스를 사용하여 형성되며, 에칭 프로세스를 사용하여 그를 통해 트렌치(230) 및 비아/컨택트(240)가 형성된 금속간 절연층들(inter-metal dielectric layers)(210, 220), 에칭 정지층(etch stop layer)(215) 및 배리어 층(barrier layer)(225)을 갖는 장치 구조물(200)의 단순화된 구조를 나타낸 것이다. 트렌치/비아 구조는 궁극적으로 금속선(250)에 대한 전기적 접촉을 제공할 수 있다. 상기의 설명이 에칭 프로세스에 대하여 편중되어 있지만, 이것이 본 발명의 범위를 어떤 방식으로든 한정하려는 것은 아니다.
도 6에 도시된 바와 같은 유전체 에칭 프로세스에 있어서, 프로세스 제어 입력 데이터는 예를 들어 RF 전력, 프로세스 압력, C4F8 유속, O2 유속 및 CO 유속을 포함할 수 있다. 또한, 도 6에 도시된 바와 같이, 프로세스 제어 출력 데이터는 예를 들어 트렌치 상부의 임계 치수(critical dimension)(260), 트렌치 바닥부의 임계 치수(262), 트렌치 측벽의 기울기(264), 컨택트 상부의 임계 치수(270), 컨택트 바닥부의 임계 치수(272) 및 컨택트 측벽의 기울기(274)를 포함할 수 있다.
임계 치수와 기울기의 측정은 갈라진 기판으로부터 SEM 현미경 사진을 보기 위한 주사 전자 현미경(SEM, scanning electron microscope)을 이용하여 직접적으로 또는 예를 들어, DUV 분광 엘립소메트리(spectroscopic ellipsometry)(예를 들어, 본 명세서에 그 전체가 참조로써 포함되어 있는, "Specular spectroscopic scatterometry", IEEE Transactions on Semiconductor Manufacturing, Vol. 14, No. 2, May 2001 참고)와 같은 진보된 현장(in-situ) 기술을 사용하여 간접적으로 이루어질 수 있다. ODP(optical digital profilometry) 특징을 갖는 상업적으로 이용 가능한 제품은 Therma-Wave, Inc(1250 Reliance Way, Fremont, CA 94539)의 하드웨어와 결합되어 Timber Technologies, Inc., A TEL company(5341 Randall Place, Fremont, CA 94538)에 의해 판매되고 배포되는 제품이다.
프로세스 제어 입력 데이터와 프로세스 제어 출력 데이터를 모두 포함하는 각각의 데이터 세트는 관측치 세트를 포함한다. 여기서, 기판 당 하나의 관측이 이루어지거나 복수의 관측들이 기판에 대하여 실행될 수 있다. 프로세스 제어 입력 데이터와 프로세스 제어 출력 데이터를 모두 포함하는, 하나의 관측치 세트에 속하는 각각의 관측치는 n차의 통계치(즉, 시간 평균, 시간 추적의 실효치(rms; root mean square), 시간 추적의 비틀림(skewness), 교차 상관, 자기 상관(auto-correlation), 분산 등)를 포함할 수 있다. 부가적으로, 관측치는 파라미터 데이터의 제곱 또는 외적(cross-product)을 포함할 수 있다.
예를 들어, 상술한 바와 같은 프로세스 제어 입력 데이터 파라미터들의 세트를 사용하여 실험계획법(DOE, design of experiments)이 수행될 수 있다. DOE는 46개의 관측치들(또는 기판의 런(substrate runs))로 변환되는 3개 레벨, 5 팩터(즉, 프로세스 제어 입력 데이터 파라미터들) 및 6개의 중심점(centerpoint)을 갖는 박스-벤켄(Box-Behnken) 디자인을 포함할 수 있다.
주어진 복수의 관측치 세트에 대하여, 다변량 분석(MVA, multivariate analysis, 이하, "MVA"라 함)을 이용하여 복수의 관측치 세트들의 프로세스 제어 입력 데이터와, 복수의 관측치 세트들의 프로세스 제어 출력 데이터 사이의 관계가 결정될 수 있다. 이와 같은 관계를 결정하기 위한 MVA 기술의 일례가 부분 최소 제곱(PLS, partial least squares, 이하, "PLS"라 함) 모델링이다.
PLS 분석을 이용하여, 복수의 센서 또는 현재의 프로세스 레시피 조건으로부터 프로세스 제어 입력 데이터의 관측치 세트들이 수신된다. 각각의 관측치 세트에 대하여, 프로세스 제어 입력 데이터는 행렬
Figure 112010006938494-pct00001
에 행(row)으로 저장될 수 있고, 프로세스 제어 출력 데이터는 행렬
Figure 112010006938494-pct00002
에 행으로 저장될 수 있다. 따라서, 일단 행렬
Figure 112010006938494-pct00003
가 조립(assembled)되면, 각 행은 상이한 관측치를 나타내고, 각 열(column)은 상이한 프로세스 제어 입력 데이터 파라미터를 나타내며, 일단 행렬
Figure 112010006938494-pct00004
가 조립되고 나면, 각 행은 상이한 관측치를 표현하고 각 열은 상이한 프로세스 제어 출력 데이터 파라미터를 나타낸다. 따라서, 위에서 예로 언급한 파라미터들의 집합을 사용하는 경우, 행렬
Figure 112010006938494-pct00005
는 46×5의 차원을 갖는 직사각 행렬이다. 유사하게, 일례로써, 행렬
Figure 112010006938494-pct00006
는 46×6의 차원을 갖는 직사각 행렬이다. 보다 일반적으로, 행렬
Figure 112010006938494-pct00007
는 m×n 행렬, 행렬
Figure 112010006938494-pct00008
는 m×p 행렬일 수 있다. 모든 데이터가 행렬들에 저장되면, 데이터는 필요에 따라 평균 중심화(mean-centered) 및/또는 정규화(normalized)된다. 행렬의 열에 저장된 데이터를 평균 중심화하는 과정은 해당 열에 속하는 원소들의 평균값을 계산하고, 각 원소로부터 평균값을 빼는 것을 포함한다. 또한, 행렬의 열에 존재하는 데이터는 해당 열의 데이터의 표준 편차에 의하여 정규화될 수 있다.
PLS 분석에서는, 프로세스 제어 입력 데이터(
Figure 112010006938494-pct00009
)를 프로세스 제어 출력 데이터(
Figure 112010006938494-pct00010
)에 연관시키는 일련의 로딩(loading)(또는 상관) 계수들의 세트가 정의될 수 있다. 일반적으로 다변수 분석을 위하여, 프로세스 제어 입력 데이터와 프로세스 제어 출력 데이터 사이의 관계는 아래와 같이 표현될 수 있다.
Figure 112006056957383-pct00011
여기서,
Figure 112006056957383-pct00012
는 상술한 m×n 행렬을 나타내고,
Figure 112006056957383-pct00013
는 n×p (p<n) 차원의 로딩(또는 상관) 행렬을 나타내고,
Figure 112006056957383-pct00014
는 상술한 m×p 행렬을 나타낸다.
일단 데이터 행렬들인
Figure 112010006938494-pct00015
Figure 112010006938494-pct00016
가 조립되면, PLS 분석을 통하여
Figure 112010006938494-pct00017
,
Figure 112010006938494-pct00018
공간을 최선으로 근사하며,
Figure 112010006938494-pct00019
Figure 112010006938494-pct00020
사이의 상관을 극대화할 수 있도록 설계된 관계가 확립된다.
PLS 분석 모델에서, 행렬
Figure 112006056957383-pct00021
Figure 112006056957383-pct00022
는 아래와 같이 분해된다.
Figure 112006056957383-pct00023
Figure 112006056957383-pct00024
Figure 112006056957383-pct00025
여기서,
Figure 112010006938494-pct00026
Figure 112010006938494-pct00027
의 변수들을 간추린 스코어들(scores)의 행렬이고,
Figure 112010006938494-pct00028
는 행렬
Figure 112010006938494-pct00029
에 대한 로딩의 행렬이고,
Figure 112010006938494-pct00030
Figure 112010006938494-pct00031
의 변수들을 요약하는 스코어들의 행렬이다. 그리고
Figure 112010006938494-pct00032
Figure 112010006938494-pct00033
Figure 112010006938494-pct00034
사이의 관계를 나타내는 가중치의 행렬이고,
Figure 112010006938494-pct00035
,
Figure 112010006938494-pct00036
,
Figure 112010006938494-pct00037
는 잔차들의 행렬이다. 또한, PLS 분석 모델에는
Figure 112010006938494-pct00038
Figure 112010006938494-pct00039
를 서로 연관시키고,
Figure 112010006938494-pct00040
를 계산하기 위하여 사용되는 가중치로 불리는 추가 로딩
Figure 112010006938494-pct00041
가 존재한다. 요약하면, PLS 분석은, 기하학적으로 원래의 데이터 테이블인
Figure 112010006938494-pct00042
Figure 112010006938494-pct00043
를 가장 근사하게 나타내고, 초평면(hyperplane) 상의 관측 지점들 사이의 공분산을 최대화하기 위하여, 다차원 공간 내의 점들로 표현되는
Figure 112010006938494-pct00044
Figure 112010006938494-pct00045
데이터에, 직선, 평면 또는 초평면을 적합하게 하는 것에 대응된다.
도 7은 PLS 분석으로의 데이터 입력,
Figure 112010006938494-pct00046
,
Figure 112010006938494-pct00047
와 이에 대응되는 출력인
Figure 112010006938494-pct00048
,
Figure 112010006938494-pct00049
,
Figure 112010006938494-pct00050
,
Figure 112010006938494-pct00051
,
Figure 112010006938494-pct00052
,
Figure 112010006938494-pct00053
,
Figure 112010006938494-pct00054
,
Figure 112010006938494-pct00055
및 VIP(variable importance in the projection)를 나타낸 개략도이다. PLS 분석 모델링을 지원하는 상업적으로 이용 가능한 소프트웨어로는 MATLAB(The Mathworks, Inc., Natick, MA로부터 구입 가능)과 함께 제공되는 PLS_Toolbox(The Mathworks, Inc., Natick, MA) 또는 SIMCA-P 8.0(Umetrics, Kinnelon, NJ로부터 구입 가능)을 들 수 있다.
VIP 데이터는
Figure 112010006938494-pct00056
Figure 112010006938494-pct00057
사이의 상관 관계에 가장 큰 영향을 미치는 입력 데이터 세트(즉, 프로세스 제어 입력 데이터)의 파라미터들을 식별하기 위하여 사용될 수 있다. 예를 들어, 상술한 바와 같은 예시적 에칭 프로세스를 사용하면, 5개의 프로세스 제어 입력 데이터 파라미터들이 C4F8 유속, O2 유속, 및 프로세스 압력에 대하여 감소될 수 있다.
일반적으로, 이러한 소프트웨어는 모델의 설명력(descriptive power, 즉,
Figure 112006056957383-pct00058
Figure 112006056957383-pct00059
사이에서 획득되는 상관 관계의 품질) 및 모델의 예측력(predictive power)에 관한 중요한 다른 정보를 출력한다. 예를 들어, SIMCA-P는, X-스코어들(
Figure 112006056957383-pct00060
), Y-스코어들(
Figure 112006056957383-pct00061
), 가중치들(
Figure 112006056957383-pct00062
Figure 112006056957383-pct00063
), 및 로딩들(
Figure 112006056957383-pct00064
) 각각의 일 벡터인 PLS 성분을 한번에 하나씩 반복적으로 계산한다. PLS 성분들은 중요도의 내림 차순으로 계산된다. 각각의 PLS 성분 이후에, SIMCA-P는, 현재 성분에 의해 설명되는 모든 Y 및 X의 제곱합(SS, sum of squares, 이하, "SS"라 함)의 비 (R2X, R2Y), 현재 성분에 의해 설명되는 모든 Y 및 X의 분산 비 (R2Xadj, R2Yadj), 추출된 모든 성분들에 의해 설명되는 모든 Y 및 X의 누적 SS(R2X(cum), R2Y(cum)), 및 추출된 모든 성분들에 의해 설명되는 모든 Y 및 X의 누적 분산 (R2Xadj(cum), R2Yadj(cum))을 디스플레이할 수 있다.
또한, 모든 능동 변수에 대해, 설명된 SS(R2V) 또는 분산(R2Vadj)의 비가 디스플레이될 수 있다. 이 값은 모든 PLS 성분들에 대하여, 현재 성분에 대해서 뿐만 아니라 누적적으로 계산된다. 응답 변수들
Figure 112010006938494-pct00065
의 경우, 이 값은 R2(다중 상관 계수), 적합도에 대응된다. 예를 들어, 상기 데이터를 이용하여, 표 1은 각각의 프로세스 제어 출력 데이터 파라미터, 즉, 트렌치 상부의 임계 치수(260), 트렌치 바닥부의 임계 치수(262), 트렌치 측벽의 기울기(264), 컨택트 상부의 임계 치수(270), 컨택트 바닥부의 임계 치수(272), 및 컨택트 측벽의 기울기(272)(도 6 참고)에 대한
Figure 112010006938494-pct00066
(R2VY(cum))를 위한 이들 값을 나타낸다.
예측 프로세스 제어 출력 데이터 R2
CD_트렌치 바닥부 0.962
CD_트렌치 상부 0.722
트렌치 기울기 0.931
CD_컨택트 바닥부 0.963
CD_컨택트 상부 0.899
컨택트 기울기 0.906
일반적으로, 모델 치수(중요한 PLS 성분들의 수)를 결정하는데 사용되는 추가적인 기준은 교차 타당성(cross validation)이다. 교차 타당성에 의해, 관측치들은 모델 전개와 무관하게 유지되고, 범위를 벗어난 관측치들에 대한 응답 값들(
Figure 112010006938494-pct00067
)이 모델에 의해 예측되어 실제 값들과 비교된다. 이 절차는, 매 관측치가 단 한번이라도 범위를 벗어날 때까지 수차례 반복된다. PRESS(prediction error sum of squares)는, 관측치들이 범위를 벗어났을 때 관측된
Figure 112010006938494-pct00068
와 예측 값들 사이의 제곱 차들이다. 매 치수에 대해, 전반적인 PRESS/SS 및 각각의
Figure 112010006938494-pct00069
변수(m)에 대한 (PRESS/SS)m도 계산되는데, 여기에서 SS는 선행 치수의 잔차제곱합이다. 이 값들은 모델의 예측력에 대한 양호한 측정치들이다. 예를 들어, SIMCA-P는 이 정보를, 성분에 의해 예측될 수 있는 Y의 총 변량(variation)의 비(Q2=(1.0-PRESS/SS)), 성분에 의해 예측될 수 있는 변수 Ym의 변량의 비(Q2V=(1.0-PRESS/SS)m), 추출된 성분들을 위한 누적 Q2(Q2 cum=∏(1.0-PRESS/SS)a), 및 변수의 누적 Q2V(Q2Vcum=∏(1.0-PRESS/SS)ka)과 같이 나타낼 수 있다.
이제 도 8을 참조하면, PLS 분석을 이용하여 전개된 프로세스 모델을 사용하여 프로세스 제어 출력 데이터를 예측하기 위한 GUI가 제시된다. GUI 스크린(300)은 프로세스 제어 입력 데이터의 변화를 표시하기 위한 하나 이상의 필드들을 포함한다. 예를 들어, C4F8 유속을 변화시키기 위한 제1 델타 필드(302), O2 유속을 변화시키기 위한 제2 델타 필드(304), 및 프로세스 압력을 변화시키기 위한 제3 델타 필드(306)가 제공된다. 플러스 1(+1) 또는 마이너스 1(-1)과 같은 수치가 입력되어 특정 파라미터를 조정할 수 있는데, 유속들은 분당 표준 제곱 센티미터(sccm, standard cubic centimeters per minute)의 단위로 주어지고, 압력은 mTorr의 단위로 주어진다.
부가적으로, 제1 포함(inclusion) 필드(308), 제2 포함 필드(310), 및 제3 포함 필드(312)는, 변화가 일어날 수 있는 파라미터들을 식별하는데 이용될 수 있다. 예를 들어, 하나의 포함 필드가 체크되면, 특정 파라미터에 대한 변화는 허용되지 않는다. 또한, 제1 파라미터에 대한 변화가 영향을 받을 수 있는 최소값과 최대값을 특정하기 위한 제1 최소 및 제1 최대 범위(314), 제2 파라미터에 대한 변화가 영향을 받을 수 있는 최소값과 최대값을 특정하기 위한 제2 최소 및 제2 최대 범위(316), 및 제3 파라미터에 대한 변화가 영향을 받을 수 있는 최소값과 최대값을 특정하기 위한 제3 최소 및 제3 최대 범위(318)가 제공된다. 예를 들어, 최소 및 최대 범위는 프로세스 모델에 의해 제공되는 예측에서 최고 신뢰도를 갖는 파라미터 범위를 식별하도록 설정될 수 있다. 여기에서는 3개의 프로세스 제어 입력 데이터 파라미터들만이 언급되지만, 더 많거나 적은 파라미터들이 이용될 수도 있으므로, 본 발명은 이러한 일례에 의한 임의의 방식으로 그 범위가 한정되지 않는다.
또한 도 8과 관련하여, 일단 적용 함수(320)을 통하여 프로세스 제어 입력 데이터에 대한 변화가 요청되면, 프로세스 모델은 프로세스 제어 출력 데이터에 대한 결과적 변화를, 예를 들어, 트렌치 상부에서의 임계 치수를 위한 제1 출력 필드(322), 트렌치 바닥부에서의 임계 치수를 위한 제2 출력 필드(324), 트렌치 측벽의 기울기를 위한 제3 출력 필드(326), 컨택트 상부에서의 임계 치수를 위한 제4 출력 필드(328), 컨택트 바닥부에서의 임계 치수를 위한 제5 출력 필드(330), 및 컨택트 측벽의 기울기를 위한 제6 출력 필드(332)에 제시한다. 여기에서는 6개의 프로세스 제어 출력 파라미터들만이 언급되지만, 더 많거나 적은 파라미터들이 이용될 수도 있으므로, 본 발명은 이러한 일례에 의한 임의의 방식으로 그 범위가 한정되지 않는다.
도 9는 프로세스 제어 출력 데이터의 특정 파라미터에서 목표 변화를 실현하기 위하여, 한 세트의 프로세스 제어 입력 데이터를 최적화하기 위한 또 하나의 GUI를 제시한다. GUI 스크린(400)은 프로세스 제어 입력 데이터에서 예측된 변화를 디스플레이하기 위한 하나 이상의 필드들을 포함한다. 예를 들어, C4F8 유속에 대한 변화를 예측하기 위한 제1 델타 필드(402), O2 유속에 대한 변화를 예측하기 위한 제2 델타 필드(404), 및 프로세스 압력에 대한 변화를 예측하기 위한 제3 델타 필드(406)가 제공된다. 플러스 1(+1) 또는 마이너스 1(-1)과 같은 수치가 프로세스 제어 출력 데이터 파라미터에 대한 특정 변화를 실현하기 위한 프로세스 제어 입력 데이터의 최적화에 수반하여 디스플레이될 수 있는데, 유속들은 sccm의 단위로 주어지고, 압력은 mTorr의 단위로 주어진다. 부가적으로, 제1 포함 필드(408), 제2 포함 필드(410), 및 제3 포함 필드(412)가, 예측된 변화가 제시될 수 있는 파라미터들을 식별하는데 이용될 수 있다. 예를 들어, 하나의 포함 필드가 체크되면, 최적화 프로세스를 위하여 특정된 파라미터에 대한 변화는 허용되지 않는다. 또한, 제1 최소 및 제1 최대 범위(414)가 제1 파라미터에 대한 변화가 영향을 받을 수 있는 최소값과 최대값을 특정하기 위해 제공되고, 제2 최소 및 제2 최대 범위(416)가 제2 파라미터에 대한 변화가 영향을 받을 수 있는 최소값과 최대값을 특정하기 위해 제공되며, 제3 최소 및 제3 최대 범위(418)가 제3 파라미터에 대한 변화가 영향을 받을 수 있는 최소값과 최대값을 특정하기 위해 제공된다. 예를 들어, 최소 및 최대 범위는 프로세스 모델에 의해 제공되는 예측에서 최고 신뢰도를 갖는 파라미터 범위를 식별하도록 설정될 수 있다. 여기에서는 3개의 프로세스 제어 입력 데이터 파라미터들만이 언급되지만, 더 많거나 적은 파라미터들이 이용될 수도 있으므로, 본 발명은 이러한 일례에 의한 임의의 방식으로 그 범위가 한정되지 않는다.
또한, 도 9와 관련하여, 목표 변화(424)가 특정한 프로세스 제어 출력 데이터 프로세스를 위해 특정될 수 있다. 제공된 일례의 경우, 프로세스 제어 출력 데이터 파라미터는 트렌치 바닥부에서의 임계 치수이다. 일단 목표 변화(424)가 입력되고 나면, 필드들(402, 404, 및 406)의 프로세스 제어 입력 데이터 세트는 최적화 함수(422)의 사용을 통하여 최적화될 수 있다. 그 후, 프로세스 제어 입력 데이터 세트에서의 변화는 적용 함수(420)를 선택함으로써 수용될 수 있다.
도 10은 도 1 내지 도 5와 관련하여 설명된 바와 같은, 반도체 제조 시스템에서 프로세스를 제어하는 방법을 나타낸다. 본 방법은, 단계(510)에서 반도체 제조 시스템에서 수행될 프로세스에 대하여 프로세스 제어 입력 데이터를 설정하는 것으로 시작하는 흐름도(500)로 예시된다. 프로세스 제어 입력 데이터는 하나 이상의 데이터 파라미터들을 이용해 프로세스에 대한 프로세스 레시피를 설정할 수 있다. 예를 들어, 에칭 시스템에서 하나 이상의 데이터 파라미터들은 RF 전력, 압력, 온도, 가스 유속(들), 전압, 전류, 밀도, 광도(light intensity), 기판 후면 가스 압력 중 적어도 하나를 포함할 수 있다. 전술한 일례에서, 프로세스 제어 입력 데이터는 RF 전력, 압력, C4F8 유속, O2 유속, 및 CO 유속을 포함한다. 부가적으로, 예를 들어, 기판을 감광제로 코팅하는 것과 같은 스핀 코팅 시스템에서, 프로세스 제어 입력 데이터는 코팅 유체의 투입(dispensing) 속도, 코팅 유체의 조성, 스핀 척 회전 속도, 압력, 온도, 습도 등 중 적어도 하나를 포함할 수 있다. 특정 프로세스를 위한 한 세트의 프로세스 제어 입력 데이터를 설계하기 위한 기술들은 반도체 제조업계의 당업자들에게 널리 공지되어 있다.
단계(520)에서는, 프로세스에 대한 입력으로 프로세스 제어 입력 데이터를 사용하여 프로세스가 실행된다. 예를 들어, 프로세스는 에칭 프로세스, 증착 프로세스, 스핀 코팅 프로세스, 확산 프로세스, 산화 프로세스, 열 프로세스 등을 포함할 수 있다.
단계(530)에서는, 반도체 제조 시스템에서 수행되는 프로세스를 위하여 프로세스 제어 출력 데이터가 측정된다. 프로세스 제어 출력 데이터는 프로세스의 성능을 나타내는 하나 이상의 데이터 파라미터들을 포함할 수 있다. 예를 들어, 에칭 시스템에서 하나 이상의 데이터 파라미터들은 임계 치수, 피처(feature) 측벽의 기울기(예를 들어, 에칭 피처의 측벽 프로파일), 에칭 깊이, 에칭 속도, 균일성, 에칭 선택도(제2 재료가 에칭되는 속도에 대한 제1 재료가 에칭되는 속도의 비) 등 중 적어도 하나를 포함할 수 있다. 다른 방법으로, 예를 들어, 증착 프로세스에서는, 하나 이상의 데이터 파라미터들이 증착 속도, 필름 특성(예를 들어, 필름 스트레스, 다공도 등), 균일성, 필름 두께 등 중 적어도 하나를 포함할 수 있다. 다른 방법으로, 예를 들어, 플라즈마 프로세스에서, 하나 이상의 데이터 파라미터들은 (예를 들어, Langmuir 프로브로부터 얻어지는) 플라즈마 밀도, (예를 들어, 이온 에너지 스펙트럼 분석기로부터 얻어지는) 이온 에너지, (예를 들어, 광 방출 분광기로부터 얻어지는) 화학 종의 농도, 온도, 압력 등 중 적어도 하나를 포함할 수 있다. 다른 방법으로, 예를 들어, 스핀 코팅 시스템에서, 하나 이상의 데이터 파라미터들은 마스크(예를 들어, 감광성 수지) 필름 두께, 마스크(예를 들어, 감광성 수지) 패턴의 임계 치수 등 중 하나 이상을 포함할 수 있다.
단계(540)에서는, 관계(예를 들어, 도 1의 프로세스 모델(150)과 같은)가 프로세스 제어 입력 데이터와 프로세스 제어 출력 데이터 사이에서 결정된다. 관계는 MVA로부터 유도되는 상술한 PLS 분석과 같은 프로세스 모델을 포함할 수 있다. 예를 들어, 프로세스 제어 입력 데이터가 다수의 입력 데이터 파라미터들을 포함하고 프로세스 제어 출력 데이터가 다수의 출력 데이터 파라미터들을 포함할 때, 프로세스 모델은 다중 입력 다중 출력(MIMO, multiple input multiple output, 이하, "MIMO"라 함) 모델, 즉, 다음의 수학식 3을 포함할 수 있다.
Figure 112006056957383-pct00070
여기에서,
Figure 112006056957383-pct00071
는 프로세스 제어 출력 데이터를 포함하는 벡터이고,
Figure 112006056957383-pct00072
는 프로세스 제어 입력 데이터뿐만 아니라, 예를 들어 그것의 제곱 항목들 및 교차 항목들(cross terms)을 포함하는 벡터이며,
Figure 112006056957383-pct00073
는 예를 들어, 런 투 런(예를 들어, 기판-대-기판, 관측-대-관측 등)으로부터 업데이트될 수 있는 상수들을 포함하는 벡터이다. 다른 방법으로, 예를 들어, 프로세스 제어 입력 데이터가 하나의 입력 데이터 파라미터만을 포함하고, 프로세스 제어 출력 데이터가 하나의 출력 데이터 파라미터만을 포함할 경우, 프로세스 모델은 단일 입력 단일 출력(SISO, single input single output, 이하, "SISO" 라 함) 모델, 즉, 다음의 수학식 4를 포함할 수 있다.
Figure 112006056957383-pct00074
여기서, y, x는 단일-값 변수들이고, c는 상수이다.
단계(550)에서는, 목표 프로세스 제어 출력 데이터(
Figure 112006056957383-pct00075
,
Figure 112006056957383-pct00076
)가 오퍼레이터 또는 사용자에 의해 프로세스의 원하는 목표 결과로 설정된다. 목표 데이터는 프로세스 툴에서 국지적으로 또는 원격적으로 설정될 수 있다.
단계(560)에서, 일단 목표 프로세스 제어 출력 데이터가 프로세스에 대해 설정되고 나면, 목표 프로세스 제어 출력 데이터와 프로세스에 대해 측정된 프로세스 제어 출력 데이터 사이의 차이가 결정된다. 단계(562)에서 그 차이가 임계치를 초과하면, 단계(580)에서 새로운 프로세스 제어 입력 데이터가 계산된다. 단계(562)에서 그 차이가 임계치를 초과하지 않으면, 이전의 프로세스 제어 입력 데이터가 사용된다. 이러한 비교를 포함하는 옵션은 지나치게 민감한 제어를 방지하면서 프로세스를 제어할 수 있는, 즉 현재의 프로세스 제어 출력 데이터가 목표 데이터로부터 허용 가능한 거리 내에 있을 때, 프로세스 제어 입력 데이터에 대한 변화들이 수행되는 방법을 제공한다.
단계(580)에서는, 단계(560)에서 설정된 목표 프로세스 제어 출력 데이터와, 프로세스 모델에 적용되는 새로운 프로세스 제어 입력 데이터를 이용하여 단계(540)에서 프로세스 모델에 의해 예측되는 프로세스 제어 출력 데이터간의 차이를 최소화하는 것에 의해 새로운 프로세스 제어 입력 데이터가 계산된다. 예를 들어, SISO 프로세스 모델을 사용하면, 최소화 문제는 다음의 수학식 5a와 같이 표현될 수 있다.
Figure 112006056957383-pct00077
보다 일반적으로, MIMO 프로세스 모델의 경우, 아래의 수학식 5b와 같이 표현될 수 있다.
Figure 112006056957383-pct00078
여기서, 'k+1'은 새로운 프로세스에 대한 새로운 데이터를 표현한다. 이용되는 알고리즘은, 예를 들어, Newton-Rhapson 솔버(solver)를 포함할 수 있다. 이러한 최소화 문제는 최적화 문제이므로, 최적화 문제를 해결하고자 할 경우, 3가지 상황들, 즉, 정확한 솔루션, 조건이 불충분한 문제(under-determined problem), 및 조건이 초과되는 문제(over-determined problem)에 직면할 수 있다. 다수의 솔루션들이 존재하는 경우들에서, 다른 기준은 프로세스 제어 입력 데이터에 대한 이전 값(들)과 새로운 프로세스 제어 입력 데이터 사이의 변화가 최소화되어야 한다는 것이다. 이는 아래의 수학식 6a와 같이 나타낼 수 있다.
Figure 112006056957383-pct00079
보다 일반적으로, 아래의 수학식 6b와 같이 나타낼 수 있다.
Figure 112006056957383-pct00080
단계(564)에서는, 예를 들어, MIMO 프로세스 모델을 사용해 새로운 프로세스 제어 입력 데이터를 계산하는 동안, 프로세스 제어 입력 데이터에 가중치를 부여하는 옵션이 제공된다. 옵션이 선택되면, 단계(566)에서, 프로세스 제어 입력 데이터 세트 내의 적어도 하나의 데이터 파라미터에 가중치가 부여된다. 예를 들어, 하나의 데이터 파라미터에 다른 데이터 파라미터에 비하여 더 큰 가중치가 부여될 수 있으므로, 목표 프로세스 제어 출력 데이터를 얻기 위하여 필요한 프로세스 제어 입력 데이터에서의 변화는 보다 많이 가중된 데이터 파라미터를 선호한다. 단계(580)에서 해결되는 최소화 문제는 이제, 아래의 수학식 7과 같이 나타낼 수 있다(MIMO 프로세스 모델의 경우).
Figure 112006056957383-pct00081
단계(568)에서는, MIMO 프로세스 모델을 사용해 새로운 프로세스 제어 입력 데이터를 계산하는 동안 프로세스 제어 출력 데이터에 가중치를 주는 옵션이 제공된다. 옵션이 선택되면, 단계(570)에서는 프로세스 제어 출력 데이터 세트 내의 하나 이상의 데이터 파라미터에 가중치가 부여된다. 예를 들어, 하나의 데이터 파라미터에 다른 데이터 파라미터에 비해 더 큰 가중치가 부여될 수 있으므로, 프로세스 제어 입력 데이터에서의 필요한 변화는 좀더 많이 가중된 데이터 파라미터를 선호한다. 단계(580)에서 해결되는 최소화 문제는 이제, 아래의 수학식 8과 같이 나타낼 수 있다(MIMO 프로세스 모델의 경우).
Figure 112006056957383-pct00082
여기에서,
Figure 112006056957383-pct00083
는 프로세스 제어 출력 데이터 가중치 행렬이다.
단계(590)에서는, 현재의 프로세스 제어 입력 데이터 또는 단계(580)에서 결정된 새로운 프로세스 제어 입력 데이터를 이용하여, 반도체 제조 시스템에서 프로세스가 실행된다.
단계(600)에서는, 단계(590)에서 실행된 프로세스에 대해 프로세스 제어 출력 데이터가 측정된다.
단계(602)에서는, 단계(540)에서 확립된 관계(또는 프로세스 모델)를 업데이트하기 위한 결정이 수행된다. 프로세스 모델에 대한 업데이트가 요청되면, 프로세스 모델은 단계(604)에서 업데이트된다. 예를 들어, 지수 가중 이동 평균(EWMA, exponentially weighted moving average) 필터가 업데이트에 이용될 수 있다. 이는 아래의 수학식 9a와 같이 나타낼 수 있다.
Figure 112006056957383-pct00084
보다 일반적으로, MIMO 프로세스 모델의 경우, 아래의 수학식 9b가 이용될 수 있다.
Figure 112006056957383-pct00085
여기에서, λ는 EWMA 필터 계수(0≤λ≤1)를 나타낸다. 예를 들어, λ=0일 경우, 프로세스 모델은 업데이트되지 않고, 상수 c는 프로세스 'k'로부터 프로세스 'k+1'까지 변화없이 유지된다. λ=1일 경우, 프로세스 모델은 업데이트되고, 상수 c는 프로세스 'k'로부터 프로세스 'k+1'까지 이전 상수(ck)가 아닌 것으로 가정된다.
도 11은 본 발명의 프로세스 툴 제어기(110)가 구현될 수 있는 컴퓨터 시스템(1201)의 일 실시예를 나타낸다. 컴퓨터 시스템(1201)은 상술한 흐름도(500)에서 설명된 방법을 포함하는 프로세스 툴 제어기(110)의 함수들 중 어느 하나 또는 전부를 수행하도록 프로그램 및/또는 구성된다. 컴퓨터 시스템(1201)은 버스(1202) 또는 정보를 전달하기 위한 다른 통신 메커니즘, 및 정보를 프로세싱하기 위해 버스(1202)와 연결되어 있는 내부 프로세서(1203)를 포함한다. 컴퓨터 시스템(1201)은 내부 프로세서(1203)에 의해 실행될 정보 및 명령어들을 저장하기 위해 버스(1202)에 연결되어 있는, RAM(random access memory) 또는 다른 동적 저장 장치(예를 들어, DRAM(dynamic RAM), SRAM(static RAM), 및 SDRAM(synchronous DARM))와 같은, 메모리(1204)를 포함한다. 또한, 메모리(1204)는 내부 프로세서(1203)에 의하여 명령어들이 실행되는 동안, 임시 변수들 또는 다른 중간 정보를 저장하는데 사용될 수도 있다. 컴퓨터 시스템(1201)은 바람직하게는, 내부 프로세서(1203)를 위한 정적 정보 및 명령어들을 저장하기 위해 버스(1202)에 연결되어 있는, 예를 들어, ROM(read only memory, 1205) 또는 다른 정적 저장 장치(예를 들어, PROM(programmable ROM), EPROM(erasable PROM), 및 EEPROM(electrically erasable PROM))와 같은, 비휘발성 메모리를 포함한다.
컴퓨터 시스템(1201)은 특수 목적의 로직 장치들(예를 들어, ASIC들(applications specific integrated circuits)) 또는 구성 가능한 로직 장치들(예를 들어, SPLD들(simple programmable logic devices), CPLD들(complex programmable logic devices), 및 FPGA들(field programmable gate arrays))을 포함할 수도 있다.
컴퓨터 시스템(1201)은, 메인 메모리(1204)와 같은, 메모리에 포함되어 있는 하나 이상의 명령어들의 하나 이상의 시퀀스들을 실행하는 내부 프로세스(1203)에 응답하여, 본 발명의 프로세싱 단계들 중 일부 또는 전부를 수행한다. 그러한 명령어들은, 하드 디스크(1207) 또는 분리형 미디어 드라이브(1208)와 같이 다른 컴퓨터에서 판독 가능한 매체로부터 메인 메모리(1204)로 판독될 수도 있다. 그러한 능력은, 전자 모니터링 장치가 반도체 프로세싱 시스템(12)의 외부에 위치하는 실시예와 대부분 호환 가능하다. 멀티 프로세싱 장치의 하나 이상의 프로세서들이 메인 메모리(1204)에 포함되어 있는 명령어들의 시퀀스들을 실행하는데도 이용될 수 있다. 다른 실시예들에서는, 하드 배선 회로(hard-wired circuitry)가 소프트웨어 명령어들 대신에 또는 소프트웨어 명령어들과 함께 사용될 수도 있다. 따라서, 실시예들은 하드웨어 회로 및 소프트웨어에 대한 임의의 특정 조합으로 한정되지 않는다.
상술한 바와 같이, 컴퓨터 시스템(1201)은 본 발명의 내용들에 따라 프로그램된 명령어들을 보유하고, 여기에서 설명되는 데이터 구조들, 표들, 레코드들, 또는 다른 데이터를 포함하기 위한 적어도 하나의 컴퓨터 판독 가능 매체 또는 메모리를 포함한다. 본 발명에 적합한 컴퓨터 판독 가능 매체들의 일례들로는 컴팩트 디스크(CD)들, 하드 디스크들, 플로피 디스크들, 테이프, 광자기 디스크들, PROM들(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM 또는 임의의 다른 자기 매체, 컴팩트 디스크들(예를 들어, CD-ROM) 또는 임의의 다른 광학 매체, 천공 카드들, 종이 테이프, 또는 홀들의 패턴들을 갖는 다른 물리 매체, (후술되는) 반송파, 또는 컴퓨터가 판독해 낼 수 있는 임의의 다른 매체를 들 수 있다.
컴퓨터 판독 가능 매체들 중 어느 하나 또는 컴퓨터 판독 가능 매체들의 조합에 저장된 본 발명은, 컴퓨터 시스템(1201)을 제어하고, 본 발명을 구현하기 위한 장치 또는 장치들을 구동하고, 그리고 컴퓨터 시스템(1201)이 인간 사용자와 상호 작용하는 것(예를 들어, 소모품 폐기 담당자와 상호 작용하는 것)을 가능하게 하기 위한 소프트웨어를 포함한다. 그러한 소프트웨어는 장치 드라이버들, 오퍼레이팅 시스템들, 전개 도구들, 및 애플리케이션 소프트웨어를 포함할 수도 있지만, 그에 한정되는 것은 아니다. 그러한 컴퓨터 판독 가능 매체들은 본 발명의 구현시에 수행되는 프로세싱의 전부 또는 (프로세싱이 분산된다면) 일부를 수행하기 위한 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
본 발명의 컴퓨터 코드 장치들은, 스크립트들, 해석 가능한 프로그램들, DLL들(dynamic link libraries), 자바 클래스들, 및 완전한 실행 가능 프로그램들을 포함하지만, 이에 한정되지 않고, 임의의 해석 가능하거나 실행 가능한 코드 메커니즘일 수 있다. 또한, 본 발명의 프로세싱 부분들은 좀더 양호한 성능, 신뢰도, 및/또는 비용을 위해 분산될 수도 있다.
여기에서 사용되는 "컴퓨터 판독 가능 매체"라는 용어는, 실행을 위한 명령어들을 내부 프로세서(1203)에 제공하는데 관계되는 임의의 매체를 의미한다. 컴퓨터 판독 가능 매체는 비휘발성 매체, 휘발성 매체, 및 전송 매체를 포함하지만, 이에 한정되지 않고 다양한 형태들을 취할 수 있다. 비휘발성 매체는 예를 들어, 하드 디스크(1207) 또는 분리형 미디어 드라이브(1208)와 같은, 광 디스크들, 자기 디스크들, 및 광자기 디스크들을 포함한다. 휘발성 매체는 메인 메모리(1204)와 같은 동적 메모리를 포함한다. 전송 매체는 버스(1202)를 구성하는 와이어들을 포함하여, 동축 케이블들, 구리선 및 광섬유들을 포함한다. 전송 매체는 라디오파 및 적외선 데이터 통신 중에 발생되는 것과 같은, 음파 또는 광파의 형태를 취할 수도 있다.
실행을 위하여 내부 프로세서(1203)로 하나 이상의 명령어들의 하나 이상의 시퀀스들을 전달하는 데, 컴퓨터 판독 가능 매체들의 다양한 형태들이 관련될 수 있다. 예를 들어, 명령어들은 처음에는 원격 컴퓨터의 자기 디스크에서 수행될 수도 있다. 예를 들어, 툴 제어기(26)와 같은 원격 컴퓨터는 본 발명의 전부 또는 일부를 구현하기 위한 명령어들을 동적 메모리로 원격적으로 로딩하고 명령어들을 전자 모니터링 장치(10)로 송신할 수 있다. 버스(1202)에 연결되어 있는 적외선 검출기는 적외선 신호로 전달되는 데이터를 수신하여 데이터를 버스(1202)에 배치할 수 있다. 버스(1202)는 데이터를 메인 메모리(1204)로 전달하는데, 내부 프로세서(1203)는 메인 메모리(1204)로부터 명령어들을 검색하여 실행한다. 메인 메모리(1204)에 의해 수신된 명령어들은 선택적으로 내부 프로세서(1203)에 의한 실행 이전에 또는 이후에 저장 장치(1207 또는 1208)에 저장될 수 있다.
컴퓨터 시스템(1201)은 버스(1202)에 연결되어 있는 통신 인터페이스(1213)도 포함한다. 통신 인터페이스(1213)는, 예를 들어 LAN(local area network)(1215)에 접속되거나 또는 인터넷과 같은 다른 통신 네트워크(1216)에 접속되어 있는 네트워크 링크(1214)에 양방향 데이터 통신 연결을 제공한다. 예를 들어, 통신 인터페이스(1213)는 임의의 패킷 스위치형 LAN에 부착하기 위한 네트워 크 인터페이스 카드일 수도 있다. 다른 일례로서, 통신 인터페이스(1213)는 통신 라인의 대응되는 유형에 데이터 통신 접속을 제공하기 위한 ADSL(asymmetrical digital subscriber line) 카드, ISDN(integrated services digital network) 카드, 또는 모뎀일 수도 있다. 무선 링크들이 구현될 수도 있다. 임의의 그러한 구현에서, 통신 인터페이스(1213)는 정보의 다양한 유형들을 표현하는 디지털 데이터 스트림들을 전달하는 전기, 전자기 또는 광학 신호들을 송수신한다.
네트워크 링크(1214)는 통상적으로 하나 이상의 네트워크들을 통해 다른 데이터 장치들에 데이터 통신을 제공한다. 예를 들어 네트워크 링크(1214)는 로컬 네트워크(1215)(예를 들어, LAN)를 통해 또는 통신 네트워크(1216)를 통해 통신 서비스들을 제공하는 서비스 제공자에 의해 조작되는 장비를 통해 다른 컴퓨터로의 접속을 제공할 수도 있다. 로컬 네트워크(1214) 및 통신 네트워크(1216)는, 예를 들어, 디지털 데이터 스트림들을 전달하는 전자, 전자기, 또는 광학 신호들 및 연관된 물리 계층(예를 들어, CAT 5 케이블, 동축 케이블, 광섬유 등)을 사용한다. 다양한 네트워크들을 통한 신호들과, 컴퓨터 시스템(1201)으로 그리고 컴퓨터 시스템(1201)으로부터 디지털 데이터를 전달하는 네트워크 링크(1214) 상의 신호들 및 통신 인터페이스(1213)를 통한 신호들은, 기저 대역 신호들 또는 반송파 기반 신호들로 구현될 수 있다. 기저 대역 신호들은 디지털 데이터를 디지털 데이터 비트들의 스트림으로 기술되는 비변조 전기 펄스들로서 전달하는데, 여기에서 "비트들"이라는 용어는 심볼을 의미하는 것으로 광범위하게 해석되어야 하고, 각각의 심볼은 적어도 하나의 정보 비트들을 전달한다. 또한, 디지털 데이터는 전도 매체들을 통 해 전파되거나 전파 매체를 통해 전자파로서 전송되는 진폭, 위상 및/또는 주파수 편이 변조 신호들과 같이, 반송파를 변조하는데도 사용될 수 있다. 따라서, 디지털 데이터는 "유선" 통신 채널을 통해 비변조 기저 대역 데이터로서 그리고/또는 반송파를 변조를 통하여, 기저 대역과는 다른 미리 결정된 주파수 대역 내에서 송신될 수 있다. 컴퓨터 시스템(1201)은 네트워크(들)(1215 및 1216), 네트워크 링크(1214), 및 통신 인터페이스(1213)를 통하여, 프로그램 코드를 포함하는 데이터를 송수신할 수 있다. 또한, 네트워크 링크(1214)는 LAN(1215)을 통해, PDA(personal digital assistant), 랩탑 컴퓨터, 또는 셀룰러 전화기와 같은 모바일 장치(1217)로의 접속을 제공할 수도 있다.
이상에서는 본 발명에 대한 소정의 예시적 실시예들만이 상세하게 설명되었지만, 당업자라면, 본 발명의 신규한 내용들 및 이점들을 벗어나지 않으면서, 다수 변형들이 가능하다는 것을 쉽게 이해할 수 있을 것이다. 따라서, 이러한 모든 변형들은 본 발명의 범위 내에 포함되어야 한다.

Claims (55)

  1. 반도체 제조 시스템의 프로세스 제어 방법에 있어서,
    상기 반도체 제조 시스템의 상기 프로세스에 대하여 프로세스 제어 입력 데이터를 설정하는 단계;
    상기 반도체 제조 시스템의 상기 프로세스로부터 프로세스 제어 출력 데이터를 측정하는 단계;
    다변량 분석(MVA, multivariate analysis)을 사용해 상기 프로세스 제어 출력 데이터와 상기 프로세스 제어 입력 데이터 사이의 관계를 결정하는 단계;
    목표 프로세스 제어 출력 데이터를 상기 프로세스의 목표 결과로 설정하는 단계; 및
    Newton-Rhapson 기술을 사용해 상기 목표 프로세스 제어 출력 데이터와 예측 프로세스 제어 출력 데이터 사이의 차이를 최소화함으로써 새로운 프로세스 제어 입력 데이터를 계산하는 단계로서, 상기 예측 프로세스 제어 출력 데이터는 상기 새로운 프로세스 제어 입력 데이터에 적용되는 상기 관계를 사용해 결정되는 것인 새로운 프로세스 제어 입력 데이터를 계산하는 단계
    를 포함하는 프로세스 제어 방법.
  2. 제1항에 있어서,
    상기 프로세스 제어 입력 데이터와 상기 프로세스 제어 출력 데이터 사이의 상기 관계를 업데이트하는 단계를 더 포함하는 프로세스 제어 방법.
  3. 제2항에 있어서,
    상기 관계를 업데이트하는 단계는,
    지수 가중 이동 평균(EWMA, exponentially weighted moving average) 필터를 사용하는 단계를 포함하는 프로세스 제어 방법.
  4. 제1항에 있어서,
    상기 계산하는 단계는,
    상기 새로운 프로세스 제어 입력 데이터를 계산하는 단계 이전에 상기 프로세스 제어 입력 데이터에 가중치를 부여하는 단계를 포함하는 프로세스 제어 방법.
  5. 제1항에 있어서,
    상기 계산하는 단계는,
    상기 새로운 프로세스 제어 입력 데이터를 계산하는 단계 이전에 상기 프로세스 제어 출력 데이터에 가중치를 부여하는 단계를 포함하는 프로세스 제어 방법.
  6. 제1항에 있어서,
    Newton-Rhapson 기술을 사용해 상기 프로세스 제어 입력 데이터와 상기 새로운 프로세스 제어 입력 데이터 사이의 차이를 최소화하는 단계를 더 포함하는 프로세스 제어 방법.
  7. 제1항에 있어서,
    상기 결정하는 단계는,
    부분 최소 제곱(PLS, partial least squares) 분석을 사용해 전개된 프로세스 모델을 이용하여 상기 관계를 전개하는 단계를 포함하는 프로세스 제어 방법.
  8. 제1항에 있어서,
    상기 결정하는 단계는,
    다중 입력 다중 출력(MIMO, multiple input multiple output) 프로세스 모델을 이용하여 상기 관계를 전개하는 단계를 포함하는 프로세스 제어 방법.
  9. 제8항에 있어서,
    상기 전개하는 단계는,
    Figure 112006056957383-pct00086
    로 나타내어지는 다중 입력 다중 출력 프로세스 모델을 전개하는 단계를 더 포함하고,
    Figure 112006056957383-pct00087
    는 프로세스 제어 출력 데이터를 포함하고,
    Figure 112006056957383-pct00088
    는 프로세스 제어 입력 데이터를 포함하며,
    Figure 112006056957383-pct00089
    는 상수들의 어레이를 포함하고, f( )는
    Figure 112006056957383-pct00090
    의 함수를 나타내는 것인 프로세스 제어 방법.
  10. 제9항에 있어서,
    상기 함수 f( )는 선형 함수를 나타내는 프로세스 제어 방법.
  11. 제9항에 있어서,
    상기 함수 f( )는 비선형 함수를 나타내는 프로세스 제어 방법.
  12. 제1항에 있어서,
    상기 설정하는 단계는,
    상기 프로세스 제어 입력 데이터를 에칭 프로세스에 대하여 설정하는 단계를 포함하는 프로세스 제어 방법.
  13. 제1항에 있어서,
    상기 설정하는 단계는,
    RF 전력, 압력, 유체의 유속, 온도, 회전 속도, 및 조성 중 하나 이상에 대하여 상기 프로세스 제어 입력 데이터를 설정하는 단계를 포함하는 프로세스 제어 방법.
  14. 제13항에 있어서,
    상기 프로세스 제어 입력 데이터를 설정하는 단계는,
    RF 전력, 압력, C4F8 유속, CO 유속, 및 O2 유속 중 하나 이상을 포함하는 상기 프로세스 제어 입력 데이터를 설정하는 단계를 구비하는 프로세스 제어 방법.
  15. 제1항에 있어서,
    상기 프로세스 제어 출력 데이터를 측정하는 단계는,
    임계 치수, 기울기, 프로파일, 에칭 속도, 에칭 깊이, 증착 속도, 및 필름 두께 중 하나 이상을 포함하는 상기 프로세스 제어 출력 데이터를 측정하는 단계를 포함하는 프로세스 제어 방법.
  16. 제15항에 있어서,
    상기 프로세스 제어 출력 데이터를 측정하는 단계는,
    트렌치 상부의 임계 치수, 트렌치 바닥부의 임계 치수, 트렌치 측벽의 기울기, 컨택트 상부의 임계 치수, 컨택트 바닥부의 임계 치수, 및 컨택트 측벽의 기울기 중 하나 이상을 측정하는 단계를 포함하는 프로세스 제어 방법.
  17. 삭제
  18. 반도체 제조 시스템의 프로세스를 제어하기 위한 제어 시스템에 있어서,
    상기 프로세스를 실행하기 위한 프로세스 툴에 연결되도록 구성되는 프로세스 툴 제어기를 구비하고,
    상기 프로세스 툴 제어기는,
    상기 프로세스에 대하여 프로세스 제어 입력 데이터를 설정하고 조정하며, 목표 프로세스 제어 출력 데이터를 상기 프로세스의 목표 결과로 설정하도록 구성되는 프로세스 레시피 제어기, 및
    상기 프로세스 레시피 제어기에 연결되어 있으며 상기 프로세스 제어 입력 데이터와 상기 프로세스 제어 출력 데이터 사이의 관계를 제공하도록 구성되는 프로세스 모델을 포함하며,
    상기 프로세스 레시피 제어기는, Newton-Rhapson 기술을 사용해 새로운 프로세스 제어 입력 데이터에 적용되는 상기 관계에 의해 예측되는 프로세스 제어 출력 데이터와 상기 목표 프로세스 제어 출력 데이터 사이의 차이를 최소화시킴으로써, 상기 새로운 프로세스 제어 입력 데이터를 생성하도록 구성되는 제어 시스템.
  19. 제18항에 있어서,
    상기 프로세스 툴 제어기에 연결되어 있으며 상기 프로세스 툴에 연결되도록 구성되는 계측 툴(metrology tool)을 더 포함하고,
    상기 계측 툴은, 상기 프로세스 모델을 업데이트하기 위해, 상기 프로세스에 대한 프로세스 제어 출력 데이터를 측정하고 측정된 프로세스 제어 출력 데이터를 상기 프로세스 툴 제어기에 제공하도록 구성되는 제어 시스템.
  20. 제19항에 있어서,
    상기 프로세스 툴 제어기는 지수 가중 이동 평균(EWMA) 필터를 사용하여 상기 프로세스 모델을 업데이트하도록 구성되는 제어 시스템.
  21. 제18항에 있어서,
    상기 프로세스 모델은 부분 최소 제곱(PLS) 분석을 포함하는 제어 시스템.
  22. 제18항에 있어서,
    상기 프로세스 모델은 다중 입력 다중 출력(MIMO) 프로세스 모델을 포함하는 프로세스 제어 시스템.
  23. 제22항에 있어서,
    상기 다중 입력 다중 출력(MIMO) 프로세스 모델은
    Figure 112006056957383-pct00091
    로 나타내어지고,
    Figure 112006056957383-pct00092
    는 프로세스 제어 출력 데이터를 포함하고,
    Figure 112006056957383-pct00093
    는 프로세스 제어 입력 데이터를 포함하며,
    Figure 112006056957383-pct00094
    는 상수들의 어레이를 포함하고, f()는
    Figure 112006056957383-pct00095
    의 함수를 나타내는 제어 시스템.
  24. 제23항에 있어서,
    상기 함수 f( )는 선형 함수를 나타내는 제어 시스템.
  25. 제23항에 있어서,
    상기 함수 f( )는 비선형 함수를 나타내는 제어 시스템.
  26. 제18항에 있어서,
    상기 프로세스는 에칭 프로세스를 포함하는 제어 시스템.
  27. 제18항에 있어서,
    상기 프로세스 제어 입력 데이터는 RF 전력, 압력, 유체의 유속, 온도, 회전 속도, 및 조성 중 하나 이상을 포함하는 제어 시스템.
  28. 제27항에 있어서,
    상기 프로세스 제어 입력 데이터는 RF 전력, 압력, C4F8 유속, CO 유속, 및 O2 유속 중 하나 이상을 포함하는 제어 시스템.
  29. 제18항에 있어서,
    상기 프로세스 제어 출력 데이터는 임계 치수, 기울기, 프로파일, 에칭 속도, 에칭 깊이, 증착 속도 및 필름 두께 중 하나 이상을 포함하는 제어 시스템.
  30. 제29항에 있어서,
    상기 프로세스 제어 출력 데이터는 트렌치 상부의 임계 치수, 트렌치 바닥부의 임계 치수, 트렌치 측벽의 기울기, 컨택트 상부의 임계 치수, 컨택트 바닥부의 임계 치수 및 컨택트 측벽의 기울기 중 하나 이상을 포함하는 제어 시스템.
  31. 삭제
  32. 프로세스를 수행하기 위한 반도체 제조 시스템으로서,
    상기 프로세스를 실행하기 위한 프로세스 툴; 및
    상기 프로세스 툴에 연결되는 프로세스 툴 제어기를 포함하고,
    상기 프로세스 툴 제어기는,
    상기 프로세스에 대하여 프로세스 제어 입력 데이터를 설정하고 조정하며, 목표 프로세스 제어 출력 데이터를 상기 프로세스의 목표 결과로 설정하도록 구성되는 프로세스 레시피 제어기, 및
    상기 프로세스 레시피 제어기에 연결되어 있으며 상기 프로세스 제어 입력 데이터와 상기 프로세스 제어 출력 데이터 사이의 관계를 제공하도록 구성되는 프로세스 모델을 포함하며,
    상기 프로세스 레시피 제어기는, Newton-Rhapson 기술을 사용해 새로운 프로세스 제어 입력 데이터에 적용되는 상기 관계에 의해 예측되는 프로세스 제어 출력 데이터와 상기 목표 프로세스 제어 출력 데이터 사이의 차이를 최소화시킴으로써, 상기 새로운 프로세스 제어 입력 데이터를 생성하도록 구성되는 반도체 제조 시스템.
  33. 제32항에 있어서,
    상기 프로세스 툴 제어기 및 상기 프로세스 툴에 연결되는 계측 툴을 더 포함하고,
    상기 계측 툴은, 상기 프로세스 모델을 업데이트하기 위해, 상기 프로세스에 대한 프로세스 제어 출력 데이터를 측정하고 측정된 프로세스 제어 출력 데이터를 상기 프로세스 툴 제어기에 제공하도록 구성되는 반도체 제조 시스템.
  34. 제32항에 있어서,
    상기 프로세스 툴은 에칭 프로세스 툴, 증착 프로세스 툴, 스핀 코팅 프로세스 툴 및 열처리 시스템 툴 중 하나 이상을 포함하는 반도체 제조 시스템.
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 반도체 제조 시스템을 제어하는 컴퓨터 시스템에서 실행하기 위한 프로그램 명령어들을 포함하는 컴퓨터 판독 가능 매체에 있어서,
    상기 프로그램 명령어들이 상기 컴퓨터 시스템에 의해 실행될 경우, 상기 프로그램 명령어들은 상기 컴퓨터 시스템이,
    상기 반도체 제조 시스템의 프로세스에 대하여 프로세스 제어 입력 데이터를 설정하는 단계;
    상기 반도체 제조 시스템의 상기 프로세스로부터 프로세스 제어 출력 데이터를 측정하는 단계;
    다변량 분석(MVA, multivariate analysis)을 사용해 상기 프로세스 제어 출력 데이터와 상기 프로세스 제어 입력 데이터 사이의 관계를 결정하는 단계;
    목표 프로세스 제어 출력 데이터를 상기 프로세스의 목표 결과로 설정하는 단계; 및
    Newton-Rhapson 기술을 사용해 상기 목표 프로세스 제어 출력 데이터와 예측 프로세스 제어 출력 데이터 사이의 차이를 최소화함으로써 새로운 프로세스 제어 입력 데이터를 계산하는 단계로서, 상기 예측 프로세스 제어 출력 데이터는 상기 새로운 프로세스 제어 입력 데이터에 적용되는 상기 관계를 사용하여 결정되는 것인 새로운 프로세스 제어 입력 데이터를 계산하는 단계
    를 수행하도록 하는 컴퓨터 판독 가능 매체.
  40. 제39항에 있어서,
    상기 프로세스 제어 입력 데이터와 상기 프로세스 제어 출력 데이터 사이의 상기 관계를 업데이트하는 단계를 수행하기 위한 상기 프로그램 명령어들을 더 포함하는 컴퓨터 판독 가능 매체.
  41. 제40항에 있어서,
    지수 가중 이동 평균(EWMA) 필터를 사용하는 단계를 수행하기 위한 상기 프로그램 명령어들을 더 포함하는 컴퓨터 판독 가능 매체.
  42. 제39항에 있어서,
    상기 프로그램 명령어들에 있어서의 상기 계산하는 단계는,
    상기 새로운 프로세스 제어 입력 데이터를 계산하는 단계 이전에 상기 프로세스 제어 입력 데이터에 가중치를 부여하는 단계를 포함하는 컴퓨터 판독 가능 매체.
  43. 제39항에 있어서,
    상기 프로그램 명령어들에 있어서의 상기 계산하는 단계는,
    상기 새로운 프로세스 제어 입력 데이터를 계산하는 단계 이전에 상기 프로세스 제어 출력 데이터에 가중치를 부여하는 단계를 포함하는 컴퓨터 판독 가능 매체.
  44. 제39항에 있어서,
    Newton-Rhapson 기술을 사용해 상기 프로세스 제어 입력 데이터와 상기 새로운 프로세스 제어 입력 데이터 사이의 차이를 최소화하는 단계를 수행하기 위한 상기 프로그램 명령어들을 더 포함하는 컴퓨터 판독 가능 매체.
  45. 제39항에 있어서,
    상기 프로그램 명령어들에 있어서의 상기 결정하는 단계는,
    부분 최소 제곱(PLS) 분석을 사용하여 전개된 프로세스 모델을 이용하여 상기 관계를 전개하는 단계를 포함하는 컴퓨터 판독 가능 매체.
  46. 제39항에 있어서,
    다중 입력 다중 출력(MIMO) 프로세스 모델을 이용하여 상기 관계를 전개하는 단계를 수행하기 위한 상기 프로그램 명령어들을 더 포함하는 컴퓨터 판독 가능 매체.
  47. 제46항에 있어서,
    상기 프로그램 명령어들에 있어서의 상기 전개하는 단계는,
    Figure 112010006938494-pct00096
    에 의하여 다중 입력 다중 출력(MIMO) 모델을 전개하는 단계를 포함하고,
    Figure 112010006938494-pct00097
    는 프로세스 제어 출력 데이터를 포함하고,
    Figure 112010006938494-pct00098
    는 프로세스 제어 입력 데이터를 포함하며,
    Figure 112010006938494-pct00099
    는 상수들의 어레이를 포함하고, f( )는
    Figure 112010006938494-pct00100
    의 함수를 나타내는 컴퓨터 판독 가능 매체.
  48. 제47항에 있어서,
    상기 함수 f( )는 선형 함수를 나타내는 컴퓨터 판독 가능 매체.
  49. 제47항에 있어서,
    상기 함수 f( )는 비선형 함수를 나타내는 컴퓨터 판독 가능 매체.
  50. 제39항에 있어서,
    상기 프로세스 제어 입력 데이터를 에칭 프로세스에 대하여 설정하는 단계를 수행하기 위한 상기 프로그램 명령어들을 더 포함하는 컴퓨터 판독 가능 매체.
  51. 제39항에 있어서,
    상기 프로그램 명령어들에 있어서의 상기 설정하는 단계는,
    RF 전력, 압력, 유체의 유속, 온도, 회전 속도, 및 조성 중 하나 이상에 대하여 상기 프로세스 제어 입력 데이터를 설정하는 단계를 포함하는 컴퓨터 판독 가능 매체.
  52. 제51항에 있어서,
    상기 프로그램 명령어들에 있어서의 상기 설정하는 단계는,
    RF 전력, 압력, C4F8 유속, CO 유속, 및 O2 유속 중 하나 이상을 포함하는 상기 프로세스 제어 입력 데이터를 설정하는 단계를 포함하는 컴퓨터 판독 가능 매체.
  53. 제39항에 있어서,
    상기 프로그램 명령어들에 있어서의 상기 프로세스 제어 출력 데이터를 측정하는 단계는,
    임계 치수, 기울기, 프로파일, 에칭 속도, 에칭 깊이, 증착 속도, 및 필름 두께 중 하나 이상을 포함하는 상기 프로세스 제어 출력 데이터를 측정하는 단계를 포함하는 컴퓨터 판독 가능 매체.
  54. 제51항에 있어서,
    상기 프로그램 명령어들에 있어서의 상기 프로세스 제어 출력 데이터를 측정하는 단계는,
    트렌치 상부의 임계 치수, 트렌치 바닥부의 임계 치수, 트렌치 측벽의 기울기, 컨택트 상부의 임계 치수, 컨택트 바닥부의 임계 치수, 및 컨택트 측벽의 기울기 중 하나 이상을 측정하는 단계를 포함하는 컴퓨터 판독 가능 매체.
  55. 삭제
KR1020067016060A 2004-03-30 2005-02-01 런 투 런 제어를 위한 방법 및 시스템 KR101121809B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/811,932 US7127358B2 (en) 2004-03-30 2004-03-30 Method and system for run-to-run control
US10/811,932 2004-03-30
PCT/US2005/002770 WO2005103997A2 (en) 2004-03-30 2005-02-01 Method and system for run-to-run control

Publications (2)

Publication Number Publication Date
KR20070012340A KR20070012340A (ko) 2007-01-25
KR101121809B1 true KR101121809B1 (ko) 2012-03-20

Family

ID=35055474

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067016060A KR101121809B1 (ko) 2004-03-30 2005-02-01 런 투 런 제어를 위한 방법 및 시스템

Country Status (7)

Country Link
US (1) US7127358B2 (ko)
EP (1) EP1733325A4 (ko)
JP (1) JP4971132B2 (ko)
KR (1) KR101121809B1 (ko)
CN (1) CN100530206C (ko)
TW (1) TWI292111B (ko)
WO (1) WO2005103997A2 (ko)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8603514B2 (en) 2002-04-11 2013-12-10 Monosol Rx, Llc Uniform films for rapid dissolve dosage form incorporating taste-masking compositions
US20190328679A1 (en) 2001-10-12 2019-10-31 Aquestive Therapeutics, Inc. Uniform films for rapid-dissolve dosage form incorporating anti-tacking compositions
US8765167B2 (en) 2001-10-12 2014-07-01 Monosol Rx, Llc Uniform films for rapid-dissolve dosage form incorporating anti-tacking compositions
US8900498B2 (en) 2001-10-12 2014-12-02 Monosol Rx, Llc Process for manufacturing a resulting multi-layer pharmaceutical film
US10285910B2 (en) 2001-10-12 2019-05-14 Aquestive Therapeutics, Inc. Sublingual and buccal film compositions
US20110033542A1 (en) 2009-08-07 2011-02-10 Monosol Rx, Llc Sublingual and buccal film compositions
US11207805B2 (en) 2001-10-12 2021-12-28 Aquestive Therapeutics, Inc. Process for manufacturing a resulting pharmaceutical film
US20070281003A1 (en) 2001-10-12 2007-12-06 Fuisz Richard C Polymer-Based Films and Drug Delivery Systems Made Therefrom
US7357891B2 (en) 2001-10-12 2008-04-15 Monosol Rx, Llc Process for making an ingestible film
US8900497B2 (en) 2001-10-12 2014-12-02 Monosol Rx, Llc Process for making a film having a substantially uniform distribution of components
KR100909184B1 (ko) * 2004-03-11 2009-07-23 주식회사 동진쎄미켐 근적외선 분광기를 이용한 리쏘그래피 공정용 조성물의실시간 제어 시스템 및 제어 방법
US7309842B1 (en) * 2004-03-19 2007-12-18 Verionix Incorporated Shielded monolithic microplasma source for prevention of continuous thin film formation
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
US7751908B2 (en) * 2004-12-02 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thermal process control
US7532999B2 (en) * 2005-02-25 2009-05-12 International Business Machines Corporation Determining root cause of matching problem and/or fleet measurement precision problem for measurement system
US7340374B2 (en) * 2005-02-25 2008-03-04 International Business Machines Corporation Determining fleet matching problem and root cause issue for measurement system
KR100744121B1 (ko) * 2006-01-11 2007-08-01 삼성전자주식회사 반도체 기판의 처리 방법
DE102006004430B4 (de) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
JP2007318036A (ja) * 2006-05-29 2007-12-06 Toshiba Corp 半導体製造装置管理システム、半導体製造装置の異常要因抽出方法及びその管理方法
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7725205B1 (en) 2006-09-21 2010-05-25 Novellus Systems, Inc. Apparatus and methods for providing a homogenous I/O interface for controlling a heterogenous mixture of hardware I/O systems
US20080233269A1 (en) * 2007-03-20 2008-09-25 Tokyo Electron Limited Apparatus and methods for applying a layer of a spin-on material on a series of substrates
US20080248412A1 (en) * 2007-04-09 2008-10-09 John Douglas Stuber Supervisory etch cd control
DE102007017592B4 (de) 2007-04-13 2011-11-24 Texas Instruments Deutschland Gmbh Verfahren zur Regelung eines Epitaxieaufwachsverfahrens in einem Epitaxiereaktor, Regler und Datenanlysemodul für Epitaxieaufwachsverfahren
US7720560B2 (en) * 2007-07-26 2010-05-18 International Business Machines Corporation Semiconductor manufacturing process monitoring
US7925369B2 (en) * 2007-12-18 2011-04-12 Globalfoundries Inc. Method and apparatus for optimizing models for extracting dose and focus from critical dimension
JP4917527B2 (ja) * 2007-12-21 2012-04-18 東京エレクトロン株式会社 情報処理装置、情報処理方法、およびプログラム
ES2342958B2 (es) * 2008-09-03 2011-07-04 Emite Ingenieria Slne Analizador de multiples entradas y multiples salidas.
US8355810B2 (en) 2009-01-29 2013-01-15 Applied Materials, Inc. Method and system for estimating context offsets for run-to-run control in a semiconductor fabrication facility
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
CN102044482B (zh) * 2009-10-20 2013-03-06 中芯国际集成电路制造(上海)有限公司 沟槽的形成方法
US8577488B2 (en) * 2010-02-11 2013-11-05 Monosol Rx, Llc Method and system for optimizing film production and minimizing film scrap
US9149959B2 (en) 2010-10-22 2015-10-06 Monosol Rx, Llc Manufacturing of small film strips
US20130046494A1 (en) * 2011-08-18 2013-02-21 General Electric Company Meter having a fiber optic interface
US10295993B2 (en) 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
US8917261B2 (en) * 2012-06-26 2014-12-23 Atmel Corporation Pixel occlusion mitigation
US10229843B2 (en) * 2012-10-31 2019-03-12 Tokyo Electron Limited Substrate processing apparatus and control device for substrate processing apparatus
US9711332B2 (en) * 2013-05-09 2017-07-18 Lam Research Corporation Systems and methods for tuning an impedance matching network in a step-wise fashion for multiple states of an RF generator
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
CN104733277B (zh) * 2013-12-23 2017-03-08 中微半导体设备(上海)有限公司 等离子体刻蚀系统
CN104733279B (zh) * 2013-12-23 2017-02-15 中微半导体设备(上海)有限公司 等离子体刻蚀系统
EP2937747A1 (de) * 2014-04-24 2015-10-28 Siemens Aktiengesellschaft Auf Modellierung einer Beizlinie beruhende Optimierung einer Sequenz von zu beizenden Bändern
WO2016001210A1 (en) * 2014-07-03 2016-01-07 Atlas Copco Industrial Technique Ab Methods and systems for facilitating communication in a work tools network environment
US10102321B2 (en) * 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
CN104332435B (zh) * 2014-11-17 2017-07-21 北京七星华创电子股份有限公司 一种半导体工艺设备的参数调整方法
KR102553462B1 (ko) * 2015-07-21 2023-07-10 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
CN105185680B (zh) * 2015-09-22 2017-10-03 上海华力微电子有限公司 一种电流检测装置及介质膜蚀刻装置
US11273131B2 (en) 2016-05-05 2022-03-15 Aquestive Therapeutics, Inc. Pharmaceutical compositions with enhanced permeation
KR20230137362A (ko) 2016-05-05 2023-10-04 어퀘스티브 테라퓨틱스, 아이엔씨. 강화된 전달 에프네프린 조성물
JP6991833B2 (ja) * 2017-10-31 2022-01-13 株式会社日立製作所 因果関係モデル構築システムおよび方法
US10763144B2 (en) 2018-03-01 2020-09-01 Verity Instruments, Inc. Adaptable-modular optical sensor based process control system, and method of operation thereof
TWI698728B (zh) * 2018-03-13 2020-07-11 日商住友重機械工業股份有限公司 用以支援製程控制之顯示裝置及顯示方法
CN112074940A (zh) * 2018-03-20 2020-12-11 东京毅力科创株式会社 结合有集成半导体加工模块的自感知校正异构平台及其使用方法
WO2019226252A1 (en) 2018-05-24 2019-11-28 Applied Materials, Inc. Virtual sensor for spatially resolved wafer temperature control
US10770257B2 (en) * 2018-07-20 2020-09-08 Asm Ip Holding B.V. Substrate processing method
CN110931377B (zh) * 2018-09-20 2023-11-03 台湾积体电路制造股份有限公司 反射率测量系统与方法
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
CN111109643B (zh) * 2019-12-12 2021-10-22 河南中烟工业有限责任公司 一种薄板烘丝的烟丝含水量的控制方法及系统
JP2021180070A (ja) * 2020-05-11 2021-11-18 東京エレクトロン株式会社 プラズマ処理装置及びマイクロ波制御方法
US11587765B2 (en) * 2020-11-22 2023-02-21 Applied Materials, Inc. Plasma ignition optimization in semiconductor processing chambers
US20240213007A1 (en) * 2022-12-27 2024-06-27 Applied Materials, Inc. Power Compensation in PVD Chambers
CN117194963B (zh) * 2023-11-02 2024-02-09 合肥喆塔科技有限公司 工业fdc质量根因分析方法、设备及存储介质
CN117954390B (zh) * 2024-03-21 2024-06-21 粤芯半导体技术股份有限公司 铜互连结构制备方法、装置、设备以及存储介质

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030036791A (ko) * 2000-09-15 2003-05-09 어드밴스드 마이크로 디바이시즈, 인코포레이티드 반도체 제조의 개선된 제어를 위한 적응성 샘플링
JP2003531491A (ja) 2000-04-13 2003-10-21 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 半導体加工のための自動プロセスモニタおよび分析システム

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5408405A (en) * 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
SE9304246L (sv) * 1993-12-22 1995-06-23 Asea Brown Boveri Förfarande vid övervakning av multivariata processer
US6249712B1 (en) * 1995-09-26 2001-06-19 William J. N-O. Boiquaye Adaptive control process and system
KR0160386B1 (ko) * 1995-10-13 1999-02-01 김광호 반도체소자 제조 공정의 제어 시스템 및 그 제어 방법
KR100649387B1 (ko) * 1999-06-22 2006-11-27 브룩스 오토메이션 인코퍼레이티드 초소형전자 제조에 사용하기 위한 공정수행 간 제어기
US6439964B1 (en) * 1999-10-12 2002-08-27 Applied Materials, Inc. Method of controlling a polishing machine
CA2402280C (en) * 2000-03-10 2008-12-02 Cyrano Sciences, Inc. Control for an industrial process using one or more multidimensional variables
TWI239032B (en) * 2002-06-28 2005-09-01 Tokyo Electron Ltd Method and system for controlling a process using material processing tool and performance data
US7158851B2 (en) * 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
US8014991B2 (en) * 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003531491A (ja) 2000-04-13 2003-10-21 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 半導体加工のための自動プロセスモニタおよび分析システム
KR20030036791A (ko) * 2000-09-15 2003-05-09 어드밴스드 마이크로 디바이시즈, 인코포레이티드 반도체 제조의 개선된 제어를 위한 적응성 샘플링

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Adaptive Optimization of Run-to-Run Controller(The EWMA Example, Nital S. Patel, Steven T. Jenkins, IEEE TRANSACTIONS ON SEMICONDUCTOR ENGINEERING Vol.13 No.1, 2000.12월 발행)

Also Published As

Publication number Publication date
JP2007531305A (ja) 2007-11-01
WO2005103997A3 (en) 2005-12-29
EP1733325A4 (en) 2007-12-26
JP4971132B2 (ja) 2012-07-11
WO2005103997A2 (en) 2005-11-03
EP1733325A2 (en) 2006-12-20
KR20070012340A (ko) 2007-01-25
US7127358B2 (en) 2006-10-24
TWI292111B (en) 2008-01-01
CN1914618A (zh) 2007-02-14
CN100530206C (zh) 2009-08-19
TW200606687A (en) 2006-02-16
US20050222781A1 (en) 2005-10-06

Similar Documents

Publication Publication Date Title
KR101121809B1 (ko) 런 투 런 제어를 위한 방법 및 시스템
US7844559B2 (en) Method and system for predicting process performance using material processing tool and sensor data
US7167766B2 (en) Controlling a material processing tool and performance data
US7328126B2 (en) Method and system of diagnosing a processing system using adaptive multivariate analysis
US7713760B2 (en) Process system health index and method of using the same
US7939450B2 (en) Method and apparatus for spacer-optimization (S-O)
US8501499B2 (en) Adaptive recipe selector
KR101568879B1 (ko) 다층/다중입력/다중출력(mlmimo) 모델의 설정 및 이용 방법
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
US7765077B2 (en) Method and apparatus for creating a Spacer-Optimization (S-O) library
JP2006501684A (ja) プラズマプロセスのデータを解析するための方法とシステム
US20050118812A1 (en) Method of detecting, identifying and correcting process performance

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150119

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160119

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170119

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180219

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20190218

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20200218

Year of fee payment: 9