KR20030036791A - 반도체 제조의 개선된 제어를 위한 적응성 샘플링 - Google Patents

반도체 제조의 개선된 제어를 위한 적응성 샘플링 Download PDF

Info

Publication number
KR20030036791A
KR20030036791A KR10-2003-7003813A KR20037003813A KR20030036791A KR 20030036791 A KR20030036791 A KR 20030036791A KR 20037003813 A KR20037003813 A KR 20037003813A KR 20030036791 A KR20030036791 A KR 20030036791A
Authority
KR
South Korea
Prior art keywords
sampling
model
tool
controller
parameter
Prior art date
Application number
KR10-2003-7003813A
Other languages
English (en)
Other versions
KR100824443B1 (ko
Inventor
패서딘알렉산더제임스
톱랙안소니존
밀러마이클리
Original Assignee
어드밴스드 마이크로 디바이시즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 마이크로 디바이시즈, 인코포레이티드 filed Critical 어드밴스드 마이크로 디바이시즈, 인코포레이티드
Publication of KR20030036791A publication Critical patent/KR20030036791A/ko
Application granted granted Critical
Publication of KR100824443B1 publication Critical patent/KR100824443B1/ko

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B21/00Systems involving sampling of the variable controlled
    • G05B21/02Systems involving sampling of the variable controlled electric
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B11/00Automatic controllers
    • G05B11/01Automatic controllers electric
    • G05B11/36Automatic controllers electric with provision for obtaining particular characteristics, e.g. proportional, integral, differential
    • G05B11/42Automatic controllers electric with provision for obtaining particular characteristics, e.g. proportional, integral, differential for obtaining a characteristic which is both proportional and time-dependent, e.g. P. I., P. I. D.
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B17/00Systems involving the use of models or simulators of said systems
    • G05B17/02Systems involving the use of models or simulators of said systems electric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Artificial Intelligence (AREA)
  • Health & Medical Sciences (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Software Systems (AREA)
  • Feedback Control In General (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • General Factory Administration (AREA)

Abstract

적어도 하나의 공정 단계(105)에서 워크피스 상에서 수행되는 공정의 적어도 하나의 특징 파라미터를 샘플링하는 단계(110)와, 그리고 적응성 샘플링 공정 모델을 이용하고, 상기 샘플링을 다이내믹한 제어 환경의 통합 부분으로서 처리하며, 그리고 상황 정보, 업스트림 이벤트들 및 런투런 제어기들의 요건들중 적어도 하나에 기초하여 상기 샘플링을 변경하여, 상기 샘플링된 적어도 하나의 특징 파라미터를 모델링하는 단계(130)를 포함하는 방법이 개시된다. 이 방법은 상기 적어도 하나의 공정 단계(105)에서 수행된 공정을 변경하기 위해(135,155,160) 상기 적응성 샘플링 공정 모델을 적용하는 단계(130)를 더 포함한다.

Description

반도체 제조의 개선된 제어를 위한 적응성 샘플링{ADAPTIVE SAMPLING METHOD FOR IMPROVED CONTROL IN SEMICONDUCTOR MANUFACTURING}
반도체 산업에서는, 마이크로프로세서들, 메모리 디바이스들 등과 같은 집적 회로 디바이스들의 품질, 신뢰성 및 생산량을 증가시킬 것이 꾸준히 요구되고 있다. 이는 소비자들이 더 신뢰성있게 동작하는 더 높은 품질의 컴퓨터들 및 전자 장치들을 요구하기 때문이다. 이러한 요구는 예를 들어 트랜지스터들과 같은 반도체 디바이스들의 제조 뿐 아니라, 이러한 트랜지스터들을 통합하는 집적 회로 디바이스들의 제조를 계속적으로 개선시켜왔다. 또한, 전형적인 트랜지스터의 구성 요소들의 제조에 있어서의 결함들을 감소시키게 되면, 트랜지스터당 전체 비용 뿐 아니라 이러한 트랜지스터들을 통합하는 집적 회로 디바이스들의 비용을 감소시킨다.
최근 몇 년 동안, 반도체 공정 툴들의 기초가 되는 기술들에 대한 관심이 증가함으로써, 상당한 개선이 이루어졌다. 그러나, 본 분야에서 진보가 이루어졌음에도 불구하고, 현재 상업적으로 이용되고 있는 많은 공정 툴들은 문제를 가지고 있다. 특히, 이러한 툴들은 종종, 사용자에게 익숙한 포맷으로 역사적인 파라미터 데이터를 제공하는 능력 뿐 아니라, 이벤트 로깅, 현재 공정 파라미터들 및 전체 런의 공정 파라미터들의 실시간 그래픽 디스플레이, 및 원격, 즉 로컬 사이트 및 전 세계적인 모니터링과 같은 진보된 공정 데이터 모니터링 성능들이 부족하다. 이러한 문제들은 생산량 정확도, 안정성 및 반복능력, 공정 온도, 기계적인 툴 파라미터들 등과 같은 임계 공정 파라미터들이 최악으로 제어되게 할 수 있다. 이러한 변화성은 런 내에서의 불균형, 런들 간에서의 불균형, 및 제품 품질 및 성능에 치우침을 야기시킬 수 있는 툴들 간에서의 불균형으로서 자체적으로 증명된다. 한편, 이러한 툴들에 대한 이상적인 모니터링 및 진단 시스템은 이러한 변화성을 모니터링하는 수단 뿐 아니라, 임계 파라미터들의 제어를 최적화하는 수단을 제공한다.
많은 양의 다수 제품 반도체 제조에서 실행되는 런투런(run-to-run) 제어는 전형적인 공정 제어 시도의 프레임워크에 쉽게 적응되지 않는다. 전형적인 시도는 소정의 상태들, 입력들 및 출력들의 세트를 갖는 공정 모델을 규정한다. 이러한 모델은 어떠한 경우들에서는 정적이며, 다른 경우들에서는 시간에 따라 변한다. 각 시간 단계에서, 입력들 및 교란들은 상기 상태들에 영향을 미치며, 출력들이 측정된다. 그러면, 제어기는 갱신을 하며, 공정이 반복된다. 이러한 시도가 항상 적용가능하지 않은 한 이유는 종종 많은 제품들 뿐 아니라 많은 공정툴들이 있기 때문이다. 또한, 공정에 중요한 모든 측정들 중에서, 각 런에서는 일반적으로 서브셋 만이 제조된다. 이러한 환경에서 제어기 갱신 수행 방법을 결정하는 것은 힘든 작업이다.
런투런 제어기는 런투런으로부터 일관적으로 교정되는 공정 모델을 갖느냐에의존한다. 툴 상에서 작동하는 많은 공정들이 상당히 다를 때, 새로운 공정에 대한 변경은 큰 교란으로 여겨질 수 있기 때문에, 제어기는 갑작스럽게 가동할 수 있다. 또한, 제어기가 안정되는 데에는 소정 공정의 몇 개의 연속적인 런들을 필요로 하며, 제조 제한은 이를 방해한다. 제어기는, 공정들의 순서에 상관없이, 툴 상에서 작동되어야 모든 공정들에 대한 최적의 설정들을 결정하는 것이 바람직하다.
이러한 동작을 나타내는 시스템의 예는 층간 유전층(ILD)들의 화학 기계적인 평탄화(CMP)이다. 패턴 밀도 및 공정 이력의 차이로 인해, 각 층/제품의 결합은 서로 다른 속도로 처리된다. 또한, 각 제품이 몇 개의 툴 세트들 상에서 작동하기 위해서는 자격을 얻어야하기 때문에, 툴들 간의 차이들에 의해 야기되는 조직적인 변화가 일어난다. 따라서, 많은 제어 문제들중 하나는 발생하는 각 제품/층/툴 결합에 대해 최적의 설정들을 결정하는 것이다. 또한, 제어기에 (제품 웨이퍼들 그리고/또는 테스트 웨이퍼 자격부여 이벤트들로부터의 제거량(measurements of removal)과 같은) 정보를 제공하는 측정들은 제어 문제들에 상관없이 동작 규칙들에 기초하여 비동기적인 간격들로 제공된다.
모니터 및 제어가 편리한 다른 파라미터들은 급속 열 처리(RTP) 관련 공정 파라미터들이다. 이러한 공정 파라미터들의 예로는, 예를 들어 도펀트 주입을 활성화하는 데에 이용되는 RTP 동안 실리콘 웨이퍼 그리고/또는 워크피스들에 가해지는 온도들 및 램프 파워 레벨들이 있다. RTP 성능은 전형적으로, RTP 툴 그리고/또는 RTP 센서들의 각 설정들의 변화에 부분적으로 기인하여, 연속적인 공정 런들에 의해 저하된다. 이는 웨이퍼들의 연속적인 런들 또는 배치들 또는 로트(lot)들 간에웨이퍼 공정 차이를 야기시킴으로써, 만족스러운 웨이퍼 처리량을 감소시키고, 신뢰성을 감소시키며, 반도체 제조 공정의 정확성 및 정밀도를 감소시킨다.
그러나, 전형적인 통계 공정 제어(SPC) 기술들은, 디바이스의 성능 및 수율을 최적화하기 위해 반도체 및 마이크로전자 디바이스 제조시 RTP 관련 공정 파라미터들을 정확하게 제어하는 데에 종종 부적절하다. 전형적으로, SPC 기술들은 RTP 관련 공정 파라미터들에 대해, 목표값 및 이 목표값에 대한 범위를 설정한다. 그런 다음, SPC 기술들은 반도체 디바이스 성능을 최적화하기 위해, 그리고/또는 반도체 디바이스의 수율 및 처리량을 최적화하기 위해, 각 목표값들을 자동으로 조정 및 변경시키지 않으면서 목표값으로부터의 편차를 최소화화하고자 한다. 또한, 목표값들에 대한 비적응성(non-adaptive) 공정 범위를 무턱대고 최소화하는 것은 공정 수율 및 생산량을 증가시키지 못한다.
전형적인 제어 기술들은, 부정확한 공정을 줄이고 소트 수율을 개선하는 데에 종종 효과적이지 못하다. 예를 들어, 웨이퍼 전기 테스트(WET) 측정들은 전형적으로 처리된 웨이퍼들에 대해 웨이퍼들이 처리되고 상당히 긴 시간 후, 종종 몇 주 후에 수행된다. 하나 또는 그 이상의 공정 단계들에 의해 제조된 결과적인 웨이퍼들이 WET 측정에 의해 받아들일 수 없는 것으로 표시되어 폐기됨에 있어서, 이러한 오처리는 꽤 오랫 동안, 종종 몇주 동안 검출 및 교정되지 않게 되며, 결과적으로 많은 웨이퍼들이 폐기되고, 많은 물질들이 낭비됨으로써, 전체 처리량이 감소된다.
메트롤러쥐(metrology) 동작들은 반도체 제조시 많은 양의 자본을 필요로 하며 많은 양의 순환 시간을 소모한다. 이에 따라, 메트롤러쥐를 최적화하면,"팹(fab)" 자본 요건들 및 동작 비용을 상당히 개선할 수 있게 된다. 그러나, 전형적인 최적화 방법들은 종종 특별한 결정들 그리고/또는 어떠한 경우들에서는 신중한 통계 분석에 기초하여, 소정의 공정/동작에 대한 "최선의" 샘플링 속도를 결정함으로써, 이러한 증가된 샘플링 비용에 대해, 증가된 샘플링과 관련된 제어 개선의 균형을 맞춘다.
본 발명은 상기 설명된 하나 또는 그 이상의 문제들을 해결하거나, 또는 적어도 그 영향을 줄이는 것이다.
본 발명은 일반적으로 반도체 제조 기술에 관한 것으로서, 특히 반도체 제조 관리 및 최적화에 관한 것이다.
도 1 내지 30은 본 발명에 따른 제조 방법의 예시적인 다양한 실시예들을 개략적으로 도시한 도면들로서,
도 1 및 도 3-10은 본 발명에 따른 제조 방법의 다양한 예시적인 실시예들의 흐름도를 개략적으로 도시한다.
도 2는 본 발명의 다양한 예시적인 실시예들에서 이용되는 것들을 대표하는 AST SHS 2800 급속 열 처리(RTP) 툴의 단면을 개략적으로 도시한다.
도 11은 본 발명에 따라 실행되는 반도체 디바이스 제조 방법을 개략적으로 도시한다.
도 12는 본 발명에 따라 공정 툴 및 다수의 제어 입력 신호들을 이용하여 처리되는 워크피스들을 개략적으로 도시한다.
도 13-14는 도 14의 공정 및 툴의 하나의 특정한 실시예를 개략적으로 도시한다.
도 15는 도 13-14의 공정 및 툴에 의해 실행될 수 있는 도 15의 방법의 하나의 특정한 실시예를 개략적으로 도시한다.
도 16 및 17은 각각의 열 처리 공정 데이터 세트들에 대한 제 1, 2 주성분들을 개략적으로 도시한다.
도 18 및 19는 각각의 열 처리 공정 데이터 세트들에 대한 주성분들의 분석을 기하학적으로 도시한다.
도 20-23은 본 발명에 따른, 다항식 최소 제곱법 피팅(fitting)을 기하학적으로 도시한다.
도 24는 제품 스위칭의 시뮬레이션을 개략적으로 도시한다.
도 25는 목표값으로부터의 편차율: 가정적인 최상의 경우를 개략적으로 도시한다.
도 26은 목표값으로부터의 편차율: "고정된 출력들"의 경우를 개략적으로 예시한다.
도 27은 목표값으로부터의 편차율: "예측된 출력들"의 경우를 개략적으로 도시한다.
도 28은 목표값으로부터의 편차율: 부가적인 제한들을 갖는 "예측된 출력들"의 경우를 개략적으로 도시한다.
도 29는 목표값으로부터의 편차율: 대규모 시스템을 개략적으로 도시한다.
도 30은 본 발명의 다양한 예시적인 실시예들에 따른 제조 시스템의 간략화된 블럭도이다.
본 발명은 다양한 변경들 및 대안적인 형태들을 가질 수 있지만, 본원 및 도면들에서는 특정 실시예들에 대해 예시적으로 설명한다. 그러나, 이러한 특정 실시예들은 본 발명을 개시된 특정한 형태들로 한정하지 않으며, 본 발명은 첨부된 청구항들에 의해 규정되는 본 발명의 정신 및 범위 내에 있는 모든 변경들, 등가물들, 및 대안들을 포함한다는 것을 유념하자.
본 발명의 일 양상에서는, 적어도 하나의 공정 단계에서 워크피스 상에서 수행되는 공정의 적어도 하나의 특징 파라미터를 샘플링하는 단계와; 그리고 적응성 샘플링 공정 모델을 이용하고, 상기 샘플링을 다이내믹한 제어 환경의 통합 부분으로서 처리하며, 그리고 상황 정보, 업스트림 이벤트들 및 런투런 제어기들의 요건들중 적어도 하나에 기초하여 상기 샘플링을 변경하여, 상기 샘플링된 적어도 하나의 특징 파라미터를 모델링하는 단계를 포함한다. 이 방법은 또한 상기 적어도 하나의 공정 단계에서 수행된 공정을 변경하기 위해 상기 적응성 샘플링 공정 모델을 적용하는 단계를 더 포함한다.
본 발명의 다른 양상에서는, 컴퓨터에 의해 실행될 때, 적어도 하나의 공정 단계에서 워크피스 상에서 수행되는 공정의 적어도 하나의 특징 파라미터를 샘플링하는 단계와; 그리고 적응성 샘플링 공정 모델을 이용하고, 상기 샘플링을 다이내믹한 제어 환경의 통합 부분으로서 처리하며, 그리고 상황 정보, 업스트림 이벤트들 및 런투런 제어기들의 요건들중 적어도 하나에 기초하여 상기 샘플링을 변경하여, 상기 샘플링된 적어도 하나의 특징 파라미터를 모델링하는 단계를 포함하는 방법을 수행하는 명령들로 엔코드되는 컴퓨터 판독가능한 프로그램 저장 장치가 제공된다. 이 방법은 또한 상기 적어도 하나의 공정 단계에서 수행된 공정을 변경하기 위해 상기 적응성 샘플링 공정 모델을 적용하는 단계를 더 포함한다.
본 발명의 또 다른 양상에서는, 적어도 하나의 공정 단계에서 워크피스 상에서 수행되는 공정의 적어도 하나의 특징 파라미터를 샘플링하는 단계와; 그리고 적응성 샘플링 공정 모델을 이용하고, 상기 샘플링을 다이내믹한 제어 환경의 통합 부분으로서 처리하며, 그리고 상황 정보, 업스트림 이벤트들 및 런투런 제어기들의 요건들중 적어도 하나에 기초하여 상기 샘플링을 변경하여, 상기 샘플링된 적어도 하나의 특징 파라미터를 모델링하는 단계를 포함하는 방법을 수행하도록 프로그램된 컴퓨터가 제공된다. 이 방법은 또한 상기 적어도 하나의 공정 단계에서 수행된 공정을 변경하기 위해 상기 적응성 샘플링 공정 모델을 적용하는 단계를 더 포함한다.
본 발명의 다른 양상에서는, 적어도 하나의 공정 단계에서 워크피스 상에서 수행되는 공정의 적어도 하나의 특징 파라미터를 샘플링하는 툴과; 그리고 적응성 샘플링 공정 모델을 이용하고, 상기 샘플링을 다이내믹한 제어 환경의 통합 부분으로서 처리하며, 그리고 상황 정보, 업스트림 이벤트들 및 런투런 제어기들의 요건들중 적어도 하나에 기초하여 상기 샘플링을 변경하여, 상기 샘플링된 적어도 하나의 특징 파라미터를 모델링하는 컴퓨터를 포함하는 시스템이 제공된다. 이 시스템은 또한 상기 적어도 하나의 공정 단계에서 수행된 공정을 변경하기 위해 상기 적응성 샘플링 공정 모델을 적용하는 제어기를 포함한다.
본 발명의 또 다른 양상에서는, 적어도 하나의 공정 단계에서 워크피스 상에서 수행되는 공정의 적어도 하나의 특징 파라미터를 샘플링하는 수단과; 그리고 적응성 샘플링 공정 모델을 이용하고, 상기 샘플링을 다이내믹한 제어 환경의 통합 부분으로서 처리하며, 그리고 상황 정보, 업스트림 이벤트들 및 런투런 제어기들의 요건들중 적어도 하나에 기초하여 상기 샘플링을 변경하여, 상기 샘플링된 적어도 하나의 특징 파라미터를 모델링하는 수단을 포함하는 장치가 제공된다. 이 장치는 또한 상기 적어도 하나의 공정 단계에서 수행된 공정을 변경하기 위해 상기 적응성 샘플링 공정 모델을 적용하는 수단을 포함한다.
본 발명은 첨부 도면들을 참조하여 설명되는 하기의 상세한 설명으로부터 좀 더 명확해질 것이다. 도면 부호들에서 가장 왼쪽에 있는 숫자(들)은 도면의 번호를 나타낸다.
이하, 본 발명의 예시적인 실시예들을 설명한다. 명확성을 위하여, 실제 실행의 모든 특징들을 다 설명하지는 않는다. 물론, 어떠한 실제 실시예의 전개에 있어서, 실행마다 변하게 되는 시스템 관련 및 사업에 관련된 제약들과의 호환성과 같은 개발자의 특정한 목표들을 달성하기 위해서는 많은 실행 지정 결정들이 이루어져야 한다는 것을 알 수 있을 것이다. 또한, 이러한 전개 노력은 복잡하고 시간 소모적이지만, 그럼에도 불구하고 본원의 개시의 이익을 갖는 당업자에게 있어서는 일상적인 일이라는 것을 알 수 있을 것이다.
도 1 내지 30은 본 발명에 따른 제조 방법의 예시적인 실시예들을 도시한다.도 1에 도시된 바와 같이, 제로 또는 하나 또는 그 이상의 공정층들을 갖는 반도체 기판 또는 웨이퍼 및/또는 그 위에 배열된, 예를 들어 MOS 트랜지스터와 같은 반도체 디바이스들과 같은 워크피스(100)가 공정 툴(105)로 전달된다. 공정 툴(105)에서, 워크피스(100) 상에서는 급속 열 어닐과 같은 급속 열 처리가 수행된다.
도 2는 본 발명에 따른 다양한 예시적인 실시예들에서 급속 열 처리(RTP) 툴(105)로서 이용될 수 있는, 예를 들어 AST SHS 2800 급속 열 어닐(RTA) 툴과 같은 급속 열 어닐(RTA) 툴(200)을 개략적으로 도시한다. 본 발명의 대안적인 많은 예시적인 실시예들은 어플라이드 머티리얼즈(AMAT)에 의해 제조되는 (센츄라RTP와 같은) 급속 열 어닐(RTA) 툴들을 이용하는데, 이들은 물리적인 형태, 용도 및 측정되는 파라미터들에 있어서 상당히 다르지만, 그럼에도 불구하고 급속 열 처리(RTP) 툴(105)으로서 이용될 수 있다. 본 발명의 또 다른 대안적인 많은 예시적인 실시예들은 공정 툴(105)로서 식각 툴 그리고/또는 평탄화 툴 그리고/또는 증착 툴 등을 이용할 수 있다.
도 2에 도시된 바와 같이, 예시적인 RTA 툴(200)은 워크피스(100)의 위 아래에 배열되는 할로겐 램프들(210)의 어레이를 이용하여, 제로 또는 하나 또는 그 이상의 공정층들이 형성되어 있는 반도체 실리콘 웨이퍼와 같은 워크피스(100)를 가열한다. 워크피스(100)는 할로겐 램프들(210)의 어레이에 의해 가열되는 석영 튜브(220) 내의 웨이퍼 스탠드(215) 및 석영 핀들 상에 배열된다. 웨이퍼 스탠드(215)는 AST 핫 라이너TM와 같은 다른 구성요소들을 포함할 수 있다. 석영 튜브(220)의 온도는 열전지(thermocouple) 그리고/또는 웨이퍼 스탠드(215)의 AST 핫 라이너TM구성요소의 온도를 측정하는 고온계(230) 그리고/또는 개별적인 고온계(도면 미도시)에 의해 측정된다. 웨이퍼 스탠드(215)의 AST 핫 라이너TM구성요소의 온도 및 간접적으로는 워크피스(100)의 온도는 석영 창(225) 아래에 배열된 고온계(230)에 의해 석영 창(225)을 통해 측정된다. 대안적으로, 석영 창(225) 아래에 배열된 고온계(230)는 워크피스(100)의 온도를 직접적으로 측정한다. 할로겐 램프들(210)의 램프 파워 또한 모니터 및 제어된다.
도 3에 도시된 바와 같이, 공정 툴(105)는 시스템 통신 버스(160)를 통한 양방향 연결들을 통해 모니터링 단계(110) 및 다른 공정 단계들(140)과 통신한다. 도 3에 도시된 바와 같이, 시스템 통신 버스(160)는 또한 공정 툴(105), 모니터링 단계(110), 다른 공정 단계들(140) 및 하기에서 좀 더 상세히 설명될 진보된 공정 제어(APC) 시스템(120) 간에 통신을 제공한다.
도 4에 도시된 바와 같이, 워크피스(100)는 공정 툴(105)로부터 모니터링 단계(110)로 이송된다. 모니터링 단계(110)에서는, 하나 또는 그 이상의 공정 런 동안의 하나 또는 그 이상의 공정 툴 변수들 그리고/또는 하나 또는 그 이상의 공정 파라미터들이 모니터 그리고/또는 측정된다. 이러한 툴 변수들 그리고/또는 공정 파라미터들은 하나 또는 그 이상의 고온계 트레이스 판독값(readings), 하나 또는 그 이상의 램프 파워 트레이스 판독값, 하나 또는 그 이상의 튜브 온도 트레이스 판독값, 하나 또는 그 이상의 전류 판독값, 하나 또는 그 이상의 적외선(IR) 신호판독값, 하나 또는 그 이상의 광방출 스펙트럼 판독값, 하나 또는 그 이상의 공정 가스 온도 판독값, 하나 또는 그 이상의 가스 압력 판독값, 하나 또는 그 이상의 공정 가스 흐름률 판독값, 하나 또는 그 이상의 식각 깊이들, 하나 또는 그 이상의 공정층 두께, 하나 또는 그 이상의 저항 판독값 등을 포함한다. 도 4에 도시된 바와 같이, 모니터링 단계(110)는 시스템 통신 버스(160)를 통해 공정 툴(105)과 통신한다. 도 4에 도시된 바와 같이, 시스템 통신 버스(160)는 또한 공정 툴(105), 모니터링 단계(110) 및 이하 좀 더 상세히 설명될 APC 시스템(120) 간에 통신을 제공한다.
도 5에 도시된 바와 같이, 워크피스(100)는 모니터링 단계(110)로부터 다른 공정 단계들(140)로 진행된다. 다른 공정 단계들(140)에서는, 워크피스(100) 상에서 다른 공정이 수행되어 완성된 워크피스(100)를 제조한다. 대안적인 실시예에서, 모니터링 단계(110)로부터 이송되는 워크피스(100)는 다른 공정 단계들(140)에서는 처리되지 않는 완성된 워크피스(100)가 될 수 있다. 도 5에 도시된 바와 같이, 다른 공정 단계들(140)은 시스템 통신 버스(160)를 통해 모니터링 단계(110)와 통신한다. 도 5에 도시된 바와 같이, 시스템 통신 버스(160)는 또한 모니터링 단계(110), 다른 공정 단계들(140) 및 이하 좀 더 상세히 설명될 APC 시스템(120) 간에 통신을 제공한다.
도 6에 도시된 바와 같이, 모니터된 센서 데이터(115)가 모니터링 단계(110)로부터 APC 시스템(120)으로 전달된다. 도 6에 도시된 바와 같이, APC 시스템(120)은 시스템 통신 버스(160)를 통해 모니터링 단계(110)와 통신한다. 모니터된 센서데이터(115)를 APC 시스템(120)으로 전달하게 되면, 출력 신호(125)가 발생된다.
도 7에 도시된 바와 같이, 출력 신호(125)는 APC 시스템(120)으로부터 모델 예측 제어(MPC) 또는 비례 적분 도함수(proportional-integral-derivative)(PID) 튜닝이 되는 적응성 샘플링 공정 모델링 단계(130)로 전달된다. MPC 또는 PID 튜닝이 되는 적응성 샘플링 공정 모델링 단계(130)에서, 모니터된 센서 데이터(115)는 공정 툴(105)에서 워크피스(100) 상에서 수행되는 공정에 적절한 적응성 샘플링 공정 모델에서 이용될 수 있다. 본 발명의 대안적인 많은 실시예들에서, 적응성 샘플링 공정 모델링 단계(130)는 MPC 튜닝 및 PID 튜닝없이 제공될 수 있다.
예를 들어, 이러한 적응성 샘플링 공정 모델들은 APC 시스템의 다이내믹한 제어 환경의 통합 부분으로서 샘플링을 처리함으로써 샘플링 방법을 상당히 개선시킨다. 정적인 "최적의" 샘플링 속도를 적용하기 보다는, 샘플링은 (1) 최근 데이터의 변화에 있어서 변화량 그리고/또는 변화 속도와 같은 상황 정보, (2) 동작의 업스트림 공정의 유지 그리고/또는 변경과 같은 이벤트들, 그리고/또는 (3) 제어 모델 파라미터들을 확인하기 위한 방식에 있어서의 폐쇄 루프 런투런 제어기들의 요건들에 기초하여 증가 또는 감소되는 다이내믹한 변수로서 처리된다. 모니터된 센서 데이터(115)를 적응성 샘플링 공정 모델에 이용하게 되면, 하나 또는 그 이상의 공정 레시피 조정값들(145)을 발생시킨다.
예시적인 많은 실시예들에서, 적응성 샘플링 공정 모델은 예시적인 많은 기술들에 의해 형성될 수 있는바, 이러한 기술들은 하기에서 상세히 설명된다. 이러한 적응성 샘플링 공정 모델은 또한 하나 또는 그 이상의 공정 런들 동안 하나 또는 그 이상의 공정 변수들 그리고/또는 하나 또는 그 이상의 공정 파라미터들을 모니터함으로써 형성될 수 있다. 상기 설명된 바와 같이, 이러한 공정 툴 변수들 그리고/또는 공정 파라미터들의 예들로는 하나 또는 그 이상의 고온계 트레이스 판독값, 하나 또는 그 이상의 램프 파워 트레이스 판독값, 하나 또는 그 이상의 튜브 온도 트레이스 판독값, 하나 또는 그 이상의 전류 판독값, 하나 또는 그 이상의 적외선(IR) 신호 판독값, 하나 또는 그 이상의 광방출 스펙트럼 판독값, 하나 또는 그 이상의 공정 가스 온도 판독값, 하나 또는 그 이상의 가스 압력 판독값, 하나 또는 그 이상의 공정 가스 흐름률 판독값, 하나 또는 그 이상의 식각 깊이들, 하나 또는 그 이상의 공정층 두께, 하나 또는 그 이상의 저항 판독값 등을 포함한다. 이러한 예시적인 많은 실시예들에서, 적응성 샘플링 공정 모델들을 형성하는 것은, 상기 설명된 PLS 그리고/또는 PCA의 이용에 부가하여 또는 대안적으로서, 적어도 하나의 다항식 곡선 피팅을 이용한 수집된 공정 데이터 피팅, 최소 제곱 피팅, 다항식 최소 제곱 피팅, 비다항식 최소 제곱 피팅, 가중 최소 제곱 피팅, 가중 다학식 최소 제곱 피팅 및 가중 비다항식 최소 제곱 피팅을 포함한다.
예시적인 많은 실시예들에서, 적응성 샘플링 공정 모델은 적어도 하나의 튜닝 파라미터를 갖는, 적어도 하나의 MPC 제어기 또는 적어도 하나의 PID 제어기를 통합할 수 있다. 예시적인 많은 실시예들에서, 공정에 적절한 적응성 샘플링 공정 모델은 적어도 하나의 튜닝 파라미터를 갖는, 적어도 하나의 폐쇄 루프 MPC 제어기 또는 적어도 하나의 폐쇄 루프 PID 제어기를 통합할 수 있다. 파라미터(들)을 튜닝하는 MPC 제어기 또는 PID 제어기는, 공정 툴(105)에서 워크피스(100) 상에서 수행되는 공정의 바람직하지 않은 공정 조건들을 최소화하는 목적 기능(objective function)에 기초하여 최적화될 수 있다.
최적의 제어 문제는 시스템 모델의 제약 및 어떠한 공정 요건들을 만족시키면서 목적 함수를 극단화(최소화 또는 최대화)하는 입력들의 세트를 결정하는 것이다. 수학적으로, 이는 gi(x,u,t)≥0을 조건으로 하는에 의해 설명될 수 있는바, 여기서 x는 (목표값들로부터의 편차, 파라미터 추정의 불확실성, 필요한 물질(들)의 비용 등과 같은) 시스템 상태 변수를 나타내고, u는 변경할 수 있는 입력(들)을 나타내며, t는 시간을 나타내고, 그리고 i는 제약을 분류한다. 이러한 수학적인 관계는 매우 단순한 것 처럼 보이지만, 이들은 매우 일반적인 것으로서, 단순한 시스템들을 설명하는 것에 한정되지 않는다. 제약 방정식은 공정(들) 뿐 아니라 공정(들)의 입력(들) 및 상태(들)에 가해지는 동작 한계를 제어하는 미분 방정식들 그리고/또는 차이 방정식들을 포함할 수 있다.
가장 실제적인 공정들에서, 이러한 문제는 혼합된 경계 조건들을 갖는 비선형 미분 방정식들에서 야기된다. 최적의 해결책들은 어떠한 단순한 공정 모델들에 대해 얻어졌다. 이러한 문제들중 한 부류는 선형 (모델), 2차 (목적 함수), 가우션 (잡음) 시스템들 (LQG 시스템들)이다. 선형 2차 가우션(LQG) 시스템들에 대해, 최적의 제어기가 얻어질 수 있다. 일반적으로, 실제 공정에 있어서, 시스템의 "진짜(true)" 모델은 알려지지 않고/않거나 분석적인 해(solution)를 얻기가 너무 복잡하기 때문에, 부분 최적 제어기가 만족되어야 한다. 이러한 시도는, 시스템이선형 2차 가우션(LQG) 시스템이라고 가정하고, 근사 해로서 대응하는 선형 제어기를 이용한다.
예를 들어, MPC 제어기 또는 PID 제어기는, 하나 또는 그 이상의 측정가능한 공정 툴 변수 그리고/또는 하나 또는 그 이상의 공정 파라미터를, 세트포인트로서 알려진 각각의 바람직한 값 가까이로 유도하기 위해, 공정 툴(105)에서 워크피스(100) 상에서 수행되는 공정에 어떠한 교정 노력이 적용되게 하는 출력을 발생시키도록 설계된다. MPC 제어기 또는 PID 제어기는 각각의 공정 툴 변수(들) 그리고/또는 공정 파라미터(들)의 측정치와 세트포인트 간의 에러를 모니터 그리고/또는 측정 그리고/또는 관찰함으로써 교정 노력을 야기시키는 출력을 발생시킨다.
예를 들어, PID 제어기는 얼마나 많은 교정이 얼마나 오래 적용될 지를 결정하기 위해, 에러 e(t)의 현재값(current value), 최근 시간 간격 동안 에러 e(t)의 적분 및 시간에 대한 에러 e(t)의 도함수의 현재값을 관찰한다. 이러한 각 항에 각각의 튜닝 상수를 곱한 다음 이들을 더하게 되면,의 식에 의해 제공되는 PID 제어기 현재값 CO(t)이 발생되며, 여기서 P는 비례적인 튜닝 상수이고, I는 적분 튜닝 상수이며, D는 도함수 튜닝 상수이며, 그리고 에러 e(t)는 시간(t)에서 세트포인트 SP(t)와 공정 변수 PV(t) 간의 차이로서, e(t)=SP(t)-PV(t)이다. 현재 에러 e(t)가 크고, 그리고/또는 에러 e(t)가 긴 시간 동안 크며, 그리고/또는 현재 에러 e(t)가 급속하게 변한다면, 현재 제어기 출력 CO(t) 또한 커지게 된다. 현재 에러 e(t)가 작고, 에러 e(t)가 긴 시간 동안 작으며, 그리고 현재 에러 e(t)가 천천히 변한다면, 현재 제어기 출력 CO(t) 또한 작아지게 된다.
대안적이고 예시적인 많은 실시예들에서, PID 제어기 현재 출력 CO(t)은 대안적인의 식에 의해 주어질 수 있는바, 여기서 P는 전체 튜닝 상수이고, TI는 적분 시간 튜닝 상수이며, TD는 도함수 시간 튜닝 상수이며, 그리고 에러 e(t)는 시간(t)에서 세트포인트 SP(t)와 공정 변수 PV(t) 간의 차이로서, e(t)=SP(t)-PV(t)이다. 이러한 대안적이고 예시적인 실시예들에서, e(t)=SP(t)-PV(t)의 시간 도함수라기 보다는, 공정 변수 PV(t)의 시간 도함수에 대한 의존성으로 인해, 세트포인트 SP(t)가 변경될 때 PID 제어기 현재 출력 CO(t)의 급격한 변경이 보다 더 적다.
PID 제어기 현재 출력 CO(t) 튜닝 상수들(P, I 및 D, 그리고/또는 P, TI및 TD)는 적절히 튜닝될 수 있다. 튜닝 상수들(P, I 및 D, 그리고/또는 P, TI및 TD)에 대해 큰 값들을 공격적으로 이용하게 되면, 에러 e(t)를 증폭시켜 세트포인트(들)을 과잉 보상함으로써, 이를 지나칠 수 있다. 튜닝 상수들(P, I 및 D, 그리고/또는 P, TI및 TD)에 대해 작은 값들을 보수적으로 이용하게 되면, 에러 e(t)를 너무 느리게 감소시켜 세트포인트(들)을 덜 보상함으로써, 이에 도달하지 못할 수 있다. 적절히 튜닝된 PID 제어기 현재 출력 CO(t) 튜닝 상수들(P, I 및 D, 그리고/또는P, TI및 TD)은 이러한 2개의 극단들 사이에 있다. PID 제어기 현재 출력 CO(t) 튜닝 상수들(P, I 및 D, 그리고/또는 P, TI및 TD)은 시행 착오 트위킹(tweaking), 하기에서 좀 더 상세히 설명되는 수학적인 모델링을 포함하는 좀 더 정밀한 분석적인 시도, 그리고/또는 지에글러-니콜스(Ziegler-Nichols) "개방 루프" 및 "폐쇄 루프" 튜닝 기술들과 같은 기술들을 이용하여 적절히 튜닝될 수 있다.
MPC 또는 PID 튜닝이 되는 적응성 샘플링 공정 모델링 단계에서, 모니터된 센서 데이터(115)의 적응성 샘플링 공정 모델링은 엔지니어에게, 공정 툴(105) 그리고/또는 다른 공정 단계들(140)과 같은 많은 공정 단계들중 어느 것에서 수행되는 공정의 조정 요구를 경고하는 데에 이용된다. 엔지니어 또한, 예를 들어 공정 툴(105)에서 수행되는 공정에 대한 세트포인트들, 그리고/또는 공정 툴 변수(들) 그리고/또는 모니터링 단계(110)에서 모니터 그리고/또는 측정되는 공정 파라미터(들)을 경고 그리고/또는 조정할 수 있다.
도 8에 도시된 바와 같이, 피드백 제어 신호(135)가 공정 툴(105)에서 수행되는 공정을 조정하기 위해, MPC 또는 PID 튜닝이 되는 적응성 샘플링 공정 모델링 단계(130)로부터 공정 툴(105)로 전달된다. 대안적인 많은 실시예들에서, 피드백 제어 신호(135)는 어떠한 다른 공정 단계들(140)에서 수행되는 공정을 조정하기 위해, 예를 들어 공정 툴(105), 모니터링 단계(110), 다른 공정 단계들(140) 및 APC 시스템(120) 간에 통신을 제공하는 시스템 통신 버스(160)를 통해 MPC 또는 PID 튜닝이 되는 적응성 샘플링 공정 모델링 단계(130)로부터 어떠한 다른 공정단계들(140)로 전달될 수 있다.
도 9에 도시된 바와 같이, 피드백 제어 신호(135)에 부가하여, 그리고/또는 이를 대신하여, 하나 또는 그 이상의 공정 레시피 조정값들(145) 그리고/또는 이러한 분석에 기초한 전체적인 적절한 레시피가 MPC 또는 PID 튜닝이 되는 적응성 샘플링 공정 모델링 단계(130)로부터 공정 변경 및 제어 단계(150)로 전송된다. 이공정 변경 및 제어 단계(150)에서, 하나 또는 그 이상의 공정 레시피 조정값들(145)은 상급 관리 제어 루프에서 이용된다. 이에 따라, 도 10에 도시된 바와 같이, 공정 툴(105)에서 수행되는 공정을 조정하기 위해, 피드백 제어 신호(155)가 공정 변경 및 제어 단계(150)로부터 공정 툴(105)로 전송된다. 대안적인 많은 실시예들에서, 피드백 제어 신호(155)는 어떠한 다른 공정 단계들(140)에서 수행된 공정을 조정하기 위해, 예를 들어 공정 툴(105), 모니터링 단계(110), 다른 공정 단계들(140) 및 APC 시스템(120) 간에 통신을 제공하는 시스템 통신 버스(160)를 통해 공정 변경 및 제어 단계(150)로부터 어떠한 다른 공정 단계들(140)로 전달될 수 있다.
많은 예시적인 실시예들에서, 엔지니어에게는, 이벤트 로깅, 현재 공정 파라미터들 및 전체 런의 공정 파라미터들의 실시간 그래픽 디스플레이, 및 원격, 즉 로컬 사이트 및 전 세계적인 모니터링 뿐 아니라 사용자에게 익숙한 포맷으로 이력적인 파라미터 데이터를 제공하는 능력과 같은 진보된 공정 데이터 모니터링 성능들이 제공될 수 있다. 이러한 성능들은, 생산량 정확도, 안정성 및 반복능력, 공정 온도, 기계적인 툴 파라미터들 등과 같은 임계 공정 파라미터들이 좀 더 최적으로제어될 수 있게 한다. 이렇게 임계 공정 파라미터들을 더 최적으로 제어하게 되면 변화성을 감소시킨다. 이러한 변화성의 감소 자체는 런 내에서의 더 적은 불균형, 런들 간의 더 적은 불균형 및 툴들 간의 더 적은 불균형으로서 명백해진다. 이러한 불균형들의 수의 감소는, 제품 품질 및 성능에 있어서의 편차들이 더 적어진다는 것을 의미한다. 본 발명에 따른 제조 방법의 이러한 예시적인 실시예들에서는, 이러한 변화성을 모니터하고 임계 파라미터들의 제어를 최적화하는 모니터링 및 진단 시스템이 제공된다.
도 11은 본 발명에 따라 실행되는 방법(1100)의 한 특정 실시예를 도시한다. 도 12는 상기 방법(1100)이 실행될 수 있는 하나의 특정한 장치(1200)를 도시한다. 명확성을 위하여, 그리고 본 발명을 더 잘 이해할 수 있도록, 상기 방법(1100)은 상기 장치(1200)의 환경에서 설명된다. 그러나, 본 발명은 이에 한정되지 않으며, 많은 변형들을 갖는 바, 이는 하기에서 좀 더 설명된다.
도 11 및 12를 다시 참조하면, 워크피스들 또는 웨이퍼들(1205)의 배치 또는 로트가 공정 툴(1210)을 통해 공정된다. 공정 툴(1210)은 필요한 제어 성능들을 갖는 종래에 공지된 어떠한 공정 툴이라도 될 수 있다. 이러한 제어 목적을 위하여, 공정 툴(1210)은 공정 툴 제어기(1215)를 포함한다. 공정 툴 제어기(1215)의 특징 및 기능은 실행마다 지정된다.
이를 테면, 공정 툴 제어기(1215)는 세트포인트들 그리고/또는 공정 레시피 제어 입력 파라미터들 같은 공정 제어 입력 파라미터들을 제어한다. 도 12에는 4개의 워크피스들(1205)이 도시되었지만, 워크피스들 또는 웨이퍼의 로트들, 즉 "웨이퍼 로트"는 1부터 어떠한 유한수까지의 실행가능한 어떠한 수의 웨이퍼가 될 수 있다.
상기 방법(1100)은 박스(1120)로 설명한 바와 같이, 공정 툴(1210)에서 워크피스(1205) 상에서 수행되는 공정의 하나 또는 그 이상의 특징 파라미터들을 샘플링하는 것으로부터 시작된다. 특징 파라미터들의 특성, 확인 및 측정은 대개 실시마다 지정되며, 심지어는 툴 마다 지정된다. 이를 테면, 공정 파라미터들을 모니터하는 성능은 툴 마다 어느 정도 달라진다. 감지 성능이 좋아질수록, 확인되고 측정되는 특징 파라미터들의 범위 및 이것이 수행되는 방법의 범위가 더 넓어진다. 역으로, 감지 성능이 나빠지면 이러한 범위를 제한할 수 있다. 또한, 공정 레시피 제어 입력 파라미터들과 같은 공정 제어 입력 파라미터들 그리고/또는 워크피스 온도 그리고/또는 램프 파워 그리고/또는 어닐링 시간 그리고/또는 공정 가스 온도 그리고/또는 공정 가스 압력 그리고/또는 공정 가스 흐름률 그리고/또는 무선 주파수(RF) 파워 그리고/또는 식각 시간 그리고/또는 바이어스 전압 그리고/또는 증착 시간 등에 대한 세트포인트들은 워크피스(1205)로부터 이용할 수 있는 반도체 디바이스들의 유효 수율에 직접적으로 영향을 미친다.
도 12를 참조하면, 본 특정 실시예에서는, 처리되고 있는 공정의 특징 파라미터들이 툴 센서들(미도시)에 의해 측정 그리고/또는 모니터된다. 이러한 툴 센서들의 출력들은 라인(1220)을 통해 컴퓨터 시스템(1230)으로 전송된다. 컴퓨터 시스템(1230)은 이러한 센서 출력들을 분석해 특징 파라미터들을 확인한다.
도 11을 다시 참조하면, 일단 특징 파라미터가 확인되고 측정되면,방법(1100)은 박스(1130)로 설명되는 바와 같이 적응성 샘플링 공정 모델(이하 좀 더 상세히 설명됨)을 이용하여 상기 측정되고 확인된 특징 파라미터를 모델링한다. 본 특정 실시예에서, 도 12의 컴퓨터 시스템(1230)은 특징 파라미터(들)을 모델링하도록 프로그램된다. 이러한 모델링이 이루어지는 방법은 실시마다 지정된다.
도 12의 실시예에서, 데이터베이스(1235)는 어떤 특징 파라미터가 측정되는 지에 따라, 잠재적으로 적용될 다수의 모델들을 저장한다. 따라서, 본 특정 실시예는 측정될 수 있는 특징 파라미터들에 대한 어떠한 이전(priori) 지식을 필요로 한다. 그런 다음, 컴퓨터 시스템(1230)은 잠재적인 모델들의 데이터베이스(1235)로부터 적절한 모델을 선택하여, 측정된 특징 파라미터들에 적용한다. 데이터베이스(1235)가 적절한 모델을 포함하고 있지 않다면, 특징 파라미터는 무시되거나, 또는 컴퓨터 시스템(1230)은 그렇게 프로그램되는 경우 어떤 것을 개발하고자 할 것이다. 데이터베이스(1235)는 광 디스크(1240), 플로피 디스크(1245), 또는 컴퓨터 시스템(1230)의 하드 디스크 드라이브(미도시)와 같은 어떠한 종류의 컴퓨터 판독가능한 프로그램 저장 매체 상에 저장될 수 있다. 데이터베이스(1235)는 또한 컴퓨터 시스템(1230)과 인터페이스되는 개별적인 컴퓨터 시스템(미도시)에 저장될 수 있다.
대안적인 실시예들에서, 측정된 특징 파라미터의 모델링은 다르게 실행될 수 있다. 이를 테면, 컴퓨터 시스템(1230)은 실시간 실행으로 진행중인 모델을 개발하기 위해 센서 출력들 및 제어기 입력들을 분석하는 어떠한 형태의 인공 지능을 이용하여 프로그램될 수 있다. 이러한 시도는 도 12에 도시된 실시예 및 상기 설명한바와 같이 데이터베이스(1235)가 어떠한 적절한 모델도 갖지 않는 특징 파라미터들이 측정되고 확인되는 경우에 유용한 부가물이 될 수 있다.
이후, 도 11의 방법(1100)은 박스(1140)로 설명되는 바와 같이, 적어도 하나의 공정 제어 입력 파라미터들을 변경하기 위해 모델을 적용한다. 실행에 따라, 모델을 적용하게 되면 공정 입력 파라미터에 대한 새로운 값을 산출하거나, 또는 기존의 공정 제어 입력 파라미터에 대한 교정을 야기시킬 수 있다. 예시적인 많은 실시예들에서, 다수의 제어 입력 레시피들이 저장되며, 하나 또는 그 이상의 결정된 파라미터들에 기초하여 이들중 적절한 것이 선택될 수 있다. 이후, 새로운 공정 제어 입력은 모델에 의해 발생된 값으로부터 공식화되어, 라인(1220)을 통해 공정 툴 제어기(1215)로 전송된다. 이렇게 되면, 공정 툴 제어기(1215)는 새로운 공정 제어 입력들에 따라 이후 처리되는 공정 동작들을 제어한다.
어떠한 대안적인 실시예들은 특징 파라미터들의 모델링을 개선하기 위해 피드백 형태를 이용한다. 이러한 피드백의 실행은, 툴의 감지 성능들 및 경제성을 포함하는 몇 개의 다른 사실들에 의존한다. 이를 수행하는 한 기술은 적어도 하나의 모델 실행 효과를 모니터하고, 모니터된 효과(들)을 기초로 모델을 갱신하는 것이다. 이러한 갱신 또한 모델에 의존한다. 이를 테면, 선형 모델은 비선형 모델과 다른 갱신을 요구할 수 있으며, 다른 모든 요인들은 같다.
상기 설명으로부터 명백해지는 바와 같이, 본 발명의 일부 특징들은 소프트웨어로 실행된다. 이를 테면, 도시된 실시예에서, 도 11의 박스들(1120 내지 1140)로 설명된 동작들은 전체적으로 또는 부분적으로 소프트웨어로 실행된다. 따라서,본 발명의 일부 특징들은 컴퓨터 판독가능한 프로그램 저장 매체 상에 엔코드되는 명령들로서 실행된다. 프로그램 저장 매체는 특정한 실행에 적절한 어떠한 타입이라도 될 수 있다. 그러나, 프로그램 저장 매체는 전형적으로 플로피 디스크(1245) 또는 컴퓨터(1230)의 하드 디스크(미도시)와 같이 자기적이거나, 또는 광 디스크(1240)와 같이 광학적이다. 이러한 명령들이 컴퓨터에 의해 실행될 때, 이들은 개시된 기능들을 수행한다. 컴퓨터는 컴퓨터(1230)와 같은 데스크탑 컴퓨터가 될 수 있다. 그러나, 컴퓨터는 대안적으로 공정 툴(1210)에 포함되는 프로세서가 될 수 있다. 다른 많은 실시예들에서, 컴퓨터는 또한 랩탑, 워크스테이션, 또는 메인 프레임이 될 수 있다. 본 발명의 범위는 본 발명의 실시예들이 실시될 수 있는 프로그램 저장 매체 또는 컴퓨터의 타입 또는 특성에 한정되지 않는다.
따라서, 상세한 설명의 일부분은 알고리즘들, 함수들, 기술들 및/또는 공정들의 측면에서 제시되거나, 제시될 수 있다. 이러한 용어들은 당업자들이 그들의 작업 내용을 다른 당업자들에게 가장 효과적으로 전달할 수 있게 한다. 이러한 용어들이 본원에서 이용되며, 이들은 일반적으로 바람직한 결과를 이끄는 일관적인 단계들의 시퀀스로 간주된다. 이러한 단계들은 물리량의 물리적인 조정을 요구한다. 대개, 반드시 필요한 것은 아니지만, 이러한 물리량은 저장되고, 전송되고, 결합되고, 비교되고, 그렇지 않으면 조정될 수 있는 전자기 신호들의 형태를 갖는다.
원론적으로 공통 이용을 위하여, 이러한 신호들을 때때로 비트들, 값들, 요소들, 기호들, 문자들, 항들, 숫자들 등으로 나타내는 것이 편리함을 증명하였다. 이러한 그리고 유사한 모든 항들은 적절한 물리량들과 관련되며, 이들은 단지 이러한 물리량들 및 동작들에 적용되는 편리한 라벨들일 뿐이다. 특정하게 달리 지정되지 않는 다면, 또는 본 설명으로부터 명백해지는 바와 같이, 본원에서 이용되는 "공정", "컴퓨팅(computing)", "계산", "결정", "디스플레잉" 등은 컴퓨터 시스템 또는 유사한 전자 그리고/또는 기계적인 컴퓨팅 장치의 동작(들) 및 공정들을 나타낸다. 이러한 시스템 또는 장치는 컴퓨터 시스템의 레지스터들 그리고/또는 메모리들 내에 물리적인 (전자기적인) 양들로서 표현되는 데이터를 조정하고 이들을, 컴퓨터 시스템의 메모리들 그리고/또는 레지스터들 그리고/또는 이러한 다른 정보의 저장, 전송 및/또는 디스플레이 장치들에 물리적인 양들로서 유사하게 표현되는 다른 데이터로 변환된다.
예시적인 장치의 구성
도 12의 장치(1200)의 예시적인 실시예(1300)가 도 13 및 14에 도시된다. 장치(1300)는 진보된 공정 제어("APC") 시스템의 일부를 포함한다. 도 15 및 14는 장치(1300)의 각각의 개념화된 구조적이고 기능적인 블록도이다. 공정 단계들의 세트는 공정 툴(1310)에서 다수의 워크피스들(1305)에 대해 수행된다. 장치(1300)는 APC 시스템의 일부분이기 때문에, 워크피스들(1305)은 런 투 런 기초로 처리된다. 따라서, 런 레벨의 측정들 및 평균들에 기초하여, 공정 조정이 이루어지며 한 런이 지속되는 동안 일정하게 유지된다. "런"은 웨이퍼의 로트, 이 웨이퍼 로트들의 배치, 또는 심지어는 개별적인 웨이퍼가 될 수 있다.
본 특정 실시예에서, 워크피스들(1305)은 공정 툴(1310)에 의해 처리되며, 다양한 공정 동작들은 공정 툴(1310)과 워크스테이션(1330) 간의 라인(1320)을 통해 다수의 다수의 공정 제어 입력 신호들에 의해 제어된다. 본 실시예에 대한 예시적인 공정 제어 입력들은 워크피스 온도, 램프 파워, 어닐 시간, 공정 가스 온도, 공정 가스 압력, 공정 가스 흐름률, 무선 주파수(RF) 파워, 식각 시간, 바이어스 전압, 증착 시간 등에 대한 세트포인트들을 포함할 수 있다.
공정 툴(1310)에서 공정 단계가 끝나면, 처리되고 있는 반도체 워크피스들(1305)은 검사대(1317)에서 검사된다. 이 검사대(1317)는 공정 툴(1310)의 일부일 필요는 없지만, 예를 들어 개별적인 툴 그리고/또는 스테이션이 될 수 있다. 공정 제어 입력들은 일반적으로 반도체 워크피스들(1305)의 특징 파라미터들에 영향을 주며, 이에 따라 공정 툴(1310)에 의해 워크피스들(1305) 상에서 수행되는 동작들의 변화성 및 특성들에 영향을 준다. 일단 다수의 워크피스들(1305)의 런 이후의 검사로부터 에러들이 결정되면, 라인(1320)을 통한 공정 제어 입력들은 다수의 워크피스들(1305)의 이후의 런에 대해 변경된다. 라인(1320)을 통한 제어 신호들의 변경은 공정 툴(1310)에 의해 수행되는 다음 공정 단계를 개선하도록 설계된다. 이러한 변경은 도 11에서 설명된 방법(1100)의 하나의 특정한 실시예에 따라 수행되며, 이에 대해서는 하기에서 좀 더 상세히 설명된다. 일단 공정 툴(1310)에 대한 적절한 공정 제어 입력 신호들이 갱신되면, 새로운 설정들을 갖는 공정 제어 입력 신호들이 반도체 디바이스들의 이후의 런에 이용된다.
도 13 및 14를 참조하면, 공정 툴(1310)은 공정 모듈들의 네트워크를 포함하는 제조 프레임워크와 통신한다. 이러한 한 모듈은 컴퓨터(1340)에 상주하는 APC 시스템 관리자(1440)이다. 이러한 공정 모듈들의 네트워크는 APC 시스템을 구성한다. 공정 툴(1310)은 일반적으로 장비 인터페이스(1410) 및 센서 인터페이스(1415)를 포함한다. 머신 인터페이스(1430)는 워크스테이션(1330)에 상주한다. 이 머신 인터페이스(1430)는 APC 프레임워크, 예를 들어 APC 시스템 관리자(1440)와 장비 인터페이스(1410) 간의 갭을 브리징한다. 따라서, 머신 인터페이스(1430)는 공정 툴(1310)과 APC 프레임워크를 인터페이스하며, 머신 셋업, 작동, 모니터링 및 데이터 수집을 지원한다. 센서 인터페이스(1415)는 LabView와 같은 외부 센서들 또는 다른 센서 버스 기반 데이터 데이터 획득 소프트웨어와 통신하기 위한 적절한 인터페이스 환경을 제공한다. 머신 인터페이스(1430) 및 센서 인터페이스(1415)는 이용될 데이터를 수집하기 위해, (통신 표준과 같은) 기능 세트들을 이용한다. 장비 인터페이스(1410) 및 센서 인터페이스(1415)는 라인(1320)을 통해 워크스테이션(1330)에 상주하는 머신 인터페이스(1430)와 통신한다.
좀 더 구체적으로, 머신 인터페이스(1430)는 장비 인터페이스(1410)로부터 커맨드들, 상태 이벤트들 및 수집 데이터를 받고, 필요할 때 이들을 다른 APC 구성요소들 및 이벤트 채널들로 전송한다. 또한, APC 구성요소들로부터의 응답들은 머신 인터페이스(1430)에 의해 수신되어, 장비 인터페이스(1410)로 재전송된다. 머신 인터페이스(1430)는 또한 필요할 때 메세지들 및 데이터를 재포맷시키고 재구성한다. 머신 인터페이스(1430)는 APC 시스템 관리자(1440) 내에서의 개시/정지 절차들을 지원한다. 이는 또한, 장비 인터페이스(1410)에 의해 수집된 데이터를 버퍼링하고 적절한 데이터 수집 신호들을 방출하는 APC 데이터 수집기의 기능을 한다.
도시된 특정 실시예에서, APC 시스템은 공정 전체의 소프트웨어 시스템이지만, 본 발명의 실행에 반드시 필요한 것은 아니다. 본 발명에 의해 제시되는 제어 방법들은 실질적으로 공장에 있는 어떠한 반도체 공정 툴에도 적용될 수 있다. 실제로, 본 발명은 동일한 공장 또는 동일한 제조 공정에서 다수의 공정 툴들에 동시에 이용될 수 있다. APC 프레임워크는 공정 성능의 원격 접속 및 모니터링을 가능하게 한다. 또한, APC 프레임워크를 이용함으로써, 로컬 드라이브들 상에서의 데이터 저장보다 데이터 저장이 더 편리해지고, 더 유연해지며, 비용이 절감된다. 그러나, 어떠한 대안적인 실시예들에서, 본 발명은 로컬 드라이브들에 대해 이용될 수 있다.
도시된 실시예는 본 발명을 다수의 소프트웨어 구성 요소들을 이용하는 APC 프레임워크에 대해 전개한다. APC 프레임워크 내의 구성요소들에 부가하여, 컴퓨터 스크립트가 제어 시스템에 포함되는 각 반도체 공정 툴들에 대해 쓰여진다. 반도체 제조시 반도체 시스템의 반도체 공정 툴이 동작을 시작하면, 이 반도체 공정 툴은 일반적으로 공정 툴 제어기에 의해 요구되는 동작을 시작하기 위한 스크립트를 요구한다. 제어 방법들은 일반적으로 이러한 스크립트들을 이용하여 정의되고 수행된다. 이러한 스크립트들의 개발은 제어 시스템 개발의 상당한 부분을 차지할 수 있다.
본 특정 실시예에서는, 공정 동작을 제어하는 데에 필요한 작업들을 수행하는 몇 개의 개별적인 소프트웨어 스크립트들이 있다. 검사대(1317) 및 공정 툴 제어기(1315)를 포함하는 공정 툴(1310)에 대해 한 개의 스크립트가 있다. 또한, 검사대(1317)로부터 실제 데이터 포착을 처리하는 스크립트와, 어떠한 나머지 스크립트들에 의해 참조될 수 있는 공통 절차들을 포함하는 다른 스크립트가 있다. 또한, APC 시스템 관리자(1440)에 대한 스크립트가 있다. 그러나, 스크립트들의 정확한 수는 실행마다 지정되며, 대안적인 실시예들은 다른 수의 스크립트들을 이용할 수 있다.
예시적인 장치의 동작
도 15는 도 11의 방법(1100)의 하나의 특정한 실시예(1500)를 도시한다. 이 방법(1500)은 도 13 및 14에 도시된 장치(1300)에 의해 실행될 수 있지만, 본 발명은 이에 한정되지 않는다. 이 방법(1500)은 도 15에 설명되는 기능들을 수행할 수 있는 어떠한 장치에 의해서도 실행될 수 있다. 또한, 도 11의 방법(1100)은 도 15의 방법(1500)에 대안적인 실시예들에서 실행될 수 있다.
이제, 도 13 내지 15를 다시 참조하면, 방법(1500)은 박스(1510)로 설명한 바와 같이, 공정 툴(1310)과 같은 공정 툴을 통해 다수의 워크피스들(1305)을 처리하는 것으로부터 시작된다. 본 특정 실시예에서, 공정 툴(1310)은 머신 인터페이스(1430) 및 장비 인터페이스(1410)를 통하여 APC 시스템 관리자(1440)에 의해 공정이 수행되도록 초기화된다. 본 특정 실시예에서, 공정 툴(1310)이 가동되기 전에, APC 시스템 관리자 스크립트는 공정 툴(1310)을 초기화할 필요가 있다. 이 단계에서, 스크립트는 공정 툴(1310)의 확인 번호 및 워크피스들(1305)의 로트 번호를 기록한다. 이후, 확인 번호는 데이터 저장부(1360)에 로트 번호에 대해 저장된다. APCData 콜, Setup 및 StartMachine 콜들과 같은 나머지 스크립트는 머신이 디폴트 설정을 이용할 수 있도록, 블랭크 또는 더미 데이터로 공식화된다.
이러한 초기화의 일부로서, 공정에 대한 초기 세트포인트들이 라인(1320)을 통해 공정 툴 제어기(1315)에 제공된다. 이러한 초기 세트포인트들은 당업계에 알려져있는 어떠한 방법으로도 결정 및 실행될 수 있다. 이러한 경우, 하나 또는 그 이상의 웨이퍼 로트들은 현재의 웨이퍼 로트와 실질적으로 동일하거나 유사한 환경들 또는 조건들을 통해 처리되었으며, 또한 검사대(1317)를 이용하여 공정 에러(들)이 측정되었다. 이러한 정보가 존재할 때, 측정된 에러(들) 그리고/또는 바이어스(들)로부터 수집된 상태 추정치들이 데이터 저장부(1360)로부터 검색된다. 이후, 상태 추정치들로부터 계산된 이러한 공정 제어 입력 신호 설정들은 공정 툴(1310)로 다운로드된다.
워크피스들(1305)은 공정 툴(1310)을 통해 처리된다. 도시된 실시예에서, 이는 워크피스들(1305)을 급속 열 어닐시키는 것을 포함한다. 워크피스들(1305)은 공정 툴(1310)에서 처리된 후 검사대(1317)에서 측정된다. 검사대(1317)는 워크피스들(1305)이 처리된 후, 목표값들로부터의 편차, 필름 두께, 식각 깊이 등과 같은 다수의 에러들에 대해 이들을 검사한다. 검사대(1317) 수단에 의해 발생된 데이터는 센서 인터페이스(1415) 및 라인(1320)을 통해 머신 인터페이스(1430)로 전달된다. 검사대 스크립트는 데이터 수집을 위한 다수의 APC 커맨드들로 시작된다. 검사대 스크립트는 자신을 적소에 록킹하고 데이터 이용가능 스크립트를 작동시킨다. 이 스크립트는 검사대(1317)로부터 APC 프레임워크로의 실제 데이터 전송을 용이하게 한다. 일단 전송이 완료되면, 스크립트는 퇴거되며 검사대 스크립트를 언록킹한다. 이렇게 되면, 검사대(1317)와의 상호작용이 일반적으로 완료된다.
본원의 개시의 이익을 갖는 당업자들에게는 자명한 바와 같이, 검사대(1317)에 의해 발생된 데이터는 이용을 위해 선처리되어야 한다. KLA 검사대들과 같은 검사대들은 제어 에러를 측정하기 위한 제어 알고리즘들을 제공한다. 본 특정 실시예에서, 각각의 에러 측정들은 라인(1320) 상의 공정 제어 입력 신호들중 하나에 직접적인 방식으로 대응한다. 에러가 공정 제어 입력 신호를 교정하는 데에 이용되기 전에, 일반적으로 특정량의 선처리가 완료된다.
예를 들어, 선처리는 특이점 제거(outlier rejection)를 포함한다. 이러한 특이점 제거는 수신 데이터가 이력적인 공정 성능에 비추어 적당하다는 것을 보장하는 개략적인 에러 체크이다. 이러한 절차는 각 공정 에러들을 대응하는 소정의 한계 파라미터와 비교하는 단계를 포함한다. 일 실시예에서, 소정의 한계들중 하나가 초과된다고 할지라도, 전체 반도체 웨이퍼 로트로부터의 에러 데이터는 일반적으로 제거된다.
특이점 제거의 한계를 결정하기 위해, 수천 개의 실제 반도체 제조 구성("fab") 데이터 포인트들이 수집될 수 있다. 그런 다음, 이러한 데이터의 수집에 있어서의 각 에러 파라미터에 대한 표준 편차가 계산된다. 일 실시예에서는, 특이점 제거에 대해, 일반적으로 9번의 표준 편차(양 및 음)가 소정의 한계로서 선택된다. 이는 주로, 공정의 정상적인 동작 조건들을 상당히 벗어난 포인트들 만이 거절된다는 것을 보장하기 위해 수행되었다.
선처리는 또한 데이터를 평활시키는데, 이는 필터링이라고도 일컬어진다. 이러한 필터링이 중요한 이유는, 에러 측정들이 특정량의 무작위 데이터를 가짐으로써, 에러의 값이 상당히 벗어나기 때문이다. 검사대 데이터를 필터링하게 되면, 공정 제어 입력 신호 설정들에 있어서 에러를 더 정확하게 평가할 수 있게 된다. 일 실시예에서, 공정 제어 개요는, 이러한 환경에서 다른 필터링 절차들이 이용될 수 있음에도 불구하고, 지수적으로 가중된 이동 평균("EWMA") 필터로서 알려진 필터링 절차를 이용한다.
EWMA 필터의 일 실시예는 하기의 수학식 (1)로 표현된다:
AVGN= W * MC + (1-W) * AVGP(1)
여기서,AVGN= 새로운 EWMA 평균이며,
W= 새로운 평균(AVGN)에 대한 가중치이고,
MC= 현재의 측정치이며, 그리고
AVGP= 이전의 EWMA 평균이다.
가중치는 필터링의 양을 제어하는 데에 이용될 수 있는 조정가능한 파라미터이며, 일반적으로 0과 1 사이이다. 가중치는 현재 데이터 포인트의 정확성의 신뢰도를 나타낸다. 측정이 정확한 것으로 고려되면, 가중치는 1에 가까워져야 한다. 공정에 상당량의 불안정이 있다면, 0에 더 가까운 수가 적절할 것이다.
일 실시예에서는, EWMA 필터링 공정을 이용하기 위한 적어도 2개의 기술들이 있다. 제 1 기술은 상기 설명한 바와 같이 이전의 평균, 가중치 및 현재 측정치를 이용한다. 이러한 제 1 실시의 이용의 장점들로는 이용의 용이 및 최소한의 데이터 저장이 있다. 이러한 제 1 실시의 이용의 단점들중 하나는 이 방법이 일반적으로많은 공정 정보를 보유하지 않는 다는 것이다. 또한, 이러한 방법으로 계산된 이전의 평균은 이보다 앞서는 모든 데이터 포인트를 구성하는데, 이는 바람직하지 않다. 제 2 기술은 단지 일부 데이터 만을 보유하며, 매 시간마다 미가공 데이터로부터 평균을 계산한다.
반도체 제조시의 제조 환경은 어떠한 유일한 도전들을 제시한다. 반도체 웨이퍼 로트들이 공정 툴을 통해 처리되는 순서는 이들이 검사대 상에서 판독되는 순서에 대응하지 않는다. 이는 데이터 포인트들이 순서를 벗어나 EWMA 평균에 부가되게 한다. 반도체 웨이퍼 로트들은 에러 측정을 검증하기 위해 한번 이상 분석된다. 어떠한 데이터도 보유되지 않기 때문에, 양쪽의 판독치들은 EWMA 평균에 기여하는데, 이는 바람직하지 않은 특징이 된다. 또한, 일부 제어 스레드들은 낮은 용량(volume)을 갖는데, 이는 이전의 평균을 구식이 되게 함으로써, 공정 제어 입력 신호 설정들의 에러를 정확히 나타낼 수 없게 된다.
본 특정 실시예에서, 공정 툴 제어기(1315)는 EWMA 필터링된 에러를 계산하기 위하여 제한된 데이터 저장을 이용한다. 즉, 제 1 기술을 이용한다. 로트 번호, 로트가 처리되었던 시간 및 다수의 에러 추정치를 포함하는 웨이퍼 로트 데이터는 제어 스레드 네임하에서 데이터 저장부(1360)에 저장된다. 새로운 데이터 세트가 수집되면, 데이터 저장부(1360)로부터 데이터 스택이 검색되어 분석된다. 처리되고 있는 현재 로트의 로트 번호가 스택의 것과 비교된다. 로트 번호가 현재 거기에 있는 어떠한 데이터와 매치된다면, 에러 측정이 대체된다. 그렇지 않으면, 로트들이 처리되었던 시간 주기들에 따라, 데이터 포인트가 연대적인 순서로 현재의 스택에부가된다. 일 실시예에서는, 128 시간이 경과된 스택 내의 어떠한 데이터 포인트가 제거된다. 일단 상기 설명된 단계들이 완료되면, 새로운 필터 평균이 계산된 다음 데이터 저장부(1360)에 저장된다.
따라서, 데이터는 수집되고 선처리된 다음, 처리되어 공정 제어 입력 신호 설정들의 현재 에러 추정치를 발생시킨다. 먼저, 데이터는 상기 설명한 바와 같이 특이점 제거 기준을 수행하는 컴파일된 Matlab플러그인으로 전달된다. 플러그인 인터페이스로의 입력들은 다수의 에러 측정치들과, 그리고 한계값들을 포함하는 어레이이다. 플러그인 인터페이스로부터의 리턴은 단일 토글 변수(single toggle variable)이다. 넌제로 리턴은 제거 기준에 실패했음을 나타내는데, 그렇지 않으면 변수는 제로 디폴트 값으로 리턴되고 스크립트는 공정을 계속한다.
특이점 제거가 완료된 후, 데이터는 EWMA 필터링 절차로 진행된다. 로트에 관련된 제어 스레드 네임에 대한 제어기 데이터가 검색되며, 로트 데이터의 스택에 대한 모든 적절한 동작이 수행된다. 이는 리던던트 데이터의 대체 또는 오래된 데이터의 제거를 포함한다. 일단 데이터 스택이 적절하게 준비되면, 이는 에러값들에 대응하는 증가하는 시간 순서로 배열된 어레이들로 분석된다. 이러한 어레이들은 그의 실행에 필요한 파라미터의 어레이와 함께 EWMA 플러그인 내에 공급된다. 일 실시예에서, 플러그인으로부터의 리턴은 6개의 필터링된 에러값들로 구성된다.
도 15를 참조하면, 박스(1520)로 설명되는 바와 같이, 데이터 선처리는 공정 툴(1310) 변수들중 워크피스(1305)의 특징 파라미터(들)을 모니터링 그리고/또는 샘플링하는 것을 포함한다. 알려져있는 잠재적인 특징 파라미터들은 특징적인 데이터 패턴들에 의해 확인되거나, 또는 공정 제어에 대한 알려져있는 변경들의 결과로서 확인될 수 있다. 또한, 공정 레시피 제어 입력 파라미터들과 같은 공정 제어 입력 파라미터들 그리고/또는 워크피스 온도, 램프 파워, 어닐 시간, 공정 가스 온도, 공정 가스 압력, 공정 가스 흐름률, 무선 주파수(RF) 파워, 식각 시간, 바이어스 전압, 증착 시간 등에 대한 세트포인트들은 워크피스(1205)로부터 이용할 수 있는 반도체 디바이스들의 유효 수율에 직접적으로 영향을 미친다.
제어 공정의 다음 단계는 공정 툴(1310)의 공정 툴 제어기(1315)에 대한 새로운 설정들을 계산하는 것이다. 현재의 웨이퍼 로트에 대응하는 제어 스레드에 대한 이전의 설정들은 데이터 저장부(1360)로부터 검색된다. 이 데이터는 현재 공정 에러들의 세트와 함께 쌍을 이룬다. 새로운 설정들은 컴파일된 Matlab플러그인을 호출함으로써 계산된다. 이러한 적용은 다수의 입력들을 통합하고, 개별적인 실행 구성요소에서 계산들을 수행하며, 그리고 다수의 출력들을 메인 스크립트로 리턴시킨다. 일반적으로, Matlab플러그인의 입력들은 공정 제어 입력 신호 설정들, 검사대(1317) 에러들, 제어 알고리즘에 필요한 파라미터들의 어레이 및 현재 이용되지 않는 플러그 에러이다. Matlab플러그인의 출력들은 상기 설명된 제어기 알고리즘에 따라 플러그인에서 계산된 새로운 제어기 설정들이다.
일반적으로 제어 동작의 실제 형태 및 범위를 결정하는 공정 엔지니어 또는 제어 엔지니어가 파라미터들을 설정할 수 있다. 이러한 파라미터들은 임계값들, 최대 단계 규모들, 제어기 가중치들 및 목표값들을 포함한다. 일단 새로운 파라미터설정치들이 계산되면, 스크립트는 이 설정치들을 데이터 저장부(1360)에 저장하며, 이에 따라 공정 툴(1310)은 처리될 다음 웨이퍼 로트에 대한 대해 이들을 검색할 수 있게 된다. 본 발명에 의해 제시되는 원리들은 다른 타입의 제조 프레임워크들 에서 실시될 수 있다.
다시 도 15를 참조하면, 박스(1530)로 나타낸 바와 같이, 새로운 설정치들의 계산은 적응성 샘플링 공정 모델을 이용하여 특징 파라미터(들)을 모델링하는 것을 포함한다. 이러한 모델링은 Matlab플러그인에 의해 수행될 수 있다. 본 특정 실시예에서는, 단지 알려져있는 잠재적인 특징 파라미터들 만이 모델링되며, 모델들은 머신 인터페이스(1430)에 의해 액세스되는 데이터베이스(1335)에 저장된다. 데이터베이스(1335)는 도시된 바와 같이 워크스테이션(1330)에 상주하거나, 또는 APC 프레임워크의 어떠한 다른 부분에 상주할 수 있다. 이를 테면, 대안적인 실시예들에서, 모델들은 APC 시스템 관리자(1440)에 의해 관리되는 데이터 저장부(1360)에 저장될 수 있다. 일반적으로, 모델은 수학적인 모델, 즉 공정 레시피 제어(들)의 변경(들)이 공정 성능 등에 어떻게 어떻게 영향을 주는 지를 설명하는 방정식이다. 상기 제시된 많은 예시적인 실시예들에서 설명되고, 하기에서 좀 더 상세히 설명되는 모델들은 이러한 모델들의 예들이다.
이용되는 특정한 모델은, 특정한 공정 툴(1310) 및 모델링되는 특정한 특징 파라미터(들)에 따라, 실시될 때 마다 지정된다. 모델의 관계가 선형인지 아니면 비선형인지는 관련된 특정 파라미터(들)에 의존한다.
이후, 새로운 설정들이 공정 툴 제어기(1315)로 전송되어 적용된다. 따라서,도 15를 다시 참조하면, 박스(1540)로 설명한 바와 같이, 일단 특징 파라미터(들)이 모델링되면, 이 모델은 적어도 하나의 MPC 제어기 또는 적어도 하나의 PID 제어기를 이용하여 적어도 하나의 공정 레시피 제어 입력 파라미터들을 변경하도록 적용된다. 이러한 특정 실시예에서, 머신 인터페이스(1430)는 데이터베이스(1335)로부터 모델을 검색하고, 각 값(들)을 플러그인하며, 그리고 공정 레시피 제어 입력 파라미터(들)의 필요한 변경(들)을 결정한다. 이후, 이러한 변경은 머신 인터페이스(1430)에 의해 라인(1320)을 통해 장비 인터페이스(1410)로 전달된다. 이후, 장비 인터페이스(1410)는 상기 변경을 실시한다.
본 실시예는 또한 모델들의 갱신을 제공한다. 이는 도 15의 박스(1550 내지 1560)로 설명한 바와 같이, 공정 레시피 제어 입력 파라미터들의 변경의 적어도 하나의 효과를 모니터링하고(박스 1550), 모니터링된 효과(들)을 기초로 적용된 모델을 갱신하는 것(박스 1560)을 포함한다. 이를 테면, 공정 툴(1310) 동작의 다양한 양상들은 공정 툴(1310)이 노화됨에 따라 변하게 된다. 특징 파라미터 특정의 결과로서 실시되는 공정 레시피 변경(들)의 효과를 모니터링함으로써, 필요한 값이 갱신되어 성능을 우수하게 한다.
상기 설명한 바와 같이, 본 특정 실시예는 APC 시스템을 실시한다. 따라서, 변경들은 "로트들" 사이에서 실시된다. 박스들(1520 내지 1560)에서 설명된 동작들은 도 15의 박스(1570)로 설명한 바와 같이, 현재의 로트가 처리된 후 그리고 두 번째 로트가 처리되기 전에 실시된다. 그러나, 본 발명은 이에 한정되지 않는다. 또한, 상기 주목한 바와 같이, 한 로트는 천개에서 수 천개까지의 (또는 실질적으로는 어떠한 한정된 수의) 어떠한 실행가능한 수의 웨이퍼들로 구성된다. "로트"를 구성하는 것은 실시마다 지정되며, 이에 따라 갱신이 이루어지는 제조 공정의 시점은 실시마다 달라진다.
상기 설명한 바와 같이, 본 발명의 예시적인 많은 실시예들에서는, 적응성 샘플링 공정 모델이 공정 단계에서 수행되는 공정을 변경하기 위해 적용될 수 있다. 예를 들어, 적응성 샘플링 공정 모델은 하나 또는 그 이상의 공정 런들 동안 하나 또는 그 이상의 툴 변수들 그리고/또는 하나 또는 그 이상의 공정 파라미터들을 모니터함으로써 형성될 수 있다. 이러한 툴 변수들 그리고/또는 공정 파라미터들의 예로는 하나 또는 그 이상의 고온계 트레이스 판독값, 하나 또는 그 이상의 램프 파워 트레이스 판독값, 하나 또는 그 이상의 튜브 온도 트레이스 판독값, 하나 또는 그 이상의 전류 판독값, 하나 또는 그 이상의 적외선(IR) 신호 판독값, 하나 또는 그 이상의 광방출 스펙트럼 판독값, 하나 또는 그 이상의 공정 가스 온도 판독값, 하나 또는 그 이상의 가스 압력 판독값, 하나 또는 그 이상의 공정 가스 흐름률 판독값, 하나 또는 그 이상의 식각 깊이들, 하나 또는 그 이상의 공정층 두께, 하나 또는 그 이상의 저항 판독값 등을 포함한다.
수학적인 항들에 있어서, n개의 공정 툴 변수들 그리고/또는 공정 파라미터들에 대해 측정 그리고/또는 모니터되는 m개의 공정 런들의 세트가 직사각형 n×m 매트릭스(X)로서 배열된다. 즉, 직사각형 n×m 매트릭스(X)는 n개의 로우들(각 로우는 개별적인 공정 툴 변수 또는 공정 파라미터에 대응함)과 1 내지 m개의 칼럼들(각 칼럼은 개별적인 공정 런에 대응함)로 이루어진다. 직사각형 n×m 매트릭스(X)의 값들은, 예를 들어 공정 툴 변수들 그리고/또는 공정 파라미터들의 실제로 측정된 값들, 또는 (각각의 기준 세트포인트들로 정규화된) 실제로 측정된 값들의 비율, 또는 이러한 비율들의 대수가 될 수 있다. 직사각형 n×m 매트릭스(X)는 랭크(r)를 갖는바, 여기서 r≤min{m,n}은 매트릭스(X)의 독립적인 변수들중 최대수이다. 직사각형 n×m 매트릭스(X)는 예를 들어 PCA를 이용하여 분석될 수 있다. PCA의 이용은, 예를 들어 방정식 ((X-M)(X-M)T)P=Λ2P의 방정식의 주성분 P의 세트(그의 "로딩들" 또는 구성요소들이 많은 공정 툴 변수들 그리고/또는 공정 파라미터들에 의한 것임을 나타냄)를 고유 매트릭스(eigenmatrix)(그의 칼럼들이 고유 벡터들인 매트릭스)로서 발생시키는바, 여기서 M은 X의 칼럼들의 평균값들의 직사각형 n×m 매트릭스이며(M의 m개의 칼럼들은 각각 Xn×m의 칼럼 평균 벡터()이다), Λ2은 평균 규모의 매트릭스(X-M)의 고유값들(λi, i=1,2,...,r)의 제곱들의 n×n 대각선 매트릭스이고, 그리고 T는 스코어 매트릭스이며, X-M-PTT이고 (X-M)T=(PTT)T=(TT)TPT=TPT이며, 이에 따라 ((X-M)(X-M)T)P=((PTT)(TPT))P이고, ((PTT)(TPT))P=(P(TTT)PT)P=P(TTT)=Λ2P이다. Xn×m으로도 표시되는 직사각형 n×m 매트릭스(X)는 xij의 요소들을 갖는바, 여기서 i=1,2,...n이고 j=1,2,...m이며; 직사각형 n×m 매트릭스(X)의 전치 매트릭스이며 (XT)m×n으로 표시되는 직사각형 m×n매트릭스(XT)는 xji의 요소들을 갖는바, 여기서 i=1,2,...n이고 j=1,2,...m이다. n×n 매트릭스 (X-M)(X-M)T는 (m-1)에 sij의 요소들을 갖는 분산 매트릭스(Sn×n)를 곱한 것이며, 여기서 i=1,2,...n이고 j=1,2,...n이며, 결과적으로 직사각형 n×m 매트릭스(X)에 대응하는로 정의된다.
다른 방법들이 있기는 하지만, 주성분들을 계산하는 4개의 방법들은 다음과 같다:
1. 고유분석(EIG);
2. 단일값 분석(SVD);
3. 비선형의 반복적인 부분 최소 제곱(NIPALS); 및
4. 파워 방법.
첫 번째 2개의 각 방법들, EIG 및 SVD는 가능한 모든 주성분들을 동시에 계산하는 반면, NIPALS 방법은 한번에 하나의 주성분을 계산할 수 있다. 채널들(또는 변수값들) 만큼 많은 주성분들이 있다. 상기 파워 방법은 계산 시간을 효율적으로 이용한다.
예를 들어, 3×2 매트릭스(A), 그의 전치 매트릭스인 2×3 매트릭스(AT), 이들의 2×2 매트릭스 곱(ATA) 및 이들의 3×3 매트릭스 곱(AAT)을 고려해보자:
상기 EIG는 매트릭스 곱(ATA)의 고유 벡터들(λ)이 3 및 2임을 나타낸다. 매트릭스 곱(ATA)의 고유 벡터들은 방정식의 해()이며, 검사에 의해 각각 고유 벡터들(λ1=3 및 λ2=2)에 속하는=(1,0) 및=(0,1)이 된다는 것을 알 수 있다.
상기 파워 방법은, 예를 들어 매트릭스 곱(AAT)의 고유값들(λ) 및 고유 벡터들()을 결정하는 데에 이용될 수 있는바, 여기서 고유값들(λ) 및 고유 벡터들()은 방정식의 해()이다. 시행 벡터(trial vector)=(1,1,1)가 이용될 수 있다:
이는 시행 벡터=(1,1,1)이 고유값(λ1)=3에 속하는 고유 벡터=(1,1,1)에 대응하여 발생함을 나타낸다. 이후, 파워 방법은 계속해서 매트릭스 곱(AAT)으로부터 외부 곱 매트릭스()를 뺌으로써, 나머지 매트릭스(R1)를 다음과 같이 형성한다:
다른 시행 고유 벡터=(1,0,-1)이 이용될 수 있다:
이는 시행 벡터=(1,0,-1)이 고유값(λ2)=2에 속하는 고유 벡터=(1,0,-1)에 대응하여 발생함을 나타낸다. 이후, 파워 방법은 계속해서 상기 나머지 매트릭스(R1)로부터 외부 곱 매트릭스()을 뺌으로써, 제 2 나머지 매트릭스(R2)를 다음과 같이 형성한다:
상기 제 2 나머지 매트릭스(R2)가 영이 된다는 사실은, 고유값(λ3)=0이고 고유 벡터()가 완전히 임의적임을 나타낸다. 고유 벡터()는 편리하게는 고유 벡터들=(1,1,1) 및=(1,0,-1)에 대해 직각이 되도록 선택될 수 있으며, 이에 따라 고유 벡터=(1,-2,1)이 된다. 실제로, 다음을 쉽게 증명할 수 있다:
유사하게, A의 SVD는 A=PTT이며, 여기서 P는 주성분 매트릭스이고, T는 스코어 매트릭스이다:
SVD는 A의 단일값들이 매트릭스 곱(ATA)의 고유값들(λ1=3 및 λ2=2)의 양의 제곱근들인 √3 및 √2임을 확인한다. 주목할 사항으로서, 주성분 매트릭스(P)의 칼럼들은 매트릭스 곱(AAT)의 정규직교화된 고유 벡터들이다.
마찬가지로, AT의 SVD는 AT=TPT임을 나타낸다:
SVD는 AT의 (넌 제로) 단일값들이 매트릭스 곱(AAT)의 고유값들(λ1=3 및 λ2=2)의 양의 제곱근들인 √3 및 √2임을 확인한다. 주목할 사항으로서, 주성분 매트릭스(P)의 칼럼들(주성분 매트릭스(PT)의 로우들)은 매트릭스 곱(AAT)의 정규직교화된 고유 벡터들이다. 또한, 주목할 사항으로서, 스코어 매트릭스(T)의 넌 제로 요소들은 매트릭스 곱들(ATA 및 AAT)의 (넌 제로) 고유값들(λ1=3 및 λ2=2)의 양의 제곱근들인 √3 및 √2임을 확인한다.
다른 예를 보면, 4×3 매트릭스(B), 그의 전치 매트릭스인 3×4 매트릭스(BT), 이들의 3×3 매트릭스 곱(BTB) 및 이들의 4×4 매트릭스 곱(BBT)를 고려하자:
EIG는 매트릭스 곱(BTB)의 고유값들이 4, 2 및 2임을 나타낸다. 이 매트릭스 곱(BTB)의 고유 벡터들은 방정식의 해()이며, 검사에 의해 각각 고유값들(λ1=4, λ2=2 및 λ3=2)에 속하는=(1,0,0),=(0,1,0) 및=(0,0,1)이 됨을 알 수 있다.
상기 파워 방법은, 예를 들어 매트릭스 곱(BBT)의 고유값들(λ) 및 고유 벡터들()을 결정하는 데에 이용될 수 있는바, 여기서 고유값들(λ) 및 고유 벡터들()은 방정식의 해()이다. 시행 벡터=(1,1,1,1)가 이용될 수 있다:
이는 시행 벡터=(1,1,1,1)가 고유값(λ1=4)에 속하는 고유 벡터=(1,1,1,1)에 대응하여 발생됨을 나타낸다. 이후, 상기 파워 방법은 계속해서 매트릭스 곱(BBT)으로부터 외부 곱 매트릭스()를 뺌으로써, 나머지 매트릭스(R1)를 형성한다:
다른 시행 고유 벡터=(1,0,0,-1)이 이용될 수 있다:
이는 시행 벡터=(1,0,0,-1)이 고유값(λ2=2)에 속하는 고유 벡터=(1,0,0,-1)에 대응하여 발생함을 나타낸다. 이후, 파워 방법은 계속해서 상기 나머지 매트릭스(R1)로부터 외부 곱 매트릭스()을 뺌으로써, 제 2 나머지 매트릭스(R2)를 다음과 같이 형성한다:
다른 시행 고유 벡터=(0,1,-1,0)이 이용될 수 있다:
이는 시행 벡터=(0,1,-1,0)가 고유값(λ3=2)에 속하는 고유 벡터=(0,1,-1,0)에 대응하여 발생됨을 나타낸다. 이후, 상기 파워 방법은 계속해서 제 2 나머지 매트릭스(R2)로부터 외부 곱 매트릭스()를 뺌으로써, 제 3 나머지 매트릭스(R3)를 형성한다:
상기 제 3 나머지 매트릭스(R3)가 0이 된다는 사실은 고유값(λ4=0)이고 고유 벡터()가 완전히 임의적임을 나타낸다. 이 고유 벡터()는 편리하게는 고유 벡터들=(1,1,1,1),=(1,0,0,-1) 및=(0,1,-1,0)에 대해 직각이 되도록 선택될 수 있으며, 이에 따라 고유 벡터=(1,-1,-1,1)이 된다. 실제로, 다음을 쉽게 증명할 수 있다:
이러한 경우, 고유값들(λ2=2 및 λ3=2)이 같으며, 이에 따라 퇴화되기 때문에, 퇴화되는 고유값들(λ2=2=λ3)에 속하는 고유 벡터들=(1,0,0,-1) 및=(0,1,-1,0)은 편리하게는 직각이 되도록 선택될 수 있다. 예를 들어, 그램 슈미트(Gram-Schmidt) 정규직교화 절차가 이용될 수 있다.
유사하게, B의 SVD는 B=PTT임을 나타내는바, 여기서 P는 주성분 매트릭스이며, T는 스코어 매트릭스이다:
SVD는 B의 단일 값들이 매트릭스 곱(BTB)의 고유값들(λ1=4, λ2=2 및 λ3=2)의 양의 제곱근들인, 2, √2 및 √2임을 확인한다.
마찬가지로, BT의 SVD는 다음을 나타낸다:
SVD는 BT의 (넌 제로) 단일 값들이 매트릭스 곱(AAT)의 고유값들(λ1=4, λ2=2 및 λ3=2)의 양의 제곱근들인, 2, √2 및 √2임을 확인한다. 주목할 사항으로서, 주성분 매트릭스(P)의 칼럼들(주성분 매트릭스(PT)의 로우들)은 매트릭스 곱(BBT)의 정규직교화된 고유 벡터들이다. 또한, 주목할 사항으로서, 스코어 매트릭스(T)의 넌 제로 요소들은 매트릭스 곱들(BTB 및 BBT)의 (넌 제로) 고유값들(λ1=4, λ2=2 및 λ3=2)의 양의 제곱근들이다.
상기 설명된 매트릭스들(A 및 B)은 PCA 및 파워 방법을 단순화하기 위해 이용된 것으로서, 본 발명의 예시적인 실시예들에서 볼 수 있는 데이터 매트릭스들 보다 훨씬 작다. 예를 들어, 예시적인 많은 실시예들에서, 약 m=100-600의 공정 런들이 n=10-60의 공정 툴 변수들 그리고/또는 공정 파라미터들에 대해 측정 그리고/또는 모니터될 수 있다. n=10-60의 변수들에 대해 모든 m=100-600의 런들을 퇴화시키는 부르트 포스 모델링(Brute force modeling)은 질이 나쁜 퇴화 문제를 구성할 수 있다. PCA 그리고/또는 부분 최소 제곱(잠재 구조에 대한 투영(projection to latent structures)이라고도 일컬어지는, PLS)과 같은 기술들은 감소하는 변화성의 레벨들에 기초하여 계층적인 데이터 배열을 나타냄으로써, 이러한 경우들의 복잡성을 감소시킨다. PCA에서, 이는 연속적인 주성분들을 찾는 것을 포함한다. NIPALS와 같은 PLS 기술들에서, 이는 연속적인 잠재 벡터들을 찾는 것을 포함한다.
도 16에 도시된 바와 같이, 데이터 포인트들(1610)의 산포도(scatterplot)(1600)가 n-차원의 가변 공간에 그려져있다. 평균 벡터(1620)는 p-차원(도 16에서 p=2)의 주성분 타원체(1630)의 중심에 있다. 평균 벡터(1620)는 전체 데이터 매트릭스(X)의 칼럼들의 평균을 취함으로써 결정될 수 있다. 주성분 타원체(1630)는 평균 규모 데이터 매트릭스(X-M)의 가장 큰 고유값과 같은 길이를 갖는 제 1 주성분(1640)(도 16의 장축) 및 평균 규모 데이터 매트릭스(X-M)의 다음으로 가장 큰 고유값과 같은 길이를 갖는 제 2 주성분(1650)(도 16의 단축)을 갖는다.
예를 들어, 상기 주어진 3×4 매트릭스(BT)는 3개의 변수들에 대한 4개의 런들에 대응하는, (또한 단순함을 위하여) 전체 데이터 매트릭스(X)로서 선택될 수 있다. 도 17에 도시된 바와 같이, 데이터 포인트들(1710)의 산포도(1700)는 3-차원 변수 공간에 그려져있다. 평균 벡터()(1720)는 2-차원 주성분 타원체(실제적으로는 원, 퇴화한 타원체)(1730)의 중심에 있다. 평균 벡터()(1720)는 전체 3×4 데이터 매트릭스(BT)의 칼럼들의 평균을 취함으로써 결정된다. 주성분 타원체(1730)는 제 1 주성분(도 17의 "장축")(1740) 및 제 2 주성분(도 17의 "단축")(1750)을 갖는다. 여기서, 평균 규모의 데이터 매트릭스(BT-M)의 고유값들은 같고 퇴화됨으로써, 도 17의 "장축" 및 "단축"의 길이들은 같다. 도 17에 도시된 바와 같이, 평균 벡터()(1720)는 다음과 같이 주어지며;
, 그리고 매트릭스(M)는 4개의 모든 칼럼들에 대한 평균 벡터()(1720)를 갖는다.
PCA는 기하학적으로 도시될 수 있다. 예를 들어, (상기 주어진 3×2 매트릭스(A)와 유사한) 3×2 매트릭스(C):는 3개의 변수들에 대한 2개의 런들에 대응하는, (또한 단순함을 위하여) 전체 데이터 매트릭스(X)로서 선택될 수 있다. 도 18에 도시된 바와 같이, 각각 좌표들 (1,1,1) 및 (-1,0,1)을 갖는 데이터 포인트들(1810 및 1820)의 산포도(1800)는 3-차원 변수들 공간에 그려질 수 있는바, 여기서 변수들은 각각의 급속 열 공정 툴 그리고/또는 3개의 각 변수들에 대한 파라미터 값들이다. 평균 벡터()(1830)는 1-차원 주성분 타원체(실제로는 선, 매우 퇴화한 타원체)(1840)의 중심에 있다. 평균 벡터()(1830)는 전체 3×2 데이터 매트릭스(C)의 칼럼들의 평균을 취함으로써 결정될 수 있다. 주성분 타원체(1840)는 제 1 주성분(√5의 길이를 가지며, 제 1 주성분축(1860)을 따라 위치된, 도 18의 "장축")(1850)을 가지며, 각각 제 2 또는 제 3 주성분축들(1870 및 1880)을 따라 위치하는 어떠한 제 2 또는 제 3 주성분도 없다. 여기서, 평균 규모의 데이터 매트릭스(C-M)의 평균값들중 2개는 0이며, 이에 따라 도 18의 "단축"들의 길이는 모두 0이다. 도 18에 도시된 바와 같이, 평균 벡터()(1830)는이며, 매트릭스(X)는 양쪽 칼럼들에 대한 평균 벡터()(1830)를 갖는다. 도 18에 도시된 바와 같이, PCA는 최초 좌표축들에 대한 좌표들 (0,1/2,1) 및 새로운 주성분 축들(1860, 1870 및 1880)에 대한 좌표들 [0,0,0]을 갖는, 평균 벡터()(1830)의 종점 주위로의, 최초의 가변 축들(여기에서는, 각각의 급속 열 공정 툴 그리고/또는 3개의 각 변수들에 대한 파라미터 값들)의 주축 회전에 지나지 않는다. 로딩들은 단지 최초 가변축들에 대한 새로운 주성분 축들(1860, 1870 및 1880)의 방향 코사인이다. 스코어들은 단지 새로운 주성분 축들(1860, 1870 및 1880)과 관련된 각각의 데이터 포인트들(1810 및 1820)의 좌표들, [50.5/2,0,0] 및 [-50.5/2,0,0]이다.
평균 규모의 3×2 데이터 매트릭스(C-M), 그의 전치 매트릭스, 2×3 매트릭스(C-M)T, 이들의 2×2 매트릭스 곱 (C-M)T(C-M) 및 이들의 3×3 매트릭스 곱 (C-M)(C-M)T은 다음과 같다:
3×3 매트릭스 (C-M)(C-M)T은 요소들(sij)을 갖는 분산 매트릭스(S3×3)이며, 여기서 sij는 직사각형 3×2 매트릭스(C3×2)에 대응하는로서 정의되며, i=1,2,3,이고 j=1,2,3,이다.
EIG는, 예를 들어 영년 방정식에 대한 해을 구함으로써, 매트릭스 곱 (C-M)T(C-M)의 고유값들(λ)이 5/2 및 0임을 나타낸다. 매트릭스 곱 (C-M)T(C-M)의 고유 벡터들은로서 다시 쓰여질 수 있는 방정식의 해()이다. 고유값(λ1=5/2)에 대하여, 고유 벡터()는에 의해=(1,-1)이 됨을 알 수 있다. 고유값(λ1=0)에 대해, 고유 벡터()는에 의해=(1,1)이 됨을 알 수 있다.
예를 들어, 상기 파워 방법은 매트릭스 곱 (C-M)(C-M)T의 고유값들(λ) 및 고유 벡터들()을 결정하는 데에 이용될 수 있는바, 여기서 상기 고유값들(λ) 및 고유 벡터들()은 방정식의 해()이다. 시행 고유 벡터=(1,1,1)이 이용될 수 있다:
이는 시행 고유 벡터=(1,1,1)이, 고유값(λ1=5/2)에 속하는 고유 벡터=(1,1/2,0)에 대응하여 발생된 개선된 시행 고유 벡터=(1,1/2,0)에 의해 대체됨을 나타낸다. 그런 다음, 상기 파워 방법은 계속해서 매트릭스 곱 (C-M)(C-M)T로부터 외부 곱 매트릭스()를 뺌으로써, 나머지 매트릭스(R1)를 형성한다:
고유 벡터=(1,1/2,0)에 직교하는 다른 시행 고유 벡터=(-1,2,0)이 이용될 수 있다:
이는 시행 고유 벡터=(-1,2,0)이 고유값(λ2=0)에 속하는 고유 벡터=(-1,2,0)에 대응하여 발생됨을 나타낸다. 그런 다음, 상기 파워 방법은 계속해서 상기 나머지 매트릭스(R1)로부터 외부 곱 매트릭스()를 뺌으로써, 제 2 나머지 매트릭스(R2)를 형성한다:
고유 벡터들=(1,1/2,0) 및=(-1,2,0)에 직교하는 시행 고유 벡터=(0,0,1)이 이용될 수 있다:
이는 시행 고유 벡터=(0,0,1)이 고유값(λ3=0)에 속하는 고유 벡터=(0,0,1)에 대응하여 발생됨을 나타낸다. 실제로, 다음을 쉽게 증명할 수 있다:
유사하게, C-M의 SVD는 C-M=PTT임을 나타내는바, 여기서 P는 주성분 매트릭스(그의 칼럼들은,에 비례하는 정규직교화된 고유 벡터들이고, 이들의 요소들은 로딩들이며, 새로운 주성분 축들(1860, 1870 및 1880)의 방향 코사인은 최초 변수축들과 관련됨)이며, T는 스코어 매트릭스(그의 로우들은 새로운 주성분 축들(1860, 1870 및 1880)에 적용되는 데이터 포인트들(1810 및 1820)의 좌표들임)이다:
스코어 매트릭스(TT)의 전치는 로우들이에 비례하는 정규직교화된 고유 벡터들인 매트릭스와 C-M의 고유값들의 매트릭스의 곱에 의해 주어진다. 도 18에 도시된 바와 같이, 변수 1 값들의 축에 대한 제 1 주성분축(1860)의 방향 코사인(로딩)은에 의해 주어지고, 변수 2 값들의 축에 대한 제 1 주성분축(1860)의 방향 코사인(로딩)은에 의해 주어진다. 유사하게, 변수 3 값들의 축에 대한 제 1 주성분축(1860)의 방향 코사인(로딩)은에 의해 주어진다. 유사하게, 변수 1 값들의 축에 대한 제 2 주성분축(1870)의 방향 코사인(로딩)은에 의해 주어지고, 변수 2 값들의 축에 대한 제 2 주성분축(1870)의 방향 코사인(로딩)은에 의해 주어지며, 그리고 변수 3 값들의 축에 대한 제 2 주성분축(1870)의 방향 코사인(로딩)은에 의해 주어진다. 마지막으로, 변수 1 값들의 축에 대한 제 3 주성분축(1880)의 방향 코사인(로딩)은에 의해 주어지고, 변수 2 값들의 축에 대한 제 3 주성분축(1880)의 방향 코사인(로딩)은에 의해 주어지며, 그리고 변수 3 값들의 축에 대한 제 3 주성분축(1880)의 방향 코사인(로딩)은에 의해 주어진다.
SVD는 C-M의 단일 값들이 매트릭스 곱 (C-M)T(C-M)의 고유값들(λ1=0 및 λ2=0)의 양의 제곱근들인 √5/√2 및 0임을 확인한다. 주목할 사항으로서, 주성분 매트릭스(P)의 칼럼들은 매트릭스 곱 (C-M)(C-M)T의 정규직교화된 고유 벡터들이다.
다른 예로서, (상기 주어진 3×4 매트릭스(BT)와 동일한) 3×4 매트릭스(D):가, 3개의 변수들에 대한 4개의 런들에 대응하는 (또한 단순함을 위하여) 전체 데이터 매트릭스(X)로서 선택될 수 있다. 도 19에 도시된 바와 같이, 각각 좌표들 (1,1,0), (1,0,1), (1,0,-1) 및 (1,-1,0)을 갖는 데이터 포인트들의 산포도(1900)가 3-차원 변수 공간들에 그려져있는바, 여기서 변수들은 각각의 급속 열 공정 툴 그리고/또는 3개의 각 변수들에 대한 파라미터값들이다. 평균 벡터()(1920)는 2-차원 주성분 타원체(실제로는 원, 약간 퇴화한 타원체)(1930)의 중심에 있다. 평균 벡터()(1920)는 전체 3×4 데이터 매트릭스(D)의 칼럼들의 평균을 취함으로써 결정될 수 있다. 주성분 타원체(1930)는 제 1 주성분(1940)(제 1 주성분축(1950)을 따라 있으며 길이 2를 갖는 도 19의 "장축") 및 제 2 주성분(1960)(제 2 주성분축(1970)을 따라 있으며 또한 길이 2를 갖는 도 19의 "단축")을 가지며, 제 3 주성분축(1980)을 따라 있는 어떠한 제 3 주성분도 갖지 않는다. 여기서, 평균 규모의 데이터 매트릭스(D-M)의 고유값들중 2개는 같으며, 이에 따라 도 19의 주성분 타원체(1930)의 "장축" 및 "단축"의 길이는 모두 같고, 나머지 고유값은 0이다. 따라서, 도 19의 주성분 타원체(1930)의 다른 "단축"의 길이는 0이다. 도 19에 도시된 바와 같이, 평균 벡터()(1920)은으로 주어지며, 매트릭스(M)는 4개의 모든 칼럼들에 대해 평균 벡터()(1920)를 갖는다. 도 19에 도시된 바와 같이, PCA는 최초 좌표축들에 대한 좌표들 (1,0,0) 및 새로운 주성분 축들(1950, 1970 및 1980)에 대한 좌표들 [0,0,0]을 갖는, 평균 벡터()(1920)의 종점 주위로의, 최초의 가변 축들(여기에서는, 각각의 급속 열 공정 툴 그리고/또는 3개의 각 변수들에 대한 파라미터 값들)의 주축 회전에 지나지 않는다. 로딩들은 단지 최초 가변축들에 대한 새로운 주성분 축들(1950, 1970 및 1980)의 방향 코사인들이다. 스코어들은 단지 새로운 주성분 축들(1950, 1970 및 1980)과 관련된 각각의 데이터 포인트들의 좌표들, [1,0,0],[0,1,0],[0,-1,0] 및 [-1,0,0]이다.
3×3 매트릭스 곱 (D-M)(D-M)T는 다음과 같이 주어진다:
3×3 매트릭스 (D-M)(D-M)T는 요소들(sij)을 갖는 분산 매트릭스(S3×3)에 3을 곱한 것이며, 여기서 sij는 직사각형 3×4 매트릭스(D3×4)에 대응하는이며, i=1,2,3,이고 j=1,2,3,이다.
EIG는 매트릭스 곱 (D-M)(D-M)T의 고유값들이 0, 2 및 2임을 나타낸다. 매트릭스 곱 (D-M)(D-M)T의 고유 벡터들은 방정식의 해()이며, 검사에 의해 (가장 큰 고유값을 첫 번째로 두는 협약을 따라) 각각 고유값들(λ1=2, λ2=2 및 λ3=0)에 속하는=(0,1,0),=(0,0,1) 및=(1,0,0)이 됨을 알 수 있다.
도 19에 도시된 바와 같이, 변수 1 값들의 축에 대한 제 1 주성분축(1950)의 방향 코사인(로딩)은에 의해 주어지고, 변수 2 값들의 축에 대한 제 1 주성분축(1970)의 방향 코사인(로딩)은에 의해 주어지며, 그리고 변수 3 값들의 축에 대한 제 1 주성분축(1060)의 방향 코사인(로딩)은에 의해 주어진다. 유사하게, 변수 1 값들의 축에 대한 제 2 주성분축(1970)의 방향 코사인(로딩)은에 의해 주어지고, 변수 2 값들의 축에 대한 제 2 주성분축(1970)의 방향 코사인(로딩)은에 의해 주어지며, 그리고 변수 3 값들의 축에 대한 제 2 주성분축(1970)의 방향 코사인(로딩)은에 의해 주어진다. 마지막으로, 변수 1 값들의 축에 대한 제 3 주성분축(1980)의 방향 코사인(로딩)은에 의해 주어지고,변수 2 값들의 축에 대한 제 3 주성분축(1980)의 방향 코사인(로딩)은에 의해 주어지며, 그리고 변수 3 값들의 축에 대한 제 3 주성분축(1980)의 방향 코사인(로딩)은에 의해 주어진다.
스코어 매트릭스(TT)의 전치는 하기와 같이, 주성분 매트릭스(P)의 전치의 왼쪽에 평균 규모의 데이터 매트릭스(D-M)를 곱함으로써 얻어질 수 있는바, 이때 상기 주성분 매트릭스(P)의 칼럼들은 매트릭스 곱 (D-M)(D-M)T의 정규직교화된 고유 벡터들인,,이다.
실제로, 스코어 매트릭스의 전치(TT)의 칼럼들(또는 동등하게는, 스코어 매트릭스(T)의 로우들)은 각각 새로운 주성분축들(1950, 1970 및 1980)에 관련된 데이터 포인트들의 좌표들 [1,0,0],[0,1,0],[0,-1,0] 및 [-1,0,0]이다.
상기 설명된 매트릭스들(C 및 D)은 PCA 및 파워 방법의 제시를 단순화하기 위해 이용되었으며, 본 발명의 예시적인 실시예들에서 볼 수 있는 데이터 매트릭스들 보다 훨씬 적다. 예를 들어, 예시적인 많은 실시예들에서, 약 m=100-600의 공정 런들이 n=10-60의 공정 툴 변수들 그리고/또는 공정 파라미터들에 대해 측정 그리고/또는 모니터될 수 있다. n=10-60의 변수들에 대해 모든 m=100-600의 런들을 퇴화시키는 부르트 포스 모델링은 질이 나쁜 퇴화 문제를 구성할 수 있다. PCA 그리고/또는 부분 최소 제곱(잠재 구조에 대한 투영이라고도 일컬어지는, PLS)과 같은 기술들은 감소하는 변화성의 레벨들에 기초하여 계층적인 데이터 배열을 나타냄으로써, 이러한 경우들의 복잡성을 감소시킨다. PCA에서, 이는 연속적인 주성분들을 찾는 것을 포함한다. NIPALS와 같은 PLS 기술들에서, 이는 연속적인 잠재 벡터들을 찾는 것을 포함한다. 예시적인 많은 실시예들에서, n=10-60개의 공정 툴 변수들 그리고/또는 공정 파라미터들에 대해 측정 그리고/또는 모니터되는 약 m=100-600개의 공정 런들 동안 툴 그리고/또는 센서 드리프트는 (n=10-60개의 변수들을 나타내는) n-차원 공간을 통해 (m=100-600개의 공정 런들을 나타내는) 약 m=100-600개의 포인트들의 다이내믹한 흐름의 동치(equivalent) 문제에 맵핑된다. PCA는 예를 들어, 각각의 세트포인트 값들로부터의 툴 그리고/또는 센서 드리프트를 보상하기 위해, 공정 툴 변수들 그리고/또는 공정 파라미터들에 대해 이루어지게 될 적절한 다차원 "회전"을 나타냄으로써 급속 열 공정을 교정하는 데에 이용된다.
대안적인 많은 실시예들에서, 적응성 샘플링 공정 모델들은 대안적인 방법들로 형성될 수 있다.이러한 적응성 샘플링 공정 모델들은 또한 하나 또는 그 이상의 공정 런들 동안 하나 또는 그 이상의 툴 변수들 그리고/또는 하나 또는 그 이상의 공정 파라미터들을 모니터함으로써 형성될 수 있다. 이러한 툴 변수들 그리고/또는 공정 파라미터들의 예로는 하나 또는 그 이상의 고온계 트레이스 판독값, 하나 또는 그 이상의 램프 파워 트레이스 판독값, 하나 또는 그 이상의 튜브 온도 트레이스 판독값, 하나 또는 그 이상의 전류 판독값, 하나 또는 그 이상의 적외선(IR) 신호 판독값, 하나 또는 그 이상의 광방출 스펙트럼 판독값, 하나 또는 그 이상의 공정 가스 온도 판독값, 하나 또는 그 이상의 가스 압력 판독값, 하나 또는 그 이상의 공정 가스 흐름률 판독값, 하나 또는 그 이상의 식각 깊이들, 하나 또는 그 이상의 공정층 두께, 하나 또는 그 이상의 저항 판독값 등이 있다. 이러한 대안적인 많은 실시예들에서, 적응성 샘플링 공정 모델들을 형성하는 것은, 상기 설명된 PLS 그리고/또는 PCA의 이용에 부가하여 또는 대안적으로서, 적어도 하나의 다항식 곡선 피팅을 이용한 수집된 공정 데이터 피팅, 최소 제곱 피팅, 다항식 최소 제곱 피팅, 비다항식 최소 제곱 피팅, 가중 최소 제곱 피팅, 가중 다학식 최소 제곱 피팅 및 가중 비다항식 최소 제곱 피팅을 포함한다.
예시적인 많은 실시예들에서, 샘플들은 N+1개의 데이터 포인트들(xi,yi)에 대해 수집되는바, 여기서 i=1,2,..., N, N+1이며, 그리고 N차의 다항식는 N+1개의 데이터 포인트들 (xi,yi)에 대해 피트(fit)된다. 예를 들어, 공정 단계로부터 나오는 워크피스들의 유효 수율(t)에 대해, 공정 단계 동안, 고온계 트레이스 판독값(p), 램프 파워 트레이스 판독값(f), 그리고/또는 튜브 온도 트레이스 판독값(T)에 관련된 100개의 데이터 포인트들(N=99)이 선택될 수 있으며, 결과적으로 N+1개의 각각의 데이터 포인트들 (pi,ti),(fi,ti) 그리고/또는 (Ti,ti)의 세트를 야기시킨다. 이러한 값들은, 예를 들어 공정 툴 변수들 그리고/또는 공정 파라미터들의 실제로 측정된 값들, 또는 (각각의 기준 세트포인트들에 대해 정규화된) 실제로 측정된 값들의 비율, 또는 이러한 비율의 대수가 될 수 있다. 다항식 보간은, 예를 들어, Numerical Methods forScientists and Engineers, by R.W.Hamming, Dover Publications, New York, 1986, at pages 230-235에 개시되어 있다. 다항식 PN(x)가 N+1개의 데이터 포인트들(xi,yi)을 통과시키는 요건은 N+1개의 조건들의 세트인 i=1,2, ..., N, N+1에 대한이다. 그러면, 이러한 N+1개의 조건들은 k=0,1, ...N에 대해 N+1개의 상수들(ak)을 완전히 결정한다.
알려지지 않은 상수들(ak)의 행렬식은 반데르몽드 행렬식(Vandermonde determinant):이며, 여기서 i=1,2, ..., N+1이며, k=0,1, ..., N이다. 변수들(xi)의 함수로서 간주되는 이러한 반데르몽드 행렬식(VN+1),은 행렬식을 전개함으로써 볼 수 있는 변수들(xi)의 다항식이며, 그리고 지수들의 총수는 다항식의 차수가임을 나타낸다(예를 들어, 반데르몽드 행렬식(VN+1)의 대각선항은이다).
이제, j=1,2, ...,N에 대해 xN+1=xj이면, 반데르몽드 행렬식 VN+1=0이 되고, 2개의 동일한 로우들을 갖는 어떠한 행렬식이 0이 되기 때문에, 반데르몽드 행렬식VN+1은 j=1,2, ...,N에 대해, N개의 팩터들에 대응하는 팩터들(xN+1-xj)을 가져야 한다. 유사하게, j=1,2, ...,N-1,에 대해 xN=xj이면, 반데르몽드 행렬식 VN+1=0이 되며, 반데르몽드 행렬식 VN+1은 또한 j=1,2, ...,N-1에 대해, N-1개의 팩터들에 대응하는 팩터들(xN-xj)을 가져야 한다. 일반적으로, j<m에 대해 xm=xj이면, -여기서 m=2, ...N,N+1이다- 반데르몽드 행렬식 VN+1=0이 되며, 이에 따라 반데르몽드 행렬식 VN+1은 j<m에 대해 팩터들에 대응하는 모든 팩터들 (xm-xj)을 가져야 하는바, 이때 m=2, ...,N,N_1이다. 요컨대, 이는 차수의 다항식을 나타낸다. 예를 들어 m=N-1이면, j는 N개의 값들, j=1,2, ...,N중 어느 것을 이용하고, 그리고 예를 들어 m=N이면, j는 N개의 값들, j=1,2, ...,N중 어느 것을 이용하며 기타 마찬가지이다(예를 들어, m=3이면, j는 단지 2개의 값들, j=1,2 만을 이용하고, m=2이면, j는 단지 1개의 값, j-1을 이용한다). 이는 모든 팩터들이 고려되며, 남아있는 모든 것들은 반데르몽드 행렬식 VN+1에 대한 2개의 표현들이 달라질 수 있는 어떠한 곱셈 상수를 찾기 위한 것임을 의미한다. 상기 주목한 바와 같이, 반데르몽드 행렬식 VN+1의 대각선항은이며, 이는 팩터들의 곱,의 좌항과 비교되는데, 그 결과는 항등이므로, 곱셈 상수는 1이며, 반데르몽드 행렬식 VN+1가 된다.
반데르몽드 행렬식 VN+1의 인수 분해는 i≠j에 대해 xi≠xi이면, 반데르몽드 행렬식 VN+1은 0이 될 수 없음을 나타내는바, 이는 반데르몽드 행렬식 VN+1은 알려지지 않은 상수들(ak)의 행렬식이기 때문에, 알려지지 않는 상수들(ak)에 대해 언제나 풀 수 있다는 것을 의미한다. 행렬식을 이용하여 알려지지 않은 상수들(ak)을 풀게 되면, 예를 들어 결과를 N차 다항식에 대입하고 적절하게 재조정하게 되면,의 행렬식 방정식을 제공하는데, 이는 다항식 피트의 해이다. 이는 다음과 같이 바로 보여질 수 있다. 상부의 로우의 요소들에 의해 이러한 행렬식을 전개하게 되면, 이는 명확하게는 N차 다항식이다. 상부 로우의 요소들에 의한 이러한 행렬식의 전개에 있어서 첫 번째 로우의 요소(y)의 계수는 다름 아닌 반데르몽드 행렬식 VN+1이다. 다시 말해, 첫 번째 로우의 요소(y)의 여인수(cofactor)는 실제로 반데르몽드 행렬식 VN+1이다. 실제로, 첫 번째 로우의 n번째 요소-여기서 n=2, ..., N+2임-의 여인수는 반데르몽드 행렬식 VN+1과 다항식 전개식인 y=의 상수(an-2)의 곱이다. 또한, x 및 y가 i=1,2, ...,N,N+1에 대해 샘플 값들 xi및 yi중 어는 것을 이용한다면, 행렬식의 2개의 로우들은 같게 될 것이며, 그런 다음 행렬식은 영이 되어야 한다. 따라서, 다항식 y=PN(x)이 N+1개의 데이터 포인트들 (xi,yi)을 통과시켜야하는 요건인, i=1,2, ...,N,N+1에 대한이 만족된다.
예를 들어, 4차 곡선은 샘플 데이터 세트 (-1,a),(0,b) 및 (1,c)를 통과한다는 것이 발견된다. 3개의 방정식들은 P2(-1)=a=a0-a1+a2, P2(0)=b=a0및 P2(1)=c=a0+a1+a2이며, 이는 b=a0이고, c-a=2a1이며, 그리고 c+a-2b=2a2임을 나타내며, 결과적으로이 되는데, 이는 또한 전개 결과이다. 이때, 상수(y)는 각 반데르몽드 행렬식(V3=2)이다.
유사하게, 4차 곡선은 샘플 데이터 세트 (-2,a),(-1,b),(0,c),(1,b) 및(2,a)를 통과한다는 것이 발견된다. 5개의 방정식들은 P4(-2)=a=a0-2a1+4a2-8a3+16a4, P4(-1)=b=a0-a1+a2-a3+a4, P4(0)=c=a0, P4(1)=b=a0+a1+a2+a3+a4및 P4(2)=a=a0+2a1+4a2+8a3+16a4이며, 이는 c=a0이고, 0=a1=a3이며(이는 또한 데이터 세트의 대칭에 기인함), (a-c)-16(b-c)=-12a2이고, 그리고 (a-c)-4(b-c)=12a4임을 의미하며, 결과적으로이 된다.
대안적인 많은 실시예들에서, 샘플들은 M개의 데이터 포인트들 (xi,yi)에 대해 수집될 수 있으며-여기서 i=1,2, ...M이고, 그리고 1차 다항식(직선)인는 (최소 제곱 의미에서) M개의 데이터 포인트들 (xi,yi)에 피트된다. 예를 들어, 공정 단계로부터 나오는 워크피스들의 유효 수율(t)에 대해, 공정 단계 동안, 고온계 트레이스 판독값(p), 램프 파워 트레이스 판독값(f), 그리고/또는 튜브 온도 트레이스 판독값(T)에 관련된 100개의 데이터 포인트들(M=100)이 선택될 수 있으며, 결과적으로 M개의 데이터 포인트들 (pi,ti),(fi,ti) 그리고/또는 (Ti,ti)를 야기시킨다. 이러한 값들은, 예를 들어 공정 툴 변수들 그리고/또는 공정 파라미터들의 실제로 측정된 값들, 또는 (각각의 기준 세트포인트들에 대해 정규화된) 실제로 측정된 값들의 비율, 또는 이러한 비율의 대수가 될 수 있다. 최소 제곱 피팅은, 예를 들어, Numerical Methods for Scientists and Engineers, by R.W.Hamming, Dover Publications, New York, 1986, at pages 427-443에 개시되어있다.
최소 제곱 기준은, 파라미터들 보다 훨씬 더 많은 이용가능한 데이터가 있으며, 이에 따라 (반올림 범위 내에서의) 정확한 매칭은 문제가 되지 않는다. 적절한 기능을 갖는 어떠한 적절한 패밀리 또한 기능을 수행함에도 불구하고, 다항식들은 최소 제곱 매칭에 가장 일반적으로 이용된다. i=1, 2, ..., M에 대해 M번의 측정을 함으로써 어떠한 양(x)이 측정된다고 가정하며, 그리고 측정들(xi)은 i=1, 2, ..., M에 대한 xi=x+εi에 의해 "진짜" 양(x)에 관련된다고 가정하자. 이때, εi는 잡음으로서 간주된다. 최소 제곱 원리는 진짜값(x)의 최상의 추정치(ξ)가 평균(xa)-여기서이며-이 진짜값(x)의 최상의 추정치(ξ)라는 가정에 대한 동치인 그들의 추정치로부터의 데이터 편차의 제곱들의 합을 최소화하는 수임을 나타낸다. 이러한 동치는 다음과 같이 나타낼 수 있다. 먼저, 최소 제곱의 원리는 평균(xa)을 이끌어낸다. 최상의 추정치(ξ)의 함수인에 관련하여, 최상의 추정치(ξ)에 대한 최소화는 미분:에 의해 진행되는바, 이는임을 의미하며, 결과적으로이거나, 또는 바꾸어 말하면, xa=ξ을 선택하게 되면나머지들(εi)의 제곱들의 합을 최소화한다. 또한, 주목할 사항으로서,이면, 최소에 대한 기준이 성립된다.
역으로, 평균(xa)이 최상의 선택인 xa=ξ으로 선택된다면, 실제로 이러한 선택은 나머지들(εi)의 제곱들의 합을 최소화한다는 것을 알 수 있다.이라고 설정하자. 다른 어떠한 값(xb)이 선택되는 경우, 이 값(xb)을 f(x)에 대입하면,가 된다. f(xb)에서 f(xa)를 빼면,이 되며, 이에 따라 f(xb)≥f(xa)됨으로써, xb=xa일 때에만 같다. 다시 말해, 평균(xa)은 실제로 나머지들(εi)의 제곱들의 합을 최소화한다. 따라서, 최소 제곱 원리 및 최상의 추정치로서의 평균의 선택은 등가이다.
이러한 최소 제곱 선택 이외에 다른 선택들이 있다. 또한, 어떠한 양(x)이 i=1,2, ...,M에 대해 M개의 측정값들(xi)을 형성함으로써 측정되며, 이러한 측정값들(xi)은 i=1,2, ...,M에 대해 xi=x+εi의 관계에 의해 "진짜"량에 관련된다고 가정하자. 이때, 나머지들(εi)은 잡음으로서 간주된다. 최소 제곱 선택에 대한 대안은진짜값(x)의 다른 추정치(χ)가, i=1,2, ...M에 대한 M개의 측정값들(xi)의 중앙 또는 중간값(xm)(M이 짝수이면, 평균은 2개의 중간값들임)이 진짜값(x)의 다른 추정치(χ)라는 가정에 대한 동치인 그들의 추정치로부터의 데이터 편차들의 절대값들의 합을 최소화하는 수가 된다는 것이다. i=1,2, ...M에 대한 측정값들(xi)의 홀수의 수 M=2k+1이 있으며, 그리고 나머지(εi)의 절대값들의 합을 최소화하는 진짜값(x)의 추정치(χ)로서 중앙 또는 중간값(xm)을 선택한다고 가정하자. 이러한 값(xm)의 어떠한 상향 시프트는 xm이하의 xi를 갖는 k항를 증가시킬 것이며, 그리고 xm이상의 xi를 갖는 k항를 감소시킬 것이다. 이때, 이러한 각각의 증가 및 감소량은 같다. 그러나, 이러한 값(xm)의 상향 시프트는 또한항을 증가시킬 것이며, 이에 따라 모든 나머지들(εi)의 절대값들의 합을 증가시킨다. 나머지들(εi)의 제곱들의 합을 최소화는 대신, 또 다른 선택은 최대 편차를 최소화하도록 선택하는 것으로서, 이는 최상의 값의 중간 범위의 추정치인를 이끌어낸다.
샘플들이 M개의 데이터 포인트들 (xi,yi)에 대해 수집되고-여기서, i=1, 2, ...M이고-, 1차 다항식(직선)이 M개의 데이터 포인트들(xi,yi)에 (최소 제곱의 의미로) 피트되는 대안적인 많은 실시예들을 다시 보면, 2개의 파라미터들(a0및 a1) 및 다음과 같이 최소화될 필요가 있는 함수 F(a0,a1)가 있다. 이 함수 F(a0,a1)는으로 주어지며, 그리고 a0및 a1에 대한 F(a0,a1)의 부분 도함수들을 0으로 설정하게 되면, 각각을 제공한다. 이를 단순화하고 재정렬하면 각각가 되는바, 해을 쉽게 얻을 수 있게 하는 2개의 알려지지 않는 파라미터들(a0및 a1)에 대한 2개의 방정식들이 있다.
도 20에 도시된 바와 같이, 예를 들어 1차 다항식(직선)은 M=5의 데이터 포인트들 (1,0),(2,2),(3,2),(4,5) 및 (5,4)에 (최소 제곱 의미로) 피트될 수 있다. i=1,2, ...,5에 대한 나머지들(εi)은 도 20에 개략적으로 도시된다. 2개의 파라미터들(a0및 a1)에 대한 방정식들은 각각 5a0+15a1=13 및 15a0+55a1=50이며, 결과적으로 제 1 방정식에 3을 곱한 다음, 제 2 방정식으로부터 이를 뺌으로써, a0를 제거하면, 파라미터(a1)에 대한 해은 a1=11/10이 되는바, 이는 파라미터(a1)에 대한 해이 a1=-7/10됨을 의미한다. 최소 제곱의 의미에서, 최상의 피트를 제공하는 1차 다항식(직선)은 도 20에 도시한 바와 같이,이다.
도 21에 도시된 바와 같이, 예를 들어 1차 다항식(직선)은 M=7의 데이터 포인트들 (-3,4),(-2,4),(-1,2),(0,2),(1,1),(2,0) 및 (3,0)에 대해 (최소 제곱의 의미로) 피트될 수 있다. i=1,2, ...,7에 대한 나머지들(εi)은 도 21에 개략적으로 도시된다. 2개의 파라미터들(a0및 a1)에 대한 방정식들은 각각이며, 각각 7a0=13 및 28a1=-21을 이끌어낸다. 다시 말해, a0=13/7 및 a1=-3/4이며, 이에 따라 최소 제곱의 의미로 최상의 피트를 제공하는 1차 다항식(직선)은 도 21에 도시된 바와 같이이다.
다른 대안적인 많은 실시예들에서, 샘플들은 M개의 데이터 포인트들 (xi,yi)에 대해 수집될 수 있으며-여기서 i=1,2, ...,M이며-, N차 다항식는 M개의 데이터 포인트들 (xi,yi)에 (최소 제곱의 의미로) 피트될 수 있다. 예를 들어, 100개의 데이터포인트들(M=100)이 공정 단계로부터 나오는 워크피스들의 유효 수율(t)에 대해, 공정 단계 동안, 고온계 트레이스 판독값(p), 램프 파워 트레이스 판독값(f) 그리고/또는 튜브 온도 트레이스 판독값(T)과 관련되어 선택되며, 결과적으로 M개의 데이터 포인트들(pi,ti),(fi,ti) 그리고/또는 (Ti,ti)를 야기시킨다. 이러한 값들은, 예를 들어 공정 툴 변수들 그리고/또는 공정 파라미터들의 실제로 측정되는 값들, 또는 (각각의 기준 세트포인트들로 정규화된) 실제로 측정되는 값들의 비율, 또는 이러한 비율의 대수가 될 수 있다. 예시적인 일 실시예에서, 다항식의 N차는 M 보다 적어도 10이 적다.
함수 F(a0,a1,...,aN)는 다음과 같이 최소화된다. 함수 F(a0,a1,...,aN)는로 주어지며, j=0,1, ...,N에 대해, aj에 대한 F(a0,a1,...,aN)의 부분 도함수를 0으로 설정하면, j=0,1, ...,N에 대해을 이끌어내게 되며, (xi)j는 다항식의 aj의 계수가 된다. 상기 식을 단순화하고 재정렬하면, j=0,1, ...,N에 대해이 되며, 여기서이고이다. 정규 방정식들의 행렬식이 0이 아니라는 가정하에, 해을 쉽게 얻을 수 있게 하는, k=0,1, ...N에 대해 N+1개의 알려지지 않은 파라미터들(ak)에 대한 정규 방정식들이라고도 알려져있는, j=0,1, ...,N에 대한 N+1개의 방정식들이 있다. 이는, 동차 방정식들가 k=0,1, ...,N에 대해 단지 자명한 해인 ak=0 만을 가짐을 보임으로써 증명될 수 있으바, 이는 다음과 같이 증명된다. j번째 동차 방정식에 j=0 내지 j=N의 모든 j에 대한 aj의 합을 곱하면,이 되는데, 이는 PN(xi)≡0이 되며, 이에 따라 k=0,1, ...,N에 대해 자명한 해인 ak=0이 된다. 따라서, 정규 방정식들의 행렬식은 0이 아니며, 정규 방정식들은 M개의 데이터 포인트들 (xi,yi)에 피트되는 N차의 최소 제곱 다항식의 계수인, k=0,1, ...,N에 대한 N+1개의 파라미터들(ak)에 대해 풀려질 수 있다.
최소 제곱 다항식의 N차가 매우 클 때에는, M개의 데이터 포인트들 (xi,yi)에 피트될 수 있는 N차의 최소 제곱 다항식을 구하는 것은 쉽지가 않다. 예를 들어 최소 제곱 다항식의 N차가 약 10 보다 훨씬 클 때에는, k=0,1, ...,N에 대한 N+1개의 알려지지 않은 파라미터들(ak)에 대해, j=0,1, ...,N에 대한 N+1개의정규 방정식들을 푸는 것은 쉽지가 않다. 이는 다음과 같이 증명될 수 있다. M개의 데이터 포인트들 (xi,yi)이 0≤x≤1의 범위에서 다소 균일하게 분배되며, 이에 따라라고 가정하자. 그러면, 정규 방정식에 대한 결과적인 행렬식은 대체로 j,k=0,1, ...N에 대해으로 주어지며, 여기서 j,k=0,1, ...N-1에 대한 HN은 매우 빨리 0에 가까워지는의 값을 갖는 N차의 힐버트(Hilbert) 행렬식이다. 예를 들어,이고,이며, 여기서이다. 이는 정규 방정식들의 시스템이 질이 나쁘며, 이에 따라 N차의 최소 제곱 다항식이 매우 클 때에는 풀이가 어렵다는 것을 암시한다. 직교 다항식들의 세트들이 더 우수하게 동작하는 경향이 있다.
도 22에 도시된 바와 같이, 예를 들어 2차 다항식(2차 방정식)이 M=7의 데이터 포인트들 (-3,4),(-2,2),(-1,3),(0,0),(1,-1),(2,-2) 및 (3,-5)에 (최소 제곱 의미로) 피트될 수 있다. i=1,2, ...,7에 대한 나머지들(εi)은 도 22에 개략적으로 도시된다. 3개의 방정식들은 j=0,1,2에 대한이며, 여기서 3개의 파라미터들(a0, a1및 a2)에 대해이고이다. 이는,이 되게 하는바, 여기서이고이며, 따라서 정규 방정식들은 각각이 된다. 이는 (제 1 방정식에 7을 곱한 다음, 제 3 방정식에서 이를 빼면) -14=-21a0이고 (제 2 정규 방정식으로부터) 28a1=-39가 되고, 그리고 (제 1 정규 방정식에 4를 곱한 다음, 제 3 방정식에서 이를 빼면) -11=84a2가 되어, 각각 3a0=2, 28a1=-39 및 84a2=-11이 됨을 의미한다. 다시 말해, a0=2/3, a1=-39/28 및 a2=-11/84이며, 이에 따라 최소 제곱 의미로 최상의피트를 제공하는 2차 다항식(2차 방정식)은 도 22에 도시된 바와 같이이다.
도 23에 도시된 바와 같이, 예를 들어 2차 다항식(2차 방정식)이 M=6의 데이터 포인트들 (0,4),(1,7),(2,10),(3,13),(4,16) 및 (5,19)에 (최소 제곱 의미로) 피트될 수 있다. i=1,2, ...,6에 대한 나머지들(εi)은 도 23에 개략적으로 도시된다. 3개의 방정식들은 j=0,1,2에 대한이며, 여기서 3개의 파라미터들(a0, a1및 a2)에 대해이고이다. 이는,이 되게 하는바, 여기서이고이며, 따라서 정규 방정식들은 각각가 된다. 이는 (제 2 방정식에 4을 곱한 다음,제 1 방정식에 10을 곱한 것에서 이를 빼면) -210=-70a1-350a2이며, 그리고 (제 2 정규 방정식에 11을 곱한 다음, 제 3 방정식에 3을 곱한 것에서 이를 빼면) 210=70a1+66a2가 된다. 그러나, 마지막 2개의 결과들을 함께 더하면, 0=a2가 된다. 또한, 3=a1이다. 따라서, 0=a2이고 3=a1이라는 사실을 이용하면, 정규 방정식들은 각각가 되며, 이들은 모두 4=a0임을 나타낸다. 다시 말해, a0=4, a1=3 및 a2=0이며, 이에 따라 최소 제곱의 의미로 최상의 피트를 제공하는 2차 다항식(2차 방정식)은 도 23에 도시된 바와 같이 정말로 꼭 직선인이다. i=1,2, ...6에 대한 나머지들(εi)은 도 23에 개략적으로 도시한 바와 같이, 이러한 경우 모두 동일하게 0이 된다.
다른 대안적인 많은 실시예들에서, 샘플들은 M개의 데이터 포인트들 (xi,yi)에 대해 수집되며-여기서, i=1,2, ...,M임-, 그리고 j=0, 1, 2, ...N에 대한 N+1개의 함수들 fj(x)의 선형으로 독립적인 세트인,가 M개의 데이터 포인트들 (xi,yi)에 (비다항적인 최소 제곱 의미로) 피트될 수 있다. 예를 들어, 100개의 데이터 포인트들(M=100)이 공정 단계로부터 나오는 워크피스들의 유효 수율(t)에 대해, 공정 단계 동안, 고온계 트레이스 판독값(p), 램프 파워 트레이스 판독값(f) 그리고/또는 튜브 온도 트레이스 판독값(T)과 관련되어 선택되며, 결과적으로 M개의 데이터 포인트들(pi,ti),(fi,ti) 그리고/또는 (Ti,ti)를 야기시킨다. 이러한 값들은, 예를 들어 공정 툴 변수들 그리고/또는 공정 파라미터들의 실제로 측정되는 값들, 또는 (각각의 기준 세트포인트들로 정규화된) 실제로 측정되는 값들의 비율, 또는 이러한 비율의 대수가 될 수 있다. 예시적인 일 실시예에서, 기본 함수 fj(x)의 선형으로 독립적인 세트의 수 N+1은 M 보다 10이 적다.
함수 F(a0,a1,...,aN)는 다음과 같이 최소화된다. 함수 F(a0,a1,...,aN)는로 주어지며, j=0,1, ...,N에 대해, aj에 대한 F(a0,a1,...,aN)의 부분 도함수를 0으로 설정하면, j=0,1, ...,N에 대해을 이끌어내게 되며, fj(xi)는 표현의 aj의 계수가 된다. 상기 식을 단순화하면, j=0,1, ...,N에 대해이 되며, 여기서 각각이고이다. 정규 방정식들의 행렬식이 0이 아니라는 가정하에, 해을 쉽게 얻을 수 있게 하는, k=0,1, ...N에 대해N+1개의 알려지지 않은 파라미터들(ak)에 대한 정규 방정식들이라고도 알려져있는, j=0,1, ...,N에 대한 N+1개의 방정식들이 있다. 이는, 동차 방정식들가 k=0,1, ...,N에 대해 단지 자명한 해 ak=0 만을 가짐을 보임으로써 증명될 수 있는바, 이는 다음과 같이 증명된다. j번째 동차 방정식에 모든 j에 대한 aj의 합을 곱하면,이 되지만,이며, 이는 y(xi)≡0이고, 이에 따라 k=0,1, ...,N에 대해 ak=0의 자명한 해를 나타낸다. 따라서, 정규 방정식들의 행렬식은 0이 아니며, 정규 방정식들은 비다항적인 최소 제곱 표현의 기초로서 N+1개의 함수들 fj(x)의 선형으로 독립적인 세트를 이용하여, M개의 데이터 포인트들 (xi,yi)에 피트되는 비다항적인 최소 제곱 표현의 상수들인, k=0, 1, ...,N에 대한 N+1개의 파라미터들(ak)에 대해 풀려질 수 있다.
데이터 포인트들 (xi,yi)이 모든 M에 대해 동등하게 확실하다면, 비음의(non-negative) 가중 팩터들(wi)을 이용하여 데이터를 가중시키는 것이 바람직하다. 함수 F(a0,a1,...,aN)는 다음과 같이 최소화된다. 함수 F(a0,a1,...,aN)는로 주어지며, j=0,1, ...,N에 대해, aj에 대한 F(a0,a1,...,aN)의 부분 도함수를 0으로 설정하면, j=0,1, ...,N에 대해을 이끌어내게 되며, fj(xi)는 표현의 aj의 계수가 된다. 상기 식을 단순화하면, j=0,1, ...,N에 대해또는이 되며, 여기서 각각이다. 정규 방정식들의 행렬식이 0이 아니라는 가정하에, 해를 쉽게 얻을 수 있게 하는, k=0,1, ...N에 대해 N+1개의 알려지지 않은 파라미터들(ak)에 대한 비음의 가중 팩터들(wi)을 포함하는, 정규 방정식들이라고도 알려져있는, j=0,1, ...,N에 대한 N+1개의 방정식들이 있다. 이는, 동차 방정식들가 k=0,1, ...,N에 대해 단지 자명한 해 ak=0 만을 가짐을 보임으로써 증명될 수 있으며, 이는 다음과 같이 설명된다. j번째 동차 방정식에 모든 j에 대한 aj의 합을 곱하면,이지만,이며, 이는 y(xi)≡0이고, 이에 따라 k=0,1, ...,N에 대해 ak=0의 자명한 해를 나타낸다. 따라서, 정규 방정식들의 행렬식은 0이 아니며, 비음의 가중 팩터들(wi)을 포함하는 정규 방정식들은 비다항적인 최소 제곱 표현의 기초로서 N+1개의 함수들 fj(x)의 선형으로 독립적인 세트를 이용하여, 그리고 비음의 가중 팩터들(wi)을 포함하는, M개의 데이터 포인트들 (xi,yi)에 피트되는 비다항적인 최소 제곱 표현의 상수들인, k=0, 1, ...,N에 대한 N+1개의 파라미터들(ak)에 대해 풀려질 수 있다.
본 발명의 예시적인 많은 실시예에 따르면, 적응성 제어 방법에서, 온라인 시스템 확인 방식이 제어기와 함께 가동되며, 모델이 시스템의 진짜 작동을 모방하도록 모델을 끊임없이 조정한다. 이러한 상황에서 하나의 어려운 작업은 출력에서 관찰된 에러들이 툴 차이에 의한 에러에 기인하는 것이지, 아니면 제품 차이에 의한 에러에 기인하는 것인지를 결정하는 것이다. 하기의 설명은 어떤 파라미터들에 에러가 있는 지를 결정하고, 정확한 모델 갱신을 수행하는 방식을 개괄적으로 설명한다.
우리는 단일 공정에 대한 단순한 런투런 제어기로 시작하여, 다수의 제품들및 툴들의 경우로 확장한다. 선형 공정 모델들에 대한 표준 가관측성(observability) 테스트들이 예시적으로 이용된다.
예로서, 각 런에서 바람직한 제거를 수행하고자 하는 목적을 갖는 단순한 식각 또는 연마 공정을 고려하자. 이러한 공정을 위해 단순화된 모델은이며, 여기서는 제거 두께이고,은 시간 평균 속도이며, 그리고은 공정 시간이다.
적응성 제어의 공식화에 있어서, 속도의 추정치는 온라인 시스템 확인을 이용하여 런 단위로 조정된다. 분석의 단순성 및 용이를 위하여, 본원에서 상기 모델은 선형화되며 상태 공간 표현으로 변환된다. 상기 모델이 공칭 속도(r0) 및 시간(t0)에 대해 선형화된다면, 공칭 제거(y0)로부터의 편차(y)에 대한 방정식은 다음과 같다:
여기서, t 및 r은 각각 공칭 시간 및 속도로부터의 편차를 나타낸다. 이후, 모델은 상태 공간 표현으로 변환된다:
여기서x는 상태 벡터이고, y는 측정된 출력의 벡터이며, u는 입력 벡터이다.AB행렬은 상태들 및 입력들이 그 상태들의 미래값에 얼마나 영향을 주는 지를 의미한다.C행렬은 상기 상태들의 현재값들을 실제 측정되는 출력들에 매핑된다. 현재의 예에서,
이다.
상태 벡터x는 시간 조정에 의해 야기된 이동의 변화량 xadj와, 명목 속도 r로부터의 편차인 r를 포함한다. 측정 벡터y는 명목 이동으로부터의 편차인 y만을 포함하고, 입력 벡터u는 명목 시간 t0로부터의 편차인 t만을 포함한다.
이 모델은 단일 과정의 제어에 적절하다. 단일 속도 측정은 모든 런에 적용되는 것으로 가정하며, 각 측정 후에 조정된다. 그렇지만, 대규모 제조 환경에서는, 다수의 툴 및 곱이 존재하기 때문에 더 복잡해진다. 이 작업에서, 각각의 곱 및 툴 조합을환경(context)라 부른다. 제어 목적은 어느 곱 및 툴 조합이 실행되는지 간에, 목표에서 각 런을 갖도록 하는 것이다. 간단한 방식은 상태들에 대한 하나의 세트를 모든 공정 환경에 적용하는 것을 가정하는 것이다. 몇 개의 환경을 갖춘 환경에 있어서 이 방법의 단점은 각각의 과정와 관련된 속도들이 서로 현저하게 다를 수 있다는 것이다. 이러한 상황이 발생하면, 도 24에 도시된 바와 같이, 속도가 훨씬 많이 변화되는지에 대해 제어기가 이해를 못함으로써, 새로운 텍스트에 대한 각각의 스위치는 상기 제어기에 대한 단계 혼란으로 나타난다.
예를 들어, 많은 응용에서, 서로 다른 곱들이 매우 서로 다른 외견상의 반응 속도들을 가지게 되는 것이 즉시 관측된다. 그렇지만, 단지 하나의 곱만이 형성될지라도 속도는 배치마다 다를 수 있다. 이것은 리액터 파울링(reactor fouling),소모용 재료들의 저하, 과정 누설 등에 의해 야기될 수 있다. 서로 다른 곱에 대한 각각의 스위치가 후술되는 바와 같이 단계 변화로서 나타나기 때문에, 런마다 r에 대한 추정치를 단순히 추적하는 것은 받아들여지지 않는다. 도 24에 도시된 바와 같이, 제 2 곱이 배치 6에서 배치 15로 진행되었고, 리액터 파울링은 시뮬레이션 과정 동안 계속해서 속도를 저하시켰다.
구현하기 쉬운 다른 예시적인 방법은, 런들이 파라미터 추정치들을 공유하도록 유사한 환경을 함께 갖는 런들을 그룹화하는 것이다. 그러한 방법에서는, 곱 및 툴 바이어스들을 서로 개별적으로 식별할 필요가 없다. 각각의 조합은 단순히 그 자신의 속도 추정치를 가지며 그 추정치를 단지 그 환경 하에서의 런들에서 나온 측정치들에 기초해서 갱신한다. 그렇지만, 이 방법은 하나의 툴에 대한 혼란을, 예를 들어 그 툴을 사용하는 모든 환경이 인식해야한다는 단점을 갖는다. 이것은, 서로 다른 환경들이 자신들의 파라미터 추정치들을 갱신하는 동안 자신들의 목표들을 분실할 많은 수의 런들로 인해 대규모 시스템에서는 불리할 수 있다. 그 정보는 즉시 또는 신속하게 그 혼란의 영향을 받는 모든 환경들에게 공유되어야만 한다.
바이어스들이 공정 환경의 서로 다른 부분들에 의해 야기되는 것으로 결정될 수 있는 경우들이 존재한다. 일례로는, 툴 대 툴 변환이 실행중인 곱과 관계없이 반복될 수 있고 곱 대 곱 변환이 서로 다른 툴들로 실행될 때조차도 일관성이 있다는 것을 들 수 있다. 이 관측의 이점을 얻기 위해서는, 모델에 추가의 항목들을 더할 필요가 있다. CMP 과정에 있어서는, 서로 다른 곱들에 대해 속도를 축척한다. 이것은 주로, 이동 속도가 대조적으로 표면의 특징들에 의존하고 서로 다른 곱들은서로 다른 패턴 밀도들을 가질 것이기 때문이다. 그래서, 상기 이동의 경우 여기서 사용된 등식은이며, 여기서는 이동을 나타내고,은 툴에 대한 시간-평균 속도 상수이고,는 곱-특성 속도 축척이고,는 과정의 시간이다. 이 관계는 다음의 연마 과정을 설명하는 프레스톤의 등식(Preston's equation)과 유사하며,
이다.
여기서 Δx는 이동량이고, Δt는 과정의 시간이고, Kp는 표면 속도이고, F는 가해진 힘이며, A는 접촉하고 있는 표면 영역이다.
명목 r0, f0및 t0에 관해 선형화할 때, 명목 이동으로부터의 편차 y에 대한 등식은 다음과 같이 되며,
여기서 t, r 및 f는 명목 시간, 툴 속도 상수 및 곱 축척 팩터(product scaling factor)를 각각 나타낸다. 다음의 상태 공간 표현은 상태들에 따른 2개의 모델 파라미터들에 대한 추정치들을 포함한다.
그래서, 제기될 수 있는 문제는 2개의 모델 파라미터 r 및 f만이 유일하게 식별될 수 있는지이다. 여기서 상기 시스템과 같은 시불변 선형 시스템의 경우, 관측가능 그라미언(Gramian)의 비특이성(nonsingularity)에 대한 테스트는 다음의 랭크를 계산함으로써 수행될 수 있다.
여기서 가능하다면, 풀 랭크(full rank)를 달성하려는 필요에 따라 행렬의 많은 항목들이 포함된다. 위의 시스템의 경우,
이다.
이 행렬은 풀 랭크가 아니며, 그래서 그대로 현재 정의된 상기 시스템은 관측될 수 없다. 그래서, 단일의 환경로부터의 런 데이터만을 사용해서 상기 모델 파라미터들을 유일하게 식별할 수 없다.
이 결과는 직관적인데, 곱 대 곱 및 툴 대 툴 의존성을 식별할 수 있도록 하기 위한 경우, 모든 서로 다른 공정 환경들을 포함하는 모델을 갖는 것이 유용하다. 이것은 개별적인 환경들을 한번에 하나로 집중하기보다는, 과정들의 전체 집합을 전체로서 보는 것을 수반할 수 있다.
2개의 툴들(1 및 2)과 3개의 곱들(A, B 및C)이 존재하는 가설의 과정을 고려하자. 선형화된 상기 형태를 사용하고 모든 조합에 대해 단일의 "명목" 포인트가 존재하는 것으로 가정하여, 각각의 환경에 대한 명목 이동으로부터의 편차들은 다음의 등식들로 설명될 수 있다.
이 전체적인 시스템은 단일의 상태 공간 모델로 조합될 수 있으며,
여기서 상기 상태들은 조정(xadj), 툴 바이어스들(r1및 r2), 및 곱 바이어스들(fA, fB및 fC)로 구성된다. 이 모델은 모든 곱/툴 조합들이 동일한 입력 설정들로 동시에 실행되는 가설적인 상황으로 이루어진다. 이러한 상황은 실제로는 거의 발생하지는 않지만, 서로 다른 환경들간의 인터랙션을 이해하는 관점에서는 유용하다. 예를 들어, 단일의 fA곱 입자가 공정 툴과는 관계없이, 곱 A의 모든 런들에 유용하다는 것은 명백하다.
여기서 계산되는 바와 같은 관측가능성 테스트
는 1이 부족한 랭크이며, 그래서 상기 시스템을 그 현재의 형태로는 관측할 수 없다. 여기서, 등식(7)의 행렬에서 첫 번째 2개의 항목들만으로도 충분하다. 그 이유는 모든 런들이 곱 바이어스와 툴 바이어스 모두에 의해 복잡하게 되기 때문이다. 하나의 변수 또는 다른 변수를 정하기 위해서는 추가의 제약이 필요하다. 일례로서, 상기 툴들을 한정함으로써 툴 파라미터들을 실험적으로 측정할 수 있다. 이것은 추가의 시스템 출력들 y1=r1및 y2=r2를 추가할 것이다.
그러한 실험치들이 선택사항이 아니라면, 명목 바이어스를 갖는 기준 툴이나 곱을 단순하게 선택하는 것도 가능하다. 이 방법의 한가지 단점은 지속적으로 변화하는 제조 환경에서 기준 툴이나 곱을 식별하는 것이 어렵게 될 수 있다는 것이다.
한정 실험치들이 위의 예시적인 시스템에 추가되면, 조합된 시스템에 대한 새로운 출력 등식은 다음과 같다.
이 새로운 시스템에 대한 관측가능 행렬
는 풀 랭크이며, 그래서 이 시스템은 관측가능하다. 여기서 다시, 등식(7)의 행렬에서 단지 첫 번째 2개의 항목들만으로도 충분하다. 그렇지만, 여기서 상기 시스템은 여전히 모든 가능한 런들이 동시에 발생할 수 있는 드문 경우이다. 실제로, 하나의 런은 한 번에 발생한다. 각각의 런 후에 모델 상태들의 갱신을 적절한 방식으로 결정할 수 있다.
구조적으로, 이 시스템은 서로 다른 측정치들이 서로 다른 주파수들에서 샘플링되는 실제 시스템과 유사하다. 이 다중 속도 샘플링 문제는 최근의 문헌에서 취급되어 있다. 그러한 시스템을 이용하면, 서로 다른 측정치들의 조합이 사용가능해짐에 따라 관측가능성이 시간에 따라 변화한다. 그렇지만, 근원적인 잠재적 요구는, 모든 가능성 있는 측정들이 시간 단계마다 생성되는 제한적인 경우에 상기 시스템이 관측될 수 있어야 한다는 것이다.
이 점에서, 실제의 시스템과 유사한 역학을 갖는 가설적인 시스템을 위한 모델을 이용할 수 있다. 다음 단계는 실제의 과정을 모델 공간에 맵핑할 수 있는 제어 법칙 및 관측기를 정의하는 것이다.
제어 목적은 공정 환경에 관계없이 각각의 런을 목표로 이끄는 것이다. 이것은 현재의 모델 상태들의 최적의 추측을 이용하는 데드-비트 제어 법칙(dead-beat control law)을 이용함으로써 여기서 달성된다. 특별한 공정 환경은 출력 행렬 C 내의 단일의 행으로 나타내어진다. 예를 들어, 툴(2)에서 실행되는 곱 B에 대한 명목 이동으로부터의 편차는 등식(9e)으로 주어지며, 이 등식은 출력 행렬의 5번째 행에 대응한다. 표시의 용이성을 위해, 현재의 환경에 대응하는 출력 행렬의 행을C con으로 표시할 것이다. 그러면, 원하는 입력u des는 다음을 만족하며,
여기서y con,des가 이 공정 환경에 대한 명목 이동으로부터의 원하는 편차이다. 입력u des를 풀면 다음과 같이 생성된다.
이 등식은 임의의 공정 환경에 입력을 제공하여, 모델 파라미터들의 현재의 추정치들을 제공한다.
관측기는 이 실제의 과정의 측정치들을 모델에 맵핑함으로써 상태 갱신이 이루어질 수 있도록 한다. 관측기에 대한 설계는 제어 법칙 만큼 간단하지는 않다. 그 이유는, 일반적으로 과정 런에서 생기는 단일의 측정이 툴 바이어스와 곱 바이어스 모두에 의해 복잡하게 되기 때문이다. 예측 에러가 파라미터 갱신들 사이에 분배되어야 한다. 각각의 측정으로부터의 새로운 정보는 현재의 정보와 통합되어야 한다.
이를 달성하는 한 가지 방법은 출력 벡터 y의 서로 다른 요소들을 새로운 측정에 의해 최종값들이 변화될 때까지 그 최종값들에 고정되어 있는 개별적인 센서들로 가정하는 것이다. 이 방식의 이점은 종래의 상태 관측기를 전체적인 시스템을 고려하여 설계할 수 있다는 것이다. 관측기 이득 행렬 L은 다음과 같이 되도록 선택될 수 있다.
관측기 행렬은 측정되고 예측된 출력들간의 차이들을 상태 추정치들에 대한 변화에 맵핑한다. 그렇지만, 최종값들에 고정된 과거의 출력들을 방치한 상태에서는 중요한 단점이 존재한다. 입력이 변화하면, 출력들이 더 이상 과정의 현재 상태를 나타내지 못하기 때문에 이 출력들은 실제로 더 이상 가치가 없어진다. 측정치들이 통용되고 있었다면, 그 측정치들은 새로운 입력에 응답해서 변하게 될 것이다.
비활성 출력 상수가 방치되는 스펙트럼의 반대측 끝에서, 시간 단계에서 측정되지 않는 모든 출력들은 현재의 상태 추정치들로부터 예측되는 값들에 설정될 수 있다. 이 방법에 의해 모든 이전의 측정치들은 상태 갱신이 수행될 때 무시된다.
플랜트-와이드 모델의 개념을 설명하기 위해 MatlabTM시뮬레이션 시리즈를 실행하였다. 제1 시뮬레이션 시리즈를 위의 예에서 열거된 조건들 하에서 모두 실행하였다. 이 예에서는, 2개의 공정 툴과 3개의 곱이 존재하였다. 툴 한정 이벤트들이 툴-지정 모델 파라미터들을 직접 측정하는데 사용가능하였다. 상태 추정기들의 개시점은 모든 툴들과 곱들이 명목 값들에서 매칭되는 것으로 가정되었던 개시점이었다. 제어 목적은 모든 서로 다른 툴 및 곱 조합들이 명목 이동 y0에서 실행되도록 유지하는 것이었다.
이러한 테스트들에 관해 유의해야 할 한가지 중요한 점은, 제어기가 시스템에 관해 알지 못하면서 모든 곱들과 툴들을 즉시 식별해야만 하는 개시점에서 상기 테스트들이 시작한다는 점이다. 제어기가 이것을 할 수 있어야 한다는 것은 중요하지만, 정상 고정-상태 동작에서는 툴 및 곱 바이어스들이 명확하고 정확하게 알게 될 것이고, 과정의 서브셋에서만 혼란이 일어날 것이다. 이러한 이유 때문에, 이들 테스트들의 대부분은, 제어기가 과정을 안정화시킨 후 시스템에 혼란시키는 것을 주입하여 제어기가 격리된 혼란에 어떻게 반응하는지를 알아본다.
제 1 시뮬레이션에서는 가장 가능성 있는 시나리오를 예시하여 기준선을 설정한다. 이것은 위에서 언급한 가설의 경우로서, 모든 가능성 있는 런들을 시간 단계마다 동시에 실시하여 모든 측정치들을 상태 추정치를 갱신하는데 사용할 수 있다. 이 시뮬레이션 동안 과정 출력에 백색 잡음을 부가하였고, 런(30)(시간 단계(30)로도 알려져 있음)에서 툴(2)에 단계 혼란을 부가하였다. 도 25는 테스트의 결과들을 포함한다. 도 25는 이 가설의 최적의 경우의 시나리오에서 목표로부터의 편차를 백분율로 개략 도시한다. 이 가설의 경우에, 제어기는 목표에 신속히 반응할 수 있고 혼란을 배제할 수 있다.
이 테스트로부터의 가장 중요한 결과는 제어기가 최대량의 가용 정보를 갖는 경우에 시스템이 전체적으로 잘 수행한다는 것이다. 모든 다른 테스트들은 제어기만이 그 정보의 서브세트를 가지는 보다 실제적인 상황들을 취급할 것이다. 후속의 런들은, 제어기가 줄어든 정보 세트로 기능할 수 있는지를 결정할 때 중요한 몇몇 팩터들을 예시하려 할 것이다.
테스트된 다음의 상황은 런들은 한 번에 하나씩만 발생하고 출력들은 갱신될 때까지 자신들의 최종값을 유지하는 상황이다. 테스트의 목적을 위해, 각각의 런 상에서, 임의의 곱을 임의의 툴로 실행하였거나 한정 이벤트를 로그하였다. 모든 가능한 8개의 시나리오(6개의 곱 환경 및 2개의 한정 이벤트)는 각각의 런에서 발생하는 동등한 확률을 가진다. 상술한 바와 같이, 이 상황은, 유용한 정보를 제공하기 위해 입력이 변할 때 출력들이 변해야 하기 때문에 문제를 야기하였다. 이 테스트에서, 입력은 모든 런 상에서 변하지만 하나의 출력만이 매번 갱신된다. 그래서, 피드백을 위해 사용된 출력들은 과정의 참 상태를 나타내지 않는다. 도 26은 이 실험의 결과들을 도시한다. 도 26은 이 "고정된 출력들" 경우에서 목표로부터의 편차를 백분율로 개략 도시한다.
이 구성으로는 과정을 매우 잘 제어할 수 없었다. 출력 벡터에 포함된 스테일 정보(stale information)는, 측정들이 입력의 변화들에 대한 응답을 나타내지 않기 때문에 상태 관측기에 악영향을 미친다. 이로 인해 제어기는 그것들을 반복적으로 보상해야 하고 결국 불안정을 야기한다.
테스트된 다음의 시뮬레이션 경우는 상태들에 대한 현재의 모델 추정치들을사용하여 분실된 측정치들에 대한 값들을 추정하였다. 결과적으로, 각각의 상태 갱신만이 분실되었던 런으로부터의 정보를 포함하였다. 테스트 조건들은 이전의 시뮬레이션과 유사하고, 런들은 임의로 선택하였다. 그렇지만, 툴(2)에서의 속도에 대한 단계 혼란은 런 또는 시간 단계(80)에 주입되었다. 이 테스트의 결과들이 도 27로부터 알 수 있다. 도 27은 이 "예측된 출력들" 경우에서 목표로부터의 편차를 백분율로 개략 도시한다. 이 방식은 목표에 도달하고 혼란을 성공적으로 배제한다. 그렇지만, 과정에 관한 모든 정보가 측정되는 경우에 비해 매우 완만하다.
도 28에 묘사된 테스트는 이전의 것과 동일한 제어기이지만, 상이한 규칙들의 세트가 적용되어 런의 시퀀스를 발생한다. 도 28은 추가의 제한을 갖는 이 "예측된 출력들"에서 목표로부터의 편차를 백분율로 개략 도시한다. 툴들은 이전의 경우에서와 같이 종종 두 번 제한되어 제어기에 미치는 영향을 결정하였다. 이 테스트에서, 시스템은 이전의 경우보다 신속하게 평형 유지하였고 보다 용이하게 혼란을 배제하였다.
시스템의 확장성(scalability)을 테스트하기 위해, 최종 테스트에서 6개의 툴과 7개의 곱을 사용하였다. 도 29는 이 대규모 시스템의 경우에서 목표로부터의 편차를 백분율로 개략 도시한다. 시스템은 제어 하에 시작하였고 다수의 혼란을 취급해야만 하였다. 단계 혼란은 런 또는 시간 단계(50)에서 툴(1)에 추가되었고, 곱(6)은 런 또는 시간 단계(150)에서 단계 혼란을 가졌다. 도 29의 결과들은 제어기가 매우 짧은 실행들의 개수에서 혼란을 성공적으로 배제하는 것을 예시하고 있다.
이것은 전체 시스템을 고려하는 모델의 멱(power)을 예시하기 때문에 중요하다. 예를 들어, 툴(1) 상에서의 바이어스는 곱 A가 그 툴 상에서 실행되는 동안 검출될 수 있었다. 툴(1) 상에서의 임의의 곱의 다음의 런은 (범위는 줄어들지만) 여전히 바이어스를 보일 것이고, r1에 대한 추정치는 새로운 정정된 값에 훨씬 가깝게 되도록 조정될 것이다. 임의의 툴에 대한 곱 A의 다음의 런은 fA에 대한 추정치를 상기 정정된 값의 방향과는 반대로 이동할 것이다.
이 구성에서, 각각의 상태 추정치 갱신은 현재의 런의 측정치들로부터의 정보를 사용할 뿐이다. 모든 이전의 정보는 무시되기 때문에, 관측기가 분명하게 댐핑되는 것은 중요하다. 한정적인 예는 유익하다. 툴(1) 상에서의 곱 A의 런이 예측된 것보다 높았다는 이동을 갖는다면, r1및 fA에 대한 추정치들 중 하나 또는 모두는 너무 낮다. 상태들을 정확하게 갱신하기 위해, 서로 다른 툴들 사의 곱 A의 다른 런들 및 툴(1) 상의 다른 곱들의 런들의 결과들을 관찰할 필요가 있다. 그렇지만, 선택된 갱신 전략만이 현재의 런의 결과들에 기초해서 갱신을 허용할 수 있다. 관측기만이 각각의 런으로부터 작게 변화시키게 함으로써, 상태들은 적어도 정정된 방향들로 이동할 것이고, 모든 런들은 함께 상태 추정치들을 상기 정정된 값들로 이동시킬 것이다. 분명하게도, 이 문제에 대한 이상적인 해결책은 이전의 측정치들로부터의 가능한 많은 정보를 상기 상태 추정을 행할 때의 새로운 값들과 조합하도록 하는 것이다.
대규모 반도체 제조에서 공통적으로 보여지는 다수의 공정 환경들은 주목되는 제어에 도전한다. 제어 및 추정 방식은, 개개의 환경들에만 한번에 하나씩 초점을 맞추는 대신에 제어기가 전체로서 보는 전체적인 공정 환경을 시험하기 위해 개발되었다. 이러한 조건들 하에서의 시뮬레이션 런은 그 개념이 장점을 갖는다는 것을 보인다. 충분한 정보가 주어지면, 플랜트 와이드 제어기는 모든 서로 다른 과정들로 이루어지는 전체적인 시스템을 취급할 수 있다.
몇몇 팩터들은 제어기의 성능에 영향을 주었다. 대부분의 정보에 의존하여 과정에 관해 획득할 수 있다. 측정되지 않은 시스템 출력들에 대해 각각의 런 상에서 무엇을 해야하는지에 관한 결정은 성능에 강한 영향을 미친다. 또한, 제어 응답은 데이터가 곱 런들과 혼합된 제한 이벤트들로부터 얻어질 수 있을 때 개선된다. 이것들은 중요한 모델 파라미터들에 대한 직접 측정들을 제공한다.
이 시스템의 한 가지 주목되는 특징은 툴들이나 곱들이 가감될 때마다 모델은 재구성되어야만 한다는 것이다. 이것은 그 모델이 전체적인 시스템을 즉시 설명해야 하기 때문이다. 이것은 단점으로 나타나지는 않을지라도, 실제로, 직관적이지 않은 공정에 통찰력을 제공한다. 관측기는 시스템이 변할 때마다 그 전체적인 시스템에 기초해서 재구성되어야 하기 때문에, 피드백의 속성은 툴과 곱 분배에 의존된다. 이것은 하나의 공정 환경 상에서 검출된 에러는 그 전체적인 시스템 내의 다른 환경에 의존해서 상이하게 다루어져야만 한다는 것을 의미한다.
시스템이 전체적으로 관찰될 때, 대단히 많은 양의 정보가 시스템의 서로 다른 부분들 사이에 공유되어 있음이 분명하다. 제어기의 성능은 추출될 수 있는 정보의 품질에 얽매이기 때문에, 공정의 순서 및 샘플링 플랜의 영향들을 세심하게시험하는 것이 이로울 것이다. 충분히 진보된 제어기는 시스템의 상태에 관해 제공하는 정보에 기초해서 소정의 런들과 측정들을 우선순위를 정할 수 있을 것이다. 이것은 이벤트로 구동되는 모델에 기반을 둔 제어(event-driven model-based control)의 개념과 밀접하게 관련되어 있다. 상기 과정을 연속체(continuum)로서 관찰하는 대신에, 모델 파라미터 추정치들을 포함하는 과정의 상태는 이산 모델 이벤트(discrete modeled events)의 시리즈에 의해 영향받는다.
다양한 예시적인 실시예들에서, 곱과는 독립적인 툴 상태(x)를 위해 모델을 개발될 수 있다. 이 툴 상태는 그 툴의 고유의 속도이다. 이 속도의 변화는 그 툴 상의 실행되는 모든 곱들에 영향을 준다.
xk+1= Akxk+ Bkuk
과정 상태(x)는 다음의 출력 등식을 사용해서 곱 상태(y)에 맵핑된다.
yk= Ckxk+ Dk
그런 다음, 곱 상태(y)가 아닌, 툴 상태(x)를 추적하기 위해 사용된다. 칼만 최적 필터링 등식(Kalman optimal filtering equations)의 검사는 최적 관측기 이득이 출력 맵핑(C)의 함수임을 나타낸다.
따라서, 오프라인 분석을 사용함으로써, 반복가능 곱 의존성은 양이 제한되어 속도 r에 대한 새로운 모델에 도달할 수 있으며, 여기서 r0은 공정 툴의 "고유속도"이고, kp는 곱-지정 정정 팩터이다.
r = r0ㆍkp
그런 다음 관측기는 각각의 곱-지정 팩터에 의해 상기 관측된 속도를 축척함으로써 r 대신에 r0을 추정한다.
곱 지정 팩터들이 정확하게 알려진 상황에서, 상술한 방식은 매우 잘 동작한다. 공정 툴의 동작에서의 변화들은 어느 곱이 실행되고 있는지와 관계없이 관측된다. 그렇지만, 실제의 제조 환경에서는 몇몇 귀찮은 문제가 발생한다. 예를 들어, 몇몇 공정 툴이 존재할 수 있고, 새로운 곱들이 나타나고, 실험들은 가공되지 않은 재료 및 공정 툴 고장시간 모두와 관련해서 매우 비용이 많이 들 수 있다. 여기서 충돌(impact)은 곱 지정 팩터들이 항상 공지의 선험(a priori)은 아니라는 것이다.
위의 방법은 단일의 파라미터(r0)를 관찰하지만, 새로운 kp에 대한 추정치들을 신속하게 얻는 방법을 찾을 필요가 있다. 이것은 런마다 속도를 관찰하고 그에 따라 모델 파라미터들을 갱신함으로써 행해질 수 있다. 각각의 런에 대한 결과는 명백한 속도 r에 대한 측정이다. 데이터(r)로부터 r0및 kp를 추정하기 위해, 다음의 모델 등식 사용된다.
r = r0ㆍkp
테일러 급수 근사법을 사용하면, Δr = r0ㆍΔkp+ kpㆍΔr0이다.
이것이 의미하는 것은 r의 값에서의 분명한 변화는 r0및 kp의 추정치들에서의 변화로서 표현될 수 있다는 것이다. 그래서, 에러를 2개의 파라미터들 사이에 어떻게 분배할 것인지에 관해 결정하기 위해 (변화 기술의 분석법(analysis of variance technique)을 이용해서) 상기 변화들을 분류할 필요가 있다.
이 추정기를 사용하는 한가지 방법은 각각의 파라미터에 선형 필터를 적용하는 것이다.
파라미터 추정에서 신뢰도를 반영하기 위해 람다값들이 가변된다. r0가 변화될 것으로 예상되는 상황에서는 λr는 높고, kp가 에러인 것으로 생각되는 상황에서는, λk가 높다.
예시로서, 잘 설정된 곱의 경우, kp가 정확하다는 높은 신뢰도가 존재한다. 또한, r0은 시간에 따라 다르게 되는 것으로 알려져 있다. 그래서, 관계 λr>>λk가 사용된다. 한편, 새로운 곱의 경우에 있어서, kp의 값에 신뢰도가 없다. 부정확한 kp는 r의 잡음 또는 드리프트 보다 큰 비율로 영향을 미칠 것으로 예상되며 , 그래서 λk>>λr가 설정된다.
MatlabTB시뮬레이션은 이 방식이 공정을 매우 잘 추적한다는 것을 보여준다.시뮬레이션들은 다음과 같은 방식으로 실행되었다. 공정 툴의 수(n), 곱의 수(m), 및 런의 수(p)를 미리 선택한다. 각각의 곱에는 kp에 대해 고유의 "실제"값을 부여하였고 각각의 툴에는 r에 대해 고유의 r0에 대해 고유값이 부여되었다. 각각의 런에 있어서, 임의의 툴 및 곱이 선택되었다. 측정은 정정 r0및 kp를 함께 곱하고 랜덤 잡음을 부가함으로써 계산되었다. 그런 다음, 선택된 툴에 대한 r0는 그것에 부가된 오프셋을 가지고 있어서 시간이 흐름에 따라 드리프트를 시뮬레이트한다. 파라미터 추정치들은 상술한 바와 같이 각각의 런 후에 갱신되었다. 모든 경우에 있어서, 상기 추정치들은 파라미터들의 실제 값들을 매우 신속하게 추적하였다.
곱들 및 툴들을 임의로 선택하는 것은 소정의 툴들(툴 전용)의 주어진 곱들의 런의 긴 스트링들을 사용하는 것보다 빠르게 수렴이 이루어졌다는 것에 유념하라. 툴들이 전용으로 사용될 때 2개의 파라미터들 사이에 적절하게 비율 추정의 에러를 할당하는 것은 어렵다. 이것은 시스템 식별 이론에서 지속적인 자극 요구와 관련된 것으로 보이지만, 통상적으로 툴 전용은 공정 제어를 더 용이하게 할 것으로 생각되기 때문에 관심 있는 결과이다. 과정 선택을 하는 것은, 2개의 목적이 서로 충돌하기 때문에, 목표들을 세심하게 추적하는 것과 과정을 특징짓는데 도움을 주는 것 간의 교환을 포함한다.
연마 그리고/또는 식각과 관련된 다양한 예시적인 실시예들에서, 예를 들어, 도 3을 참조하면, 예시적인 제조 시스템(3010)의 간략화된 블록도가 도시되어 있다. 도시된 실시예에서, 제조 시스템(3010)은 반도체 장치들을 제조하는데 적응되어 있다. 본 발명을 반도체 제조 설비에서 구현될 수 있는 바와 같이 설명하였지만, 본 발명은 이에 제한되지 않으며 다른 제조 환경에도 적용될 수 있다. 네트워크(3020)는 제조 시스템(3010)의 다양한 구성요소들을 상호접속시키며, 그것들이 정보를 교환할 수 있도록 하고 있다. 예시적인 제조 시스템(3010)은 다수의 툴들(3030-3080)을 포함한다. 툴들(3030-3080) 각각은 컴퓨터(도시되지 않음)에 결합되어 네트워크(3020)와 인터페이스할 수 있다.
공정 제어 서버(3090)는 공정 흐름을 정함으로써 제조 시스템(3010)의 상위 레벨 동작을 관리한다. 공정 제어 서버(3090)는 툴들(3030-3080)을 포함하는, 제조 시스템(3010) 내의 다양한 엔티티의 상태를 모니터링한다. 데이터베이스 서버(30100)는 상기 다양한 엔티티의 상태와 공정 흐름의 제조 물품들(예를 들어, 웨이퍼들)과 관련된 데이터를 저장하기 위해 제공된다. 데이터베이스 서버(30100)는 하나 이상의 데이터 저장부(30110)에 정보를 저장할 수 있다. 데이터는 과정 전 및 과정 후 계량 데이터, 툴 상태들, 공정 흐름 활동(예를 들어, 스케줄링된 유지 이벤트, 많은 수의 웨이퍼들에 대한 공정 루트) 등을 포함할 수 있다. 공정의 분배 및 상이한 컴퓨터들 사이의 데이터 저장 기능들은 일반적으로 독립성 및 중앙 정보 저장을 제공하도록 수행된다. 물론, 더 많은 컴퓨터들이 사용될 수도 있다.
제조 시스템(3010)에서 사용하기에 적절한 예시적인 정보 교환 및 공정 제어 프레임워크는 KLA-Tencor, Inc에서 제공하는 카탈리스트 시스템(Catalyst system)을 사용해서 구현될 수 있는 진보된 공정 제어(APC) 프레임워크이다. 상기 카탈리스트 시스템은 반도체 장치 및 재료 국제(SEMI) 컴퓨터 집적 제조(CIM) 프레임워크호환 시스템 기술을 사용하며 진보된 공정 제어(APC) 프레임워크에 기초를 두고 있다. CIM(SEMI E81-0699 - CIM 프레임워크 도메인 아키텍처에 대한 예비 사양서) 및 APC(SEMI E93-0999 - CIM 프레임워크 진보된 공정 제어 구성요소에 대한 예비 사양서) 사양서들은 SEMI에서 공개적으로 사용가능하다.
본 발명의 일부 및 대응하는 상세한 설명은 컴퓨터 메모리 내의 데이터 비트들에 관한 동작들의 소프트웨어, 또는 알고리즘 및 심볼 표현과 관련해서 제공된다. 이들 설명 및 표현은 당업자가 다른 당업자에 효과적으로 자신들의 작업 실체를 전달할 수 있는 것들이다. 여기서 사용되고 있는 항목과 같은 그리고 일반적으로 사용되고 있는 알고리즘은, 원하는 결과를 이끄는 단계들의 일관적인 시퀀스가 되는 것으로 고려된다. 상기 단계들은 물리량의 물리적 조작을 필요로 하는 단계들이다. 통상적으로 필요한 것은 아니지만, 이들 양은 저장될 수 있고 전달될 수 있고 결합될 수 있고 비교될 수 있고 그렇지 않으면 조작될 수 있는 광학, 전자, 또는 자기 신호들의 형태를 취한다. 관용적으로 사용되고 있기 때문에 때때로 이들 신호들을 비트, 값, 요소, 심벌, 문자, 항목, 수 등으로 부르는 것이 편리하다.
그렇지만, 이들 및 유사한 항목 모두는 적절한 물리량과 관련되지만 편리한 레이블들이 이들 양에 거의 적용되지 않는다는 것에 유념하라. 상세히 설명되지 않았거나 위의 논의로부터 분명하지 않다면, "공정" 또는 "컴퓨팅" 또는 "계산" 또는 "결정" 또는 "디스플레이" 등과 같은 용어들을 컴퓨터 시스템 또는 유사한 전자 컴퓨팅 장치의 동작 및 과정들이라 칭하며, 이들 시스템 및 장치는, 컴퓨터 시스템의 레지스터들 및 메모리 내의 물리량이나 전자량으로 표시된 데이터를 조작하고, 컴퓨터 시스템 메모리들 또는 레지스터들, 전송 또는 디스플레이 장치 내의 물리량으로 표현된 유사한 다른 데이터로 변형한다.
툴들(3030-3080)은 접미사가 붙은 유사한 툴들의 세트들로 그룹화된다. 특별한 웨이퍼나 많은 웨이퍼들이 툴들(3030-3080)을 통해 진행되면서 제조되며, 각각의 툴들(3030-3080)은 공정 흐름에서 지정된 기능을 수행한다. 예시적인 공정 툴들(3030-3080)은 포토리소그래피 스테퍼, 식각 툴들, 증착 툴들 연마 툴들, 급속 열 공정 툴들, 이온 주입 툴들 등을 포함한다. 툴들(3030-3080) 중 일부는 과정되는 웨이퍼들의 특성들(예를 들어, 프로파일)을 측정하도록 적응된 계량 도구들이 될 수 있다. 예시된 실시예에서, 툴들의 세트(3030A-3030C)는 식각 툴들을 표시하며, 툴들의 세트(3070A-3070C)는 연마 툴들을 표시한다. 통상적으로, 특별한 웨이퍼 또는 많은 웨이퍼들이 공정 흐름을 통과하는 경로는 변한다. 공정 제어 서버(3090)는 수행되어야 하는 단계들 및 툴들(3030-3080)의 이용가능성에 의존하는 공정 흐름을 통한 개별적인 많은 웨이퍼들을 루팅시킨다. 특별한 많은 수의 웨이퍼들은 그 제조에 있어서 1회 이상 동일한 툴(3030-3080)을 통과할 수 있다(예를 들어, 특별한 식각 툴(3030)은 한번의 식각 동작보다 많이 사용될 수 있다).
툴들(3030-3080)은 단지 예시의 목적만을 위해 그룹화되어 있는 랭크 및 파일로 도시되어 있다. 실제의 구현에 있어서, 툴들은 임의의 그룹화로 배열될 수 있다. 또한, 특별한 그룹 내의 툴들 사이의 접속들은 툴들 사이의 상호접속보다는, 네트워크(3020)에 대한 접속만을 나타내는 것을 의미한다.
공정 제어 서버(3090)는 툴들(3030-3080)을 통하는 특별한 많은 수의 웨이퍼들의 경로를 제어한다. 과정 데이터에 기초해서, 공정 제어 서버(3090)는 툴들(3030-3080)의 동작 상태들을 모니터링한다. 과정 데이터는 툴들(3030-3080)을 통해 진행하는 웨이퍼들의 과정 전후의 측정치들을 포함할 수 있다. 예를 들어, 특별한 연마 툴, 예를 들어 70A가 중앙-고속 연마(center-fast polishing)을 선호하는 상태에서 동작하고 있다면, 공정 제어 서버(3090)는 그 경향을 주목한다. 공정 제어 서버(3090)는 또한 식각 툴들(3030)과 같은 다른 툴들의 동작 상태들을 모니터링하여, 식각 툴의 현재 상태가 중앙-고속 식각인지 중앙-저속 식각인지를 결정할 수 있다.
공정 제어 서버(3090)는 공정 전 그리고/또는 공정 후 계량 이벤트들을 필요에 따라 초기화하여, 툴들(3030-3080)의 동작 상태들을 결정할 수 있다. 계량 이벤트로부터의 데이터는 공정 제어 서버(3090) (또는 네트워크(3020) 상의 몇몇 다른 컴퓨팅 자원)로 복귀하여 분석될 수 있다. 대안적으로, 공정 제어 서버(3090)는 데이터 저장부(30110)에 이미 집합되어 저장된 과정 데이터에 액세스할 수 있다. 예를 들어, 과정 전 및 과정 후 계량 데이터는 공정 제어 그리고/또는 고장 검출에 대한 통계적인 데이터를 발생하기 위해 다양한 툴들에 대해 집합될 수 있다.
공정 제어 서버(3090)는 제조 시스템(3010)의 공정 흐름을 통해 많은 수의 웨이퍼들의 특별한 루팅을 결정함에 따라 툴들(3030-3080)의 현재의 동작 상태들을 평가한다. 예를 들어, 특별한 많은 수의 웨이퍼들에 대한 연마 공정을 수행하기 전에, 공정 제어기(3090)는 계략 이벤트를 초기화하여, 표면 프로파일을 결정하거나 그 정보에 대한 데이터 저장부(30110)에 액세스할 수 있다. 인입하는 표면 프로파일을 결정한 후, 공정 제어 서버(3090)는 연마 툴(3070A-3070C)의 현재의 동작 상태들을 평가하여, 어느 툴(들)이 상기 인입하는 표면 프로파일에 상보적인 방식으로 연마하는 경향을 갖는지를 결정할 수 있다. 상기 인입하는 표면 프로파일이 움푹 들어가 있으면, 공정 제어 서버(3090)는 중앙-저속 상태에서 동작하는 연마 툴(3070A-3070C)을 선택한다. 유사하게, 상기 인입하는 표면 프로파일이 볼록 튀어나와 있으면, 공정 제어 서버(3090)는 중앙-고속 상태에서 동작하는 연마 툴(3070A-3070C)을 선택한다.
유사한 방식이 식각 공정에 적용될 수 있다. 공정 제어 서버(3090)는 상기 인입하는 표면 프로파일에 상보적인 동작 상태를 갖는 특별한 식각 툴(3070A-3071C)을 선택한다. 상기 인입하는 표면 프로파일이 움푹 들어가 있으면, 공정 제어 서버(3090)는 중앙-저속 상태에서 동작하는 식각 툴(3030A-3030C)을 선택한다. 유사하게, 상기 인입하는 표면 프로파일이 볼록 튀어나와 있으면, 상기 공정 제어 서버(3090)는 중앙-고속 상태에서 동작하는 식각 툴(3030A-3030C)을 선택한다.
본 발명에 따른 방법의 상기 언급된 임의의 실시예들에 따라, 측정 툴들로부터 보내지는 변수 측정치들을 감독 공정 조정을 하도록 사용함으로써 수동으로 또는 자동으로 생산량을 향상시키고 보다 우수하게 제어할 수 있다. 게다가, 본 발명에 따른 제조 방법에 대한 상술된 실시예들 대부분은 진보된 공정 제어(APC) 시스템의 동작 제어 환경의 통합부로서 샘플링을 취급함으로써 샘플링 계량에서의 현저한 개선을 제공한다. 정적 "최적" 샘플링 비율을 적용하기보다는, (1) 최근의 데이터 변화의 변경량 그리고/또는 속도와 같은 상황 정보, (2) 업스트림 공정의 유지 그리고/또는 변경과 같은 이벤트 그리고/또는 (3) 제어 모델 파라미터들을 식별하기 위한 방식들에서의 폐쇄 루프 런투런 제어기들의 요구에 기초해서 증가하거나 감소되는 동적 변수로서 샘플링을 취급한다. 또한, 본 발명에 따른 제조 방법의 상술한 임의의 실시예들에 따라, 반도체 장치 제조에 의해 장치의 정확성 및 정밀성이 향상되고 효율성이 향상되며 장치 생산량이 향상됨으로써, 유선형의 단순한 공정 흐름이 가능하게 되며, 이에 의해 제조 과정을 간단하게 하고 그 비용을 줄이며 처리량을 향상시킬 수 있다.
상술한 특별한 실시예들은 단지 예시일 뿐이며, 본 발명은 당업자에게 분명한 바와 같이 본 문헌에 개시된 이점을 갖는 상이하거나 동등한 방식으로 변형되어 실시될 수 있다. 게다가, 본 문헌에 개시한 구성 또는 설계에 대한 상세한 설명으로 제한할 의도가 없으며, 다음의 클레임에 개시된 바에 포함된다. 그러므로, 상술한 특별한 실시예들은 변형, 수정될 수 있으며, 본 발명의 정신 범주 내에서 고려되는 모든 그러한 변형들이 고려된다. 특히, ("약 a 로부터 약 b로", 또는 동등하게, "거의 a로부터 b로" 또는 동등하게 "거의 a-b로부터"와 같은 형태의) 본 문헌에 개시된 모든 범위의 값들은 조지 캔터(Georg Cantor)의 이해에 따라, 각각의 값들의 범위의 급수 집합(모든 부분집합들의 집합)으로 칭해지는 것으로 이해해야 한다. 따라서, 본 문헌에서 보호받고자 하는 사항은 하기의 청구항에 개시된다.

Claims (10)

  1. 적어도 하나의 공정 단계(105)에서 워크피스 상에서 수행되는 공정의 적어도 하나의 특징 파라미터를 샘플링하는 단계(110)와;
    적응성 샘플링 공정 모델을 이용하고, 상기 샘플링을 다이내믹한 제어 환경의 통합 부분으로서 처리하며, 그리고 상황 정보, 업스트림 이벤트들 및 런투런 제어기들의 요건들중 적어도 하나에 기초하여 상기 샘플링을 변경하여, 상기 샘플링된 적어도 하나의 특징 파라미터를 모델링하는 단계(130)와; 그리고
    상기 적어도 하나의 공정 단계(105)에서 수행된 공정을 변경하기 위해(135,155,160) 상기 적응성 샘플링 공정 모델을 적용하는 단계(130)를 포함하는 것을 특징으로 하는 방법.
  2. 제 1 항에 있어서,
    상기 적어도 하나의 공정 단계(105)에서 워크피스 상에서 수행되는 공정의 적어도 하나의 특징 파라미터를 샘플링하는 단계(110)는, 진보된 공정 제어(APC) 시스템(120)을 이용하여 상기 적어도 하나의 특징 파라미터를 모니터링하는 단계(110)를 포함하는 것을 특징으로 하는 방법.
  3. 제 2 항에 있어서,
    상기 진보된 공정 제어(APC) 시스템(120)을 이용하여 상기 적어도 하나의 특징 파라미터를 모니터링하는 단계(110)는, 상기 적어도 하나의 공정 단계(105) 동안 공정 툴의 적어도 하나의 툴 변수를 모니터하기 위해 상기 진보된 공정 제어(APC) 시스템(120)을 이용하는 단계(110)를 포함하는 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서,
    상기 적응성 샘플링 공정 모델을 이용하여 상기 샘플링된 적어도 하나의 특징 파라미터를 모델링하는 단계(130)는, 적어도 하나의 튜닝 파라미터를 갖는 모델 예측 제어(MPC) 제어기 및 비례 적분 도함수(PID) 제어기중 적어도 하나를 통합하는 적응성 샘플링 공정 모델을 이용하는 단계(130)를 포함하는 것을 특징으로 하는 방법.
  5. 제 4 항에 있어서,
    상기 적어도 하나의 튜닝 파라미터를 갖는 모델 예측 제어(MPC) 제어기 및 비례 적분 도함수(PID) 제어기중 적어도 하나를 통합하는 적응성 샘플링 공정 모델을 이용하는 단계(130)는, 적어도 하나의 튜닝 파라미터를 갖는 폐쇄 루프 모델 예측 제어(MPC) 제어기 및 폐쇄 루프 비례 적분 도함수(PID) 제어기중 적어도 하나를 통합하는 적응성 샘플링 공정 모델을 이용하는 단계(130)를 포함하는 것을 특징으로 하는 방법.
  6. 제 4 항에 있어서,
    상기 적어도 하나의 공정 단계(105)에서 수행된 공정을 변경하기 위해(135,155,160) 상기 적응성 샘플링 공정 모델을 적용하는 단계(130)는, 상기 적어도 하나의 공정 단계(105)에서 수행된 공정을 개선하기 위해 상기 적어도 하나의 튜닝 파라미터를 튜닝하는 단계(145,150)를 포함하는 것을 특징으로 하는 방법.
  7. 적어도 하나의 공정 단계(105)에서 워크피스 상에서 수행되는 공정의 적어도 하나의 특징 파라미터를 샘플링하는 단계(110)와;
    적응성 샘플링 공정 모델을 이용하고, 상기 샘플링을 다이내믹한 제어 환경의 통합 부분으로서 처리하며, 그리고 상황 정보, 업스트림 이벤트들 및 런투런 제어기들의 요건들중 적어도 하나에 기초하여 상기 샘플링을 변경하여, 상기 샘플링된 적어도 하나의 특징 파라미터를 모델링하는 단계(130)와, 여기서 상기 상황 정보는 최근 데이터의 변화량 및 상기 최근 데이터 변화의 변경 속도중 적어도 하나를 포함하고, 상기 업스트림 이벤트들은 공정 업스트림의 유지 및 공정 업스트림의 변경중 적어도 하나를 포함하며, 그리고 상기 런투런 제어기들은 제어 모델 파라미터들을 확인하고자 하며; 그리고
    상기 적어도 하나의 공정 단계(105)에서 수행된 공정을 변경하기 위해(135,155,160) 상기 적응성 샘플링 공정 모델을 적용하는 단계(130)를 포함하는 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서,
    상기 적어도 하나의 공정 단계(105)에서 워크피스 상에서 수행되는 공정의 적어도 하나의 특징 파라미터를 샘플링하는 단계는, 진보된 공정 제어(APC) 시스템(120)을 이용하여 상기 적어도 하나의 특징 파라미터를 모니터링하는 단계(110)를 포함하며, 그리고
    상기 진보된 공정 제어(APC) 시스템(120)을 이용하여 상기 적어도 하나의 특징 파라미터를 모니터링하는 단계(110)는, 상기 적어도 하나의 공정 단계(105) 동안 급속 열 공정 툴의 적어도 하나의 툴 변수를 모니터하기 위해 상기 진보된 공정 제어(APC) 시스템(120)을 이용하는 단계(110)를 포함하는 것을 특징으로 하는 방법.
  9. 제 7 항에 있어서,
    상기 적응성 샘플링 공정 모델을 이용하여 상기 샘플링된 적어도 하나의 특징 파라미터를 모델링하는 단계(130)는, 적어도 하나의 튜닝 파라미터를 갖는 모델 예측 제어(MPC) 제어기 및 비례 적분 도함수(PID) 제어기중 적어도 하나를 통합하는 적응성 샘플링 공정 모델을 이용하는 단계(130)를 포함하고,
    상기 적어도 하나의 튜닝 파라미터를 갖는 모델 예측 제어(MPC) 제어기 및 비례 적분 도함수(PID) 제어기중 적어도 하나를 통합하는 적응성 샘플링 공정 모델을 이용하는 단계(130)는, 적어도 하나의 튜닝 파라미터를 갖는 폐쇄 루프 모델 예측 제어(MPC) 제어기 및 폐쇄 루프 비례 적분 도함수(PID) 제어기중 적어도 하나를통합하는 적응성 샘플링 공정 모델을 이용하는 단계(130)를 포함하며, 그리고
    상기 적어도 하나의 공정 단계(105)에서 수행된 공정을 변경하기 위해(135,155,160) 상기 적응성 샘플링 공정 모델을 적용하는 단계(130)는, 상기 적어도 하나의 공정 단계(105)에서 수행된 공정을 개선하기 위해 상기 적어도 하나의 튜닝 파라미터를 튜닝하는 단계(145,150)를 포함하는 것을 특징으로 하는 방법.
  10. 적어도 하나의 공정 단계(105)에서 워크피스 상에서 수행되는 공정의 적어도 하나의 특징 파라미터를 샘플링하는(110) 툴과;
    적응성 샘플링 공정 모델을 이용하고, 상기 샘플링을 다이내믹한 제어 환경의 통합 부분으로서 처리하며, 그리고 상황 정보, 업스트림 이벤트들 및 런투런 제어기들의 요건들중 적어도 하나에 기초하여 상기 샘플링을 변경하여, 상기 샘플링된 적어도 하나의 특징 파라미터를 모델링하는(130) 컴퓨터와; 그리고
    상기 적어도 하나의 공정 단계(105)에서 수행된 공정을 변경하기 위해(135,155,160) 상기 적응성 샘플링 공정 모델을 적용하는(130) 제어기를 포함하며;
    상기 적어도 하나의 공정 단계(105)에서 워크피스 상에서 수행되는 공정의 적어도 하나의 특징 파라미터를 샘플링하는 툴은 진보된 공정 제어(APC) 시스템(120)을 이용하여 상기 적어도 하나의 특징 파라미터를 모니터링하는(110) 모니터를 포함하고, 상기 진보된 공정 제어(APC) 시스템(120)은 상기 적어도 하나의 공정 단계(105) 동안 적어도 하나의 공정 툴의 적어도 하나의 툴 변수를 모니터하며(110), 상기 샘플링된 적어도 하나의 특징 파라미터를 모델링하는 컴퓨터는 적어도 하나의 튜닝 파라미터를 갖는 모델 예측 제어(MPC) 제어기 및 비례 적분 도함수(PID) 제어기중 적어도 하나를 통합하는 적응성 샘플링 공정 모델을 이용하고(130), 상기 컴퓨터는 적어도 하나의 튜닝 파라미터를 갖는 폐쇄 루프 모델 예측 제어(MPC) 제어기 및 폐쇄 루프 비례 적분 도함수(PID) 제어기중 적어도 하나를 통합하는 적응성 샘플링 공정 모델을 이용하며(130), 그리고 상기 적어도 하나의 공정 단계(105)에서 수행되는 공정을 변경하기 위해(135,155,160) 적응성 샘플링 공정 모델을 적용하는(130) 제어기는 상기 적어도 하나의 공정 단계(105)에서 수행되는 공정을 개선하기 위해(55) 상기 적어도 하나의 튜닝 파라미터를 튜닝하는 것을 특징으로 하는 시스템.
KR1020037003813A 2000-09-15 2001-09-07 반도체 제조의 개선된 제어를 위한 적응성 샘플링 방법 및 장치 KR100824443B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US66373200A 2000-09-15 2000-09-15
US09/663,732 2000-09-15
PCT/US2001/028003 WO2002023289A2 (en) 2000-09-15 2001-09-07 Adaptive sampling method for improved control in semiconductor manufacturing

Publications (2)

Publication Number Publication Date
KR20030036791A true KR20030036791A (ko) 2003-05-09
KR100824443B1 KR100824443B1 (ko) 2008-04-23

Family

ID=24663051

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037003813A KR100824443B1 (ko) 2000-09-15 2001-09-07 반도체 제조의 개선된 제어를 위한 적응성 샘플링 방법 및 장치

Country Status (9)

Country Link
US (1) US6988017B2 (ko)
EP (1) EP1317694B1 (ko)
JP (1) JP2004509407A (ko)
KR (1) KR100824443B1 (ko)
CN (1) CN1186700C (ko)
AU (1) AU2001288856A1 (ko)
DE (1) DE60104705T2 (ko)
TW (1) TW563218B (ko)
WO (1) WO2002023289A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101121809B1 (ko) * 2004-03-30 2012-03-20 어드밴스드 마이크로 디바이시즈, 인코포레이티드 런 투 런 제어를 위한 방법 및 시스템
KR20160140474A (ko) * 2015-05-27 2016-12-07 내셔날 쳉쿵 유니버시티 샘플링 비율 결정 기법에 의한 계측 샘플링 방법 및 그 컴퓨터 프로그램 제품

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8510476B2 (en) * 2001-02-15 2013-08-13 Brooks Automation, Inc. Secure remote diagnostic customer support network
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US7402257B1 (en) * 2002-07-30 2008-07-22 Advanced Micro Devices, Inc. Plasma state monitoring to control etching processes and across-wafer uniformity, and system for performing same
US7376472B2 (en) * 2002-09-11 2008-05-20 Fisher-Rosemount Systems, Inc. Integrated model predictive control and optimization within a process control system
US7295954B2 (en) * 2002-09-26 2007-11-13 Lam Research Corporation Expert knowledge methods and systems for data analysis
US8017411B2 (en) * 2002-12-18 2011-09-13 GlobalFoundries, Inc. Dynamic adaptive sampling rate for model prediction
US7653515B2 (en) * 2002-12-20 2010-01-26 Lam Research Corporation Expert knowledge methods and systems for data analysis
US6766214B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Adjusting a sampling rate based on state estimation results
WO2004105101A2 (en) * 2003-05-16 2004-12-02 Tokyo Electron Limited A process system health index and method of using the same
US6988045B2 (en) 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
US7328126B2 (en) 2003-09-12 2008-02-05 Tokyo Electron Limited Method and system of diagnosing a processing system using adaptive multivariate analysis
DE10345626A1 (de) * 2003-09-29 2005-05-12 Heidenhain Gmbh Dr Johannes Numerische Steuerung mit Werkzeugmaschinensimulator
US7187989B2 (en) * 2003-12-22 2007-03-06 Fakhruddin T Attarwala Use of core process models in model predictive controller
US7473566B1 (en) * 2004-02-03 2009-01-06 Advanced Micro Devices, Inc. Method and apparatus for controlling a film formation process with multiple objectives
US7203554B2 (en) * 2004-03-16 2007-04-10 United Technologies Corporation Model predictive controller with life extending control
US7203555B2 (en) * 2004-05-14 2007-04-10 University Of Delaware Predictive regulatory controller
US7502715B1 (en) * 2004-09-21 2009-03-10 Asml Netherlands B.V Observability in metrology measurements
US7076321B2 (en) * 2004-10-05 2006-07-11 Advanced Micro Devices, Inc. Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
US8676538B2 (en) * 2004-11-02 2014-03-18 Advanced Micro Devices, Inc. Adjusting weighting of a parameter relating to fault detection based on a detected fault
US8095240B2 (en) * 2004-11-18 2012-01-10 Applied Materials, Inc. Methods for starting and operating a thermal abatement system
US7682574B2 (en) * 2004-11-18 2010-03-23 Applied Materials, Inc. Safety, monitoring and control features for thermal abatement reactor
US7477960B2 (en) * 2005-02-16 2009-01-13 Tokyo Electron Limited Fault detection and classification (FDC) using a run-to-run controller
DE502006001391D1 (de) * 2005-02-28 2008-10-02 Siemens Ag Verfahren zum elektronischen betreiben einer werkzeugmaschine
US7117059B1 (en) * 2005-04-18 2006-10-03 Promos Technologies Inc. Run-to-run control system and operating method of the same
US7299154B1 (en) * 2005-05-16 2007-11-20 Advanced Micro Devices, Inc. Method and apparatus for fast disturbance detection and classification
DE102005024915B4 (de) * 2005-05-31 2016-09-15 Advanced Micro Devices, Inc. Verfahren und System für eine fortschrittliche Prozesssteuerung mit anlagenabhängigen Maschinenkonstanten
DE102005030586A1 (de) 2005-06-30 2007-01-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung der Messunsicherheit als Steuerungseingang
DE102005035735B4 (de) * 2005-07-29 2007-08-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Abschätzen eines Zustands einer nicht initialisierten fortschrittlichen Prozesssteuerung durch Anwendung unterteilter Steuerungsdaten
KR100702843B1 (ko) * 2005-08-12 2007-04-03 삼성전자주식회사 로트가변 배치처리가 가능한 반도체 제조설비 및 그로트가변 배치처리방법
DE102005046972A1 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung einer Kombination aus gewichteten relativen Voreinstellungswerten
US8036760B2 (en) * 2005-10-04 2011-10-11 Fisher-Rosemount Systems, Inc. Method and apparatus for intelligent control and monitoring in a process control system
US20070088448A1 (en) * 2005-10-19 2007-04-19 Honeywell International Inc. Predictive correlation model system
US7200523B1 (en) * 2005-11-30 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for filtering statistical process data to enhance process performance
US20080042830A1 (en) * 2005-12-30 2008-02-21 Skyetek, Inc. Virtual rfid-based tag sensor
JP4791840B2 (ja) * 2006-02-06 2011-10-12 株式会社日立ハイテクノロジーズ 荷電粒子線装置、走査電子顕微鏡、および試料検査方法
JP4825530B2 (ja) * 2006-02-06 2011-11-30 株式会社日立ハイテクノロジーズ パターン欠陥検査方法および装置
CN101495925B (zh) * 2006-03-16 2013-06-05 应用材料公司 用于改进电子装置制造系统的操作的方法与设备
US7577483B2 (en) * 2006-05-25 2009-08-18 Honeywell Asca Inc. Automatic tuning method for multivariable model predictive controllers
US8005575B2 (en) 2006-06-01 2011-08-23 General Electric Company Methods and apparatus for model predictive control in a real time controller
GB2438893B (en) * 2006-06-09 2010-10-27 Applied Materials Inc Ion beams in an ion implanter
US7373215B2 (en) * 2006-08-31 2008-05-13 Advanced Micro Devices, Inc. Transistor gate shape metrology using multiple data sources
US7580768B2 (en) * 2006-09-22 2009-08-25 Texas Instruments Deutschland Gmbh Method of adjusting process variables in a processing flow
US7738986B2 (en) 2006-10-09 2010-06-15 GlobalFoundries, Inc. Method and apparatus for compensating metrology data for site bias prior to filtering
US7509186B2 (en) * 2006-11-07 2009-03-24 International Business Machines Corporation Method and system for reducing the variation in film thickness on a plurality of semiconductor wafers having multiple deposition paths in a semiconductor manufacturing process
DE102006059430A1 (de) * 2006-12-15 2008-06-19 Robert Bosch Gmbh Automatisierte Erstellung und Adaption eines Maschinen- oder Anlagenmodells
US7991499B2 (en) * 2006-12-27 2011-08-02 Molnar Charles J Advanced finishing control
US7716230B2 (en) * 2007-02-07 2010-05-11 International Business Machines Corporation Multi-dimensional serial containment process
US8244644B2 (en) * 2007-02-07 2012-08-14 International Business Machines Corporation Supply chain multi-dimensional serial containment process
US20080216077A1 (en) * 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US8145337B2 (en) * 2007-05-04 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology to enable wafer result prediction of semiconductor wafer batch processing equipment
WO2008147523A1 (en) * 2007-05-25 2008-12-04 Applied Materials, Inc. Cogeneration abatement system for electronic device manufacturing
WO2008147522A1 (en) * 2007-05-25 2008-12-04 Applied Materials, Inc. Methods and apparatus for assembling and operating electronic device manufacturing systems
US20090018688A1 (en) * 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
US20090012744A1 (en) * 2007-07-03 2009-01-08 Texas Instruments Incorporated System and Method for Statistically Evaluating the Operation of Integrated Circuit Fabrication Tools
US8095907B2 (en) * 2007-10-19 2012-01-10 International Business Machines Corporation Reliability evaluation and system fail warning methods using on chip parametric monitors
KR20100084676A (ko) * 2007-10-26 2010-07-27 어플라이드 머티어리얼스, 인코포레이티드 향상된 연료 회로를 사용하는 스마트 저감을 위한 방법 및 장치
DE102008000038A1 (de) * 2008-01-11 2009-07-16 Robert Bosch Gmbh Vorrichtung
US8271122B2 (en) 2008-03-07 2012-09-18 Mks Instruments, Inc. Process control using process data and yield data
US7622308B2 (en) * 2008-03-07 2009-11-24 Mks Instruments, Inc. Process control using process data and yield data
US8355810B2 (en) * 2009-01-29 2013-01-15 Applied Materials, Inc. Method and system for estimating context offsets for run-to-run control in a semiconductor fabrication facility
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US8433434B2 (en) * 2009-07-09 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Near non-adaptive virtual metrology and chamber control
US8620461B2 (en) * 2009-09-24 2013-12-31 Honeywell International, Inc. Method and system for updating tuning parameters of a controller
JP5547472B2 (ja) * 2009-12-28 2014-07-16 株式会社荏原製作所 基板研磨装置、基板研磨方法、及び基板研磨装置の研磨パッド面温調装置
EP2365410B1 (en) * 2010-03-09 2018-06-27 Siemens Aktiengesellschaft Controlling a manufacturing process
US8606386B2 (en) * 2010-03-12 2013-12-10 Ana Maria Dias Medureira Pereira Multi-agent system for distributed manufacturing scheduling with Genetic Algorithms and Tabu Search
US9026239B2 (en) * 2010-06-03 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. APC model extension using existing APC models
US10295993B2 (en) * 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
US9677493B2 (en) 2011-09-19 2017-06-13 Honeywell Spol, S.R.O. Coordinated engine and emissions control system
US20130111905A1 (en) 2011-11-04 2013-05-09 Honeywell Spol. S.R.O. Integrated optimization and control of an engine and aftertreatment system
US9650934B2 (en) 2011-11-04 2017-05-16 Honeywell spol.s.r.o. Engine and aftertreatment optimization system
US9002498B2 (en) * 2012-02-02 2015-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Tool function to improve fab process in semiconductor manufacturing
CN102540895B (zh) * 2012-02-28 2015-04-15 中国科学院微电子研究所 一种先进过程控制系统及其测试方法
US9158867B2 (en) 2012-10-09 2015-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. 2D/3D analysis for abnormal tools and stages diagnosis
US9292010B2 (en) * 2012-11-05 2016-03-22 Rockwell Automation Technologies, Inc. Online integration of model-based optimization and model-less control
US9187800B2 (en) * 2013-02-15 2015-11-17 Ford Motor Company Process control for post-form heat treating parts for an assembly operation
US10324424B2 (en) 2013-03-11 2019-06-18 Johnson Controls Technology Company Control system with response time estimation and automatic operating parameter adjustment
US9395708B2 (en) 2013-03-11 2016-07-19 Johnson Controls Technology Company Systems and methods for adaptive sampling rate adjustment
US9282048B1 (en) * 2013-03-14 2016-03-08 Moat, Inc. System and method for dynamically controlling sample rates and data flow in a networked measurement system by dynamic determination of statistical significance
US9245067B2 (en) 2013-03-15 2016-01-26 General Electric Company Probabilistic method and system for testing a material
US9567660B2 (en) 2013-06-27 2017-02-14 Ford Global Technologies, Llc Method and system for using an irreversible thermo-chromatic indicator for quality assurance of a part subjected to heat treating
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
US10576603B2 (en) * 2014-04-22 2020-03-03 Kla-Tencor Corporation Patterned wafer geometry measurements for semiconductor process controls
US9733627B2 (en) 2014-08-13 2017-08-15 Honeywell International Inc. Cloud computing system and method for advanced process control
US10133263B1 (en) 2014-08-18 2018-11-20 Kla-Tencor Corporation Process condition based dynamic defect inspection
EP3051367B1 (en) 2015-01-28 2020-11-25 Honeywell spol s.r.o. An approach and system for handling constraints for measured disturbances with uncertain preview
EP3056706A1 (en) 2015-02-16 2016-08-17 Honeywell International Inc. An approach for aftertreatment system modeling and model identification
WO2016148705A1 (en) * 2015-03-17 2016-09-22 Halliburton Energy Services, Inc. Optimization of downhole logging tool data resolution
US10551247B1 (en) * 2015-04-27 2020-02-04 National Technology & Engineering Solutions Of Sandia, Llc Global analysis peak fitting for chemical spectroscopy data
EP3091212A1 (en) 2015-05-06 2016-11-09 Honeywell International Inc. An identification approach for internal combustion engine mean value models
EP3125052B1 (en) 2015-07-31 2020-09-02 Garrett Transportation I Inc. Quadratic program solver for mpc using variable ordering
US10272779B2 (en) 2015-08-05 2019-04-30 Garrett Transportation I Inc. System and approach for dynamic vehicle speed optimization
US10359371B2 (en) 2015-08-24 2019-07-23 Kla-Tencor Corp. Determining one or more characteristics of a pattern of interest on a specimen
US10415492B2 (en) 2016-01-29 2019-09-17 Garrett Transportation I Inc. Engine system with inferential sensor
JP6647931B2 (ja) * 2016-03-16 2020-02-14 株式会社Kelk 半導体ウェーハの温度制御装置、および半導体ウェーハの温度制御方法
US10124750B2 (en) 2016-04-26 2018-11-13 Honeywell International Inc. Vehicle security module system
US10036338B2 (en) 2016-04-26 2018-07-31 Honeywell International Inc. Condition-based powertrain control system
CN106294126B (zh) * 2016-07-22 2019-01-04 上海华力微电子有限公司 Sen离子注入机台的自动化程式正确性管理方法及装置
US11199120B2 (en) 2016-11-29 2021-12-14 Garrett Transportation I, Inc. Inferential flow sensor
US10868857B2 (en) 2017-04-21 2020-12-15 Johnson Controls Technology Company Building management system with distributed data collection and gateway services
US10739028B2 (en) 2017-06-09 2020-08-11 Johnson Controls Technology Company Thermostat with efficient wireless data transmission
US10333810B2 (en) 2017-06-09 2019-06-25 Johnson Controls Technology Company Control system with asynchronous wireless data transmission
CN107546998B (zh) * 2017-07-25 2019-12-10 华南理工大学 一种基于双环预测控制的切换型控制方法
US11397171B2 (en) 2017-09-18 2022-07-26 Ecolab Usa Inc. Adaptive range flow titration systems and methods with sample conditioning
US11057213B2 (en) 2017-10-13 2021-07-06 Garrett Transportation I, Inc. Authentication system for electronic control unit on a bus
JP7035457B2 (ja) * 2017-11-01 2022-03-15 ブラザー工業株式会社 パラメータ更新方法、パラメータ更新システム、及びプログラム
CN108008695A (zh) * 2017-12-05 2018-05-08 鄂州职业大学 一种智能模具制造的数控加工方法及控制系统
CN110246775B (zh) * 2018-03-09 2022-05-03 联华电子股份有限公司 控制机台操作的装置与方法
US11113168B2 (en) * 2018-03-09 2021-09-07 Toyota Motor Engineering & Manufacturing North America, Inc. Distributed architecture for fault monitoring
BR112020020717B1 (pt) 2018-04-09 2023-10-24 Ecolab Usa Inc Sistema de titulação automatizado, e, método para quantificar uma concentração de analito alvo em uma corrente de amostra
US11397170B2 (en) * 2018-04-16 2022-07-26 Ecolab Usa Inc. Repetition time interval adjustment in adaptive range titration systems and methods
EP3611570A1 (en) * 2018-08-16 2020-02-19 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
US10700605B1 (en) 2018-12-12 2020-06-30 Infineon Technologies Austria Ag Electrical power converter with predictor
WO2020261383A1 (ja) 2019-06-25 2020-12-30 東芝三菱電機産業システム株式会社 データ収集装置、プラント監視システムおよびデータ収集方法
WO2021087053A1 (en) * 2019-11-01 2021-05-06 Mattson Technology, Inc. Control system for adaptive control of a thermal processing system
TWI728576B (zh) * 2019-11-27 2021-05-21 台灣積體電路製造股份有限公司 半導體結構的製造方法及電腦可讀取記錄媒體
US11429091B2 (en) 2020-10-29 2022-08-30 Kla Corporation Method of manufacturing a semiconductor device and process control system for a semiconductor manufacturing assembly

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3777128A (en) * 1972-03-31 1973-12-04 Kearney & Trecker Corp Input data sampling scheme for computer controlled machine tools
US5740033A (en) * 1992-10-13 1998-04-14 The Dow Chemical Company Model predictive controller
JP3293680B2 (ja) * 1993-03-08 2002-06-17 中部電力株式会社 火力発電用ボイラの離散時間モデル規範形適応蒸気温度制御装置
US5519605A (en) * 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
US5896294A (en) * 1997-03-11 1999-04-20 Advanced Micro Devices, Inc. Method and apparatus for inspecting manufactured products for defects in response to in-situ monitoring
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
JPH11272305A (ja) * 1998-03-23 1999-10-08 Toshiba Corp プラント制御装置
US6248602B1 (en) * 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6337217B1 (en) * 2000-02-14 2002-01-08 Advanced Micro Devices, Inc. Method and apparatus for improved focus in optical processing
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101121809B1 (ko) * 2004-03-30 2012-03-20 어드밴스드 마이크로 디바이시즈, 인코포레이티드 런 투 런 제어를 위한 방법 및 시스템
KR20160140474A (ko) * 2015-05-27 2016-12-07 내셔날 쳉쿵 유니버시티 샘플링 비율 결정 기법에 의한 계측 샘플링 방법 및 그 컴퓨터 프로그램 제품

Also Published As

Publication number Publication date
AU2001288856A1 (en) 2002-03-26
JP2004509407A (ja) 2004-03-25
CN1459052A (zh) 2003-11-26
EP1317694A2 (en) 2003-06-11
EP1317694B1 (en) 2004-08-04
US6988017B2 (en) 2006-01-17
WO2002023289A3 (en) 2002-08-29
CN1186700C (zh) 2005-01-26
TW563218B (en) 2003-11-21
DE60104705T2 (de) 2005-09-15
US20050221514A1 (en) 2005-10-06
KR100824443B1 (ko) 2008-04-23
DE60104705D1 (de) 2004-09-09
WO2002023289A2 (en) 2002-03-21

Similar Documents

Publication Publication Date Title
KR100824443B1 (ko) 반도체 제조의 개선된 제어를 위한 적응성 샘플링 방법 및 장치
US6819963B2 (en) Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
KR100727049B1 (ko) 마이크로전자 디바이스들의 제조시 최적의 공정 목표들을결정하는 방법
Hirai et al. Adaptive virtual metrology design for semiconductor dry etching process through locally weighted partial least squares
US9240360B2 (en) Run-to-run control utilizing virtual metrology in semiconductor manufacturing
Zeng et al. Virtual metrology modeling for plasma etch operations
Firth et al. Just-in-time adaptive disturbance estimation for run-to-run control of semiconductor processes
WO2006041543A1 (en) Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
JP2009075110A (ja) プロセスパラメータを分散に関連づける分散関数を用いた構造のプロファイルパラメータの決定
CN108369916B (zh) 晶片逐点分析和数据呈现
Lin et al. A virtual metrology scheme for predicting CVD thickness in semiconductor manufacturing
US6718224B2 (en) System and method for estimating error in a manufacturing process
Besnard et al. Virtual metrology modeling for cvd film thickness
TW202001409A (zh) 使用指紋和演進分析的方法
Tin et al. A realizable overlay virtual metrology system in semiconductor manufacturing: Proposal, challenges and future perspective
CN102301448B (zh) 半导体制造设备批次控制的背景条件偏差估计方法和系统
US6732007B1 (en) Method and apparatus for implementing dynamic qualification recipes
Bode et al. Run-to-run control and state estimation in high-mix semiconductor manufacturing
US7321993B1 (en) Method and apparatus for fault detection classification of multiple tools based upon external data
Jen et al. Combining on-line experiment and process control methods for changes in a dynamic model
Bao et al. A run-to-run controller for product surface quality improvement
Wang et al. A run-to-run control algorithm based on timely and delayed mixed-resolution information
Jen et al. Integration of run-to-run control schemes and on-line experiment to deal with the changes in semiconducting dynamic processes
McLoone et al. On optimising spatial sampling plans for wafer profile reconstruction
US7340318B1 (en) Method and apparatus for assessing controller performance

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130329

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140320

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20160318

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170317

Year of fee payment: 10