TW563218B - Adaptive sampling method for improved control in semiconductor manufacturing - Google Patents

Adaptive sampling method for improved control in semiconductor manufacturing Download PDF

Info

Publication number
TW563218B
TW563218B TW090122535A TW90122535A TW563218B TW 563218 B TW563218 B TW 563218B TW 090122535 A TW090122535 A TW 090122535A TW 90122535 A TW90122535 A TW 90122535A TW 563218 B TW563218 B TW 563218B
Authority
TW
Taiwan
Prior art keywords
process processing
model
sampling
controller
adaptive sampling
Prior art date
Application number
TW090122535A
Other languages
English (en)
Inventor
Alexander James Pasadyn
Anthony John Toprac
Michael Lee Miller
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Application granted granted Critical
Publication of TW563218B publication Critical patent/TW563218B/zh

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B21/00Systems involving sampling of the variable controlled
    • G05B21/02Systems involving sampling of the variable controlled electric
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B11/00Automatic controllers
    • G05B11/01Automatic controllers electric
    • G05B11/36Automatic controllers electric with provision for obtaining particular characteristics, e.g. proportional, integral, differential
    • G05B11/42Automatic controllers electric with provision for obtaining particular characteristics, e.g. proportional, integral, differential for obtaining a characteristic which is both proportional and time-dependent, e.g. P. I., P. I. D.
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B17/00Systems involving the use of models or simulators of said systems
    • G05B17/02Systems involving the use of models or simulators of said systems electric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Artificial Intelligence (AREA)
  • Health & Medical Sciences (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Software Systems (AREA)
  • Feedback Control In General (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • General Factory Administration (AREA)

Description

563218 經濟部智慧財產局員工消費合作社印製 1 A7 B7 ______ 五、發明說明(1 ) [發明背景1 1·發明範疇 本發明一般來說係關於半導體製造技術;更特定地 說,係關於一種用於半導體製造之監督及最適化方法。 2·相關技藝說明 在半導體工業中,有一怪常的驅動力,要提高積體電 路元件(如,微處理器、記憶元件,及類似物)的品質、 可靠性及產能。此驅動力係受激於消費者對更可靠操作, 而具更高品質的電腦及電子元件的需求。此等需求所致的 結果’則係不斷地改良半導體元件(如,電晶體)製造以 及併有如此的電晶體之積體電路製造。此外,在製造典型 電晶體的組件時,減少缺陷,也降低了每電晶體之總成本, 以及併有如此的電晶體之積體電路的成本。 半導體製程處理工具的基礎技術從過去幾年迄今已 逐漸引起注意,致而大有精進。然而,不管此領域如何進 步,許多目前市售的製程處理工具卻有一定的不足之處。 尤其,如此的工具經常欠缺先進製程資料監視能力,諸如: 以使用者親和格式來提供的歷史參數資料之能力,以及事 件登錄、目刖的製程處理參數和整個行程的製程處理參數 兩者之即時圖形顯示’及遠端(即’區域站台或全球)監 視’等能力。此等不足之處會使臨界製程處理參數(諸如 產量準確性、穩定性及可重複性、製程處理溫度、機械工 具參數’及類似參數)受非最適控制。此變化性所顯現的, I#程内的不均等性(disParity )、行程至行程的不均等性 本紙張'度適用中國國家標準(CNS)A4規(210 x 297公爱) ' ---—~ 91914 --------------------訂---------iAW (請先閱讀背面之注意事項再填寫本頁) 563218 經濟部智慧財產局員工消費合作社印製 2 A7 五、發明說明(2 ) 及工具至工具的不均等性,而會增衍成產品品質及性能偏 差,而用於如此工具之改良的監視暨診斷系統卻將提供對 此變化性的監視手段,以及提供臨界參數控制的最適化手 段。 行程至行程控制係實行於高體積、多產品之半導體製 把,並不易調合入傳統的製程控制途徑的架構之中。典型 的途控係定義-製程模型,具有一組給定狀態、輸入及輸 出。在一些情形下,模型是靜態的;在其他情形下,該模 型則歷時而變。在每一時間步驟,輸入及擾動影響該等狀 態,輸出則受量測。然後,控制器做更新,而製程重複。 此途徑不總為合用的一個原因,是經常有多個製程處理工 具以及多個產品。還有,在一製程的所有重要量測之中, 每一行程通常僅施行一部份量測。確定如何在此環境下做 控制器更新,乃會是一富挑戰性的任務。 行程至行程控制器倚賴於行程至行程一貫正確的製 程模型。在工具上所運行的各個不同的製程大有差異時, 因為改變成新的製程能呈現大擾動,所以控制器或許會有 意外的運作。此外,可採取一給定製程的幾個逐次的行程, 用以穩定控制器,但製造的約束條件也許有礙其發生。以 控制器來確定工具上所須運行的一切製程的的最適設定, 乃是合宜的’不論製程出現的次序如何。 展現此行為的系統,有一例為層間電介質(ILD )層 之化學機械平面化(CMP )。由於圖案化密度及製程處理歷 史的差異’每一「/ I品」組合係以不同的速度進行處 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公髮) 91914 ---------------------^---------^ (請先閱讀背面之注音?事項再填寫本頁) 563218 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(3 ) 理。此外,因每一產品皆有資格在幾個工具組上運行故 工具間的差異也引起系統性的變異。因此,諸多的控制問 題之一,是要為每一發生的「產品/層/工具」組合確定 其最適設定。此外,對控制器提供資訊而做的量測(諸如 出自產品晶圓之移除量的量測,及/或晶圓合格性事件的 量測)並不顧慮控制問題,係基於操作法則而以非同步區 間所提供。 其他有助於監視及控制的參數’是快速熱處理(RTP ) 的有關製程參數。如此的製程參數之例子,包括:在活化? 雜劑佈植(舉例而言)所做的快速熱處理(RTp )期間, 石夕晶圓及/或工件曝露所在的溫度及燈功率水準。快速熱 處理的性能以典型來說,隨著接連的製程行程而退化,部 伤係由於快速熱處理工具及/或快速熱處理感測器各別設 定的漂移。此或則引起逐次的行程或數批次或數批晶圓之 間的晶圓製程處理差異,致而在半導體製程中減小圓滿的 晶圓產能’降低可靠性,降低精確度且降低準確度。 然而’在半導體及微電子元件製造中,傳統的統計製 程控制(SPC )技術常不足以精確地控制快速熱處理的有 關製程參數’以便最適化元件的性能及良率。以典型來說, 統計製程控制技術係為快速熱處理的有關製程參數設一靶 值(target value),及該靶值附近之一展值(spread)。然 後’統计製程控制技術並不對各別輕值做自動調整及適 應’而係企圖最小化距該靶值之偏差,以最適化半導體元 件的性能’且/或最適化半導體元件的良率及產量。更且, I氏張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) --- 3 91914 -----------—--------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 563218 A7
4 91914 563218 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 5 A7 五、發明說明(5 ) 型來模型化該至少一個取樣的特性參數,而基於情況資 訊、上游事件和行程至行程控制器要求等至少盆一來變化 取樣,以處理取樣為動態控制環境之整合的部份。該方法 也包含:應用該適應取樣製程處理模型,來修正該至少一 個製程處理步驟中所施行的製程處理。 本發明之另-方面,係提供一種電腦可讀的程式儲存 7L件,以指令編碼而經電腦執行時施行一種方法該方法 包含:在至少-個製程處理步驟中,對工件上所施㈣製 程處理,取樣至少-個特性參數;及,使用一適應取樣製 程處理模型來模型化該至少一個取樣的特性參數,而基於 情況資訊、上游事件和行程至行程控制器要求等至少豆一 來變化取樣’以處理取樣為動態控制環境之整合的部ς。 該方法也包含:應用該適應取樣製程處理模型,來修正該 至J 一個製程處理步驟中所施行的製程處理。 /發明尚有另一方面,係提供一種電腦,經程式化來 方仃-種方法’該方法包含:在至少一個製程處理步驟中, 對工件上所施行的製程處理’取樣至少一個特性參數;及, 使用一適應取樣製程處理模型來模型化該至少一個取樣的 而基於情況資訊、上游事件和行程至行程控制 至f其一來變化取樣’以處理取樣為動態控制環 二型二份。該方法也包含:應用該適應取樣製程處 ^里,來修正該至少—個製程處理步驟中所施行的製程 _f明之另—方面,係提供-種系統,該系 過_國家標準(CN,S)A4規格⑽χ 297 _ -_ 糸統匕a 91914 --------^---------^ (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 6 563218 A7 ~ ^ ----- 五、發明說明(ό ) ^~: 工具,用來在至少一個制& 個裏程處理步驟中,對工件上所施行 的裝程處理,取樣其至少一個特性參數;電腦,使用適應 取樣製程處理模型來模型化該至少一個取樣的特性參數, 基於匱況貝成、上游事件和行程至行程控制器要求等至 夕其-來變化取樣,以處理取樣為動態控制環境之整合的 部伤。該系統也包含控制器,其應用該適應取樣製程處理 模型,來修正該至少一個製程處理步驟中所施行的製程處 理。 本發明尚有另一方面,係提供一種元件,該元件包含 取樣構件,用來在至少一個製程處理步驟中,對工件上所 施行的製程處理,取樣其至少一個特性參數;以及模型化 構件,使用一適應取樣製程處理模型來模型化該至少一個 取樣的特性參數,而基於情況資訊、上游事件和行程至行 程控制器要求等至少其一來變化取樣,以處理取樣為動態 控制環境之整合的部份。該元件也包含修正構件,其應用 該適應取樣製程處理模型,來修正該至少一個製程處理步 驟中所施行的製程處理。 [圖式之簡單說明1 對本發明之了解,可參見以下說明聯同附圖,附圖中 引用號數的最左一個(或幾個)有效數字表各別引用號數 所出現的第一個圖,且其中: 第1至30圖概要地例示依據本發明之製造方法的各 個不同的實施例;更特定地說: 第1及3至10圖概要地例示依據本發明之製造方法 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) ' --- 91914 --------^---------^ AW (請先閱讀背面之注意事項再填寫本頁) 563218 A7
經濟部智慧財產局員工消費合作社印製 五、發明說明(7 ) 的各個不同的實施例的流程圖; 第2圖以截面概要地例示AST SHS 2800快速熱處理 (RTP )工具,代表本發明的各個不同的例示實施例所用 者; 第11圖概要地例示依據本發明而實行的製造半導體 元件之方法; 第12圖概要地例示依據本發明,用製程處理工具行 製程處理時的工件,該製程處理工具使用複數個控制輸入 , 第13至14圖概要地例示第12圖中的製程及工具的 一個特定實施例; 第15圖概要地例示可用第13至14圖的製程及工具 來實行的,第11圖方法的一個特定實施例; 第16及17圖概要地例示各別快速熱處理資料組所用 的第一及第二「主要成分」; 第1 8及19圖以幾何概要地例示各別快速熱處理資料 組所用的「主要成分分析」;以及 第20至23圖以幾何概要地例示依據本發明,所做的 多項式最小平方配適(fitting ),距把值百分比偏差:假想 的最佳情形; 第24圖概要地例示對產品切換之模擬; 第25圖概要地例示距靶值百分比偏差:假想的最佳 情形; 第26圖概要地例示距靶值百分比偏差:「固定輸出」 --------------------訂---------線· (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 7 91914 563218 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(8 ) 情形; 第27圖概要地例示距靶值百分比偏差:「預測的輸出」 情形; 第28圖概要地例示距靶值百分比偏差:具額外合格 性之「預測的輸出」情形; 第29圖概要地例示距靶值百分比偏差:大尺度系統; 以及 第30圖為依據本發明各個不同的例示實施例之一製 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 造系統的簡化方塊圖。 [元件符號說明] 100 工件 105 製程處理工具 110 監視工具變數(監視步驟) 115 感測器資料 120 先進製程控制系統 125 輸出 130 以PID做適應取樣製程處理模型化 135 回饋 140 製程處理 145 製程處理程式調整 150 製程改變暨控制 155 回饋 160 系統通訊匯流排 200 快速退火工具 210 鹵燈 215 晶圓台 220 石英管 225 石英窗口 230 高溫計 1120-1140、1510、1520、1530、 1540、 > 1550 、 1560 、 1570 1205 工件(晶圓) 1210 、1310 製程處理工 1215、 1315 製程處理控制器 1200 、1300 裝置 1220、 1320 線 1230 電腦系統 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 8 91914 563218 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(9 ) 1235、 1335 資料庫 1240 光碟 1245 軟氏磁碟 1305 工具 1310 製程處理工具 1317 複查站 1330 工作站 1360 資料儲存器 1410 設備介面 1415 感測器介面 1430 機器介面 1440 先進製程控制(APC)系統管理器 3010 製造系統 3020 網路 3030至3080 工具 3070A至3070C 研磨工具 3090 製程控制伺服器 30100 資料庫伺服器 30110 資料儲存器 本發明易做各個不同的修正或替代形式,儘管其特定 實施例乃是由圖中之例所示出,且在本文中有詳細的說 明。應了解,本文中的特定實施例說明卻非意欲限制本發 明於所揭露的特定形式;反之,所希望的是涵蓋一切落在 附錄的專利請求項所定義的本發明精神及範圍之内的修正 等同及替代事物。
[特定實施例詳細說明I 以下說明本發明之例示實施例。為清楚起見,實際實 施的特徵並不全都說明於本說明書中。當然應了解,在開 發任何如此的實際實施例時,理當做出許多由實作所規定 的決策,來達成開發者的特定目標,諸如:遵行應用及業 務的有關約束,這些特定目標將隨實作而異動。並且應了 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 9 91914 563218 經濟部智慧財產局員工消費合作社印製 91914 A7 五、發明說明(10 ) 解,如此的開發工作將會是複雜而耗時的,但對獲益於本 揭露内容之普通熟習此項技藝者來說,卻是常規的任務。 第1至30圖中示出依據本發明之方法的例示實施 例。如第1圖所示,工件100 (諸如半導體基材或晶圓) 有零、一個或更多個製程層及/或半導體元件(諸如沉積 於其上的金屬氧化物半導體〔MOS〕電晶體),將工件ι〇〇 交付給製程處理工具105。在製程處理工具丨05中,舉例 來說’可在工件1 00上施行快速熱處理,諸如快速熱退火。 第2圖以截面概要地例示快速熱退火(RTA )工具200 (如’ AST SHS 2800快速熱退火工具),其可在依據本發 明之各個不同的例示實施例中用為快速熱處理工具1 〇 5。 本發明之各個不同的替代例示實施例可使用「應用材料公 司」(AMAT )所製造的快速熱退火工具(諸如centura® RTP)’該等工具的實體形式、用法及量測的參數都相當不 同’但卻可用為快速熱處理工具1〇5。本發明另外的各個 不同的替代例示實施例,可以使用蝕刻工具及/或平面化 工具及/或沉積工具,及類似物,來做為製程處理工具 105 ° 如第2圖所示,例示的快速熱處理退火工具2〇〇可使 用工件100之上及之下所配置的鹵燈210陣列,來加熱工 件100 (諸如半導體石夕晶圓而其上有零、一個或更多個製 程層形成)。工件100可配置在受鹵燈21〇陣列加熱的石英 管220内的石英針及晶圓台215上。晶圓台215可包含其 他組件,諸如AST Hot Liner™。對石英管220溫度之量測, 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 x 297公釐) --------------------1---------^ (請先閱讀背面之注意事項再填寫本頁) 563218 A7
五、發明說明(11 ) 可藉熱偶及/或高溫計23()來量測晶圓台215及/或分離 的高溫計(未示出)的ASTH〇tUnei^組件的溫度。石英 每220其中可配置有石英窗口 225,在晶圓台215之下。 b日圓口 215的AST Hot Liner™組件的溫度及(間接地)工 件1〇〇的溫度,可藉石英窗口 225之下所配置的高溫計 230,穿過石英窗口 225來量測。或者,配置在石英窗口 225之下的高溫計23〇可直接量測工件1〇〇的溫度。鹵燈 210的燈功率也可受監視及控制。 經濟部智慧財產局員工消費合作社印製 如第3圖所示,製程處理工具ι〇5可透過雙向連接, 經由系統通訊匯流排〗60而與監視步驟丨丨〇及其他製程處 理步驟140通訊。如第3圖所示,系統通訊匯流排ι6〇也 在製程處理工具105、監視步驟no及其他製程處理步驟 140與「先進製程控制」(APC)系統120之間,提供通訊, 以下有更完整的說明。 如第4圖所示,從製程處理工具1〇5發送工件i〇〇, 交付給監視步驟110。在監視步驟11〇中,可於一個或更 多個製程處理行程期間,監視及/或量測一個或更多個製 程處理工具變數及/或一個或更多個製程處理參數。如此 的工具變數及/或製程處理參數可包括一個或更多個高溫 計痕跡讀數(trace readings )、一個或更多個燈功率痕跡讀 數、一個或更多個管溫痕跡讀數、一個或更多個電流讀數、 一個或更多個紅外線(IR )訊號讀數、一個或更多個發光 光譜讀數、一個或更多個製程氣體溫度讀數、一個或更多 個製程氣體壓力讀數、一個或更多個製程氣體流率讀數、 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 11 91914 -------------1 (請先閱讀背面之注意事項再填寫本頁) 訂---------線一 563218 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 12 A7 B7 五、發明說明(12 ) 一個或更多個蝕刻深度、一個或更多個製程層厚、一個或 更多個電阻讀數’及類似的變數或參數。如第4圖所示, 監視步驟11 〇可透過系統通訊匯流排i 6〇,而與製程處理 工具105通訊。如第4圖所示,系統通訊匯流棑16〇也在 製程處理工具105、監視步驟11〇與先進製程控制系統12〇 之間’提供通訊’以下有更完整的說明。 如第5圖所示,工件1〇〇從監視步驟11〇進行至其他 製程處理步驟140。在其他製程處理步驟14〇中,可施行 其他製程處理於工件100上,而產生完工的工件1〇〇。在 替代的例示實施例中,發送自監視步驟110之工件100即 可為完工的工件100;在此情形下,則毋需其他製程處理 步驟140。如第5圖所示,其他製程處理步驟14〇可透過 系統通訊匯流排160,而與監視步驟11〇通訊。如第5圖 所示,系統通訊匯流排1 60也在監視步驟〗丨〇、其他製程 處理步驟140與先進製程控制系統12〇之間,提供通訊, 以下有更完整的說明。 如第6圖所示,係從監視步驟工丨〇發送受監視的感測 器資料115,交付給「先進製程控制」系統12〇。如第6 圖所示,「先進製程控制」系統12〇可透過系統通訊匯流排 1 60,而與監視步驟i丨〇通訊。將受監視的感測器資料〗i 5 父付、…先進製程控制系統丨2〇,係產生輸出訊號工25。 。如第7圖所示,係從先進製程控制系統12〇發送輸出 訊號\125,交付給用到模型預測式控制(MPC)或比例積 刀微刀」調步驟13〇所做的適應取樣製程處理模 91914 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 563218 經濟部智慧財產局員工消費合作社印剔衣 A7 B7 五、發明說明(13 ) 型化。在該用到模型預測式控制或比例積分微分微調步驟 1 30所做的適應取樣製程處理模型化中,對於製程處理工 具105中的工件100上所施行的製程處理,可將受監視的 感測器資料115用於適當的適應取樣製程處瑝模型。在本 發明各個不同的替代例示實施例中,可提供適應取樣製程 處理模型化步驟130,而不用到模型預測式控制或比例積 分微分微調。 舉例來說,如此的適應取樣製程處理模型可處理取樣 為先進製程控制系統動態控制環境之一整合的部份,藉而 使取樣度量衡大為有效地改良。寧可不應用靜態r最適」 取樣率,而處理取樣為基於下列條件而升高或下降的動態 變數:(1)情況資訊,諸如最近資料變異中的變異量及/或 改變率;(2)事件,諸如操作製程上游中的保養及/或改 變;(3)及閉環行程至行程控制器在其控制模型參數識別方 案中的要求。在一適應取樣製程處理模型中使用受監視的 感測器資料115,係產生一個或更多個製程處理程式 (processing recipe )調整 145° 在各個不同的例示實施例中,可以藉各種不同的例示 技術來建造一個適應取樣製程處理模型,以下有更完整的 說明。也可於一個或更多個製程處理行程期間,藉監視一 個或更多個製程處理工具變數及/或一個或更多個製程處 理參數’來形成如此一適應取樣製程處理模型。如以上所 說明’如此的製程處理工具變數及/或製程處理參數,其 例可包括一個或更多個高溫計痕跡讀數、一個或更多個燈 --------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 13 91914 563218 A7 B7 五、發明說明(I4 ) (請先閱讀背面之注意事項再填寫本頁) 功率痕跡讀數、一個或更多個管溫痕跡讀數、一個或更多 個電流讀數、一個或更多個紅外線(IR )訊號讀數、一個 或更多個發光光譜讀數、一個或更多個製程氣體溫度讀 數、一個或更多個製程氣體壓力讀數、一個或更多個製程 氣體流率讀數、一個或更多個蝕刻深度、一個或更多個製 程層厚、一個或更多個電阻讀數,及類似的變數或參數。 在此等各個不同的例示實施例中,適應取樣製程處理模型 之建造可包括下列方式至少其一,用以配適所收集的製程 處理資料:多項式曲線配適、最小平方配適、多項式最小 平方配適、非多項式最小平方配適、加權最小平方配適、 加權多項式最小平方配適、加權非多項式最小平方配適、 「部份最小平方」(PLS )及「主要成分分析」(PC A ),以 下有更完整的說明。 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 在各個不同的例示實施例中,適應取樣製程處理模型 可併有至少一個模型預測式控制控制器,或至少一個比例 積分微分控制器,而控制器有至少一個微調參數。在此等 各個不同的例示實施例中,對於製程處理,適當的適應取 樣製程處理模型可併有至少一個閉環模型預測式控制控制 器’或至少一個閉環比例積分微分控制器,而控制器有至 少一個微調參數。模型預測式控制控制器或比例積分微分 控制器的微調參數可基於一個目標函數來最適化,而該函 數係最小化製程處理工具1〇5中的工件1〇〇上所施行的製 程處理不宜要的條件。 最適控制問題是要確定極值化(最小化或最大化 563218 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(I5 ) 個目標函數而滿足系統模型的約束條件及任何附加的製程 要求,這樣的一組輸入。以數學來說明之,此乃呼/(x,W)而 受約束條件細㈣’其中X表示系統狀態變數(諸如距 靶值之偏差、參數估計之不準性、所需材料的成本,及類 似變數),W表示一個或數個可變更的輸入,^表示時間, 而〗·標示約束條件。此等數學關看似非常簡單,但卻非常 普遍而不限說明簡單的系統。該等約束條件方程式可包括 支配一個或數個製程之微分方程式及/或差分方程式,以 及強加於該(等)輸入及狀態之操作限制。 對於大多數真實的製程,此問題導致一組帶有混合型 邊界條件之非線性微分方程式。一些簡單的製程模型,已 有最適解經推導出。如此的問題有一類為線性(模型)二 次(目標函數)高斯型(噪訊)系統(LQG系統)。對於 線性二次高斯型系統,可推導一個最適的控制器。一般來 說,對於實際的製程,次適的(sub-〇ptimal )控制器必須 足敷使用,乃因「真正的」系統模型或係未知及/抑或太 複雜而無法有解析解。有一個解決途徑,是假設系統為一 線性二次高斯型系統,而用對應的線性控制器做為近似 解。 舉例來說,可設計一個模型預測式控制控制器或一個 比例積分微分控制器,生成引起一些校正工作量之輸出, 其則應用於製程處理工具1〇5中的工件1〇〇上所施行的製 程處理’以驅動一個或更多個可量測的製程處理工具變數 及/或一個或更多個製程處理參數,趨近其各別所要的值 表紙張尺度適用中國國家標準(CNS)A4規格(210x297公® ) _ 一 -------- 15 91914 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 563218 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(16 ) (通稱為設定點)。該模型預測式控制控制器或該比例積分 微分控制器可監視及//或量測及/或觀察設定點與各別的 一個或數個製程處理工具變數及/或一個或數個製程處理 參數之量測之間的誤差,藉而生成該弓I起校正工作量之輸 出。 舉例來說,一個比例積分微分控制器可查看目前的誤 差值e⑺、誤差e⑺在一整個最近的時間區間上之積分, 及誤差e⑴關於時間之目前的微分導數值,來確定須做多 少校正及須做多久。將這些項皆乘以一個各別的微調常數 並一起相加’則生成比例積分微分控制器的目前輸出 CO⑺,給為表示式COW^,其中尸為比 例微調常數,/為積分微調常數,/)為微分微調常數,而 誤差e W係時間為ί時设定點57^⑺與製程變數厂…之間 的差,尸厂以。如果目前誤差epj大及/或誤差 己⑺已大了很久及/或目前誤差e 快速改變,則目前的控 制1§輸出⑺也會是大的。然而’如果目前誤差e…小, 誤差e⑺已小了很久,且目前誤差β w緩慢改變,則目前 的控制器輸出C<9⑺也會是小的。 在各個不同的替代例示實施例中,比例積分微分 (I>ID )控制器的目前輸出C0⑺可給為替代表示式 ⑽=/>μ+0_μ〇(〇3,其中p為總微調常數巧 為積分時間微調常數,L為微分時間微調常數,而誤差 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 16 91914 563218 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(17 ) 係時間為ί時設定點S尸⑴與製程變數户厂⑴之間的差, e⑺=57Υ〇-尸F(%)。在此等替代例示實施例中,當改變為設 定點SjP(%)時,比例積分微分(PID )控制器的目前輸出C0㈨ 會有較少的突然改變,此係對製程變數尸吖~的時間微分導 數之相依性所致,而非對誤差e厂⑺的時間微分 導數之相依性。 比例積分微分控制器的目前輸出C<9⑺微調常數/>、J 及/),及/或尸、h及匕,可做適當微調。妄用大的微調 常數值P、/及D,及/或尸、6及匕,或許會放大誤差 已⑺,而過補償(overcompensate)且過衝(overshoot)該 (等)設定點。用比較小的微調常數值P、/及/),及/或 尸、匕及L,減小誤差e⑴或則太過緩慢而不足以補償 (undercompensate )且下衝(undershoot)該(等)設定 點。經適當微調的比例積分微分控制器的目前輸出CO⑴ 微調常數P、/及/),及/或尸、6及匕,可在此二極端 之間。比例積分微分控制器的目前輸出CO⑴微調常數p、 /及Z),及/或户、及可做採解析途徑的較嚴格數學 模型化’以嘗試錯誤為用來適當地微調(以下有更完整的 說明),及/或運用諸如塞格勒一尼可斯(Ziegler-Nichols) 「開環」(open loop )及「閉環」微調技術。 在用到模型預測式控制或比例積分微分微調步驟13〇 所做的適應取樣製程處理模型化之中,受監視的感測器資 料115之適應取樣製程處理模型化可用來變更工程師的要 求,而調整各個不同的製程處理步驟任一個之中所施行的 本紙張尺度適用中國國豕標準(CNS)A4規格(210 X 297公爱) 17 91914 (請先閱讀背面之注意事項再填寫本頁) ί·^ ---訂---- s'. 563218 A7 經濟部智慧財產局員工消費合作社印製 B7 五、發明說明(18 ) 製程處理,諸如在製程處理工具105及/或其他製程處理 步驟140之中。工程師也可變更及/或調整(舉例來說) 供製程處理工具105施行製程處理用之設定點;及/或監 視步驟110中所監視及/或量測的一個或數個製程處理工 具變數及/或一個或數個製程處理參數。 如第8圖所示,從用到模型預測式控制或比例積分微 分微調步驟130所做的適應取樣製程處理模型化,可發送 回饋控制訊號135至製程處理工具105,來調整製程處理 工具105中所施行的製程處理。在各個不同的替代例示實 施例中,可以從用到模型預測式控制或比例積分微分微調 步驟130所做的適應取樣製程處理模型化,發送回饋控制 訊號135至其他製程處理步驟140任一個,來調整其他製 程處理步驟140任一個之中所施行的製程處理;舉例來 說,係透過系統通訊匯流排160,而在製程處理工具1〇5、 監視步驟110及其他製程處理步驟140與先進製程控制系 統120之間,提供通訊,以下有更完整的說明。 如第9圖所示,從用到模型預測式控制或比例積分微 分微調步驟130所做的適應取樣製程處理模型化,在回饋 控制訊號135之外,可加行發送或替之以一個或更多個製 程處理程式調整145及/或一整個基於此分析之適當的處 理程式(recipe),至製程改變暨控制處理步驟15〇。在製 程改變暨控制處理步驟150中’一個或更多個製程處理程 式調整145可在高階監督控制環中使用。此後則如第ι〇 圖所示’從製程改變暨控制處理^ 15〇可發送回饋控制 18 91914 --------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 563218 經濟部智慧財產局員工消費合作社印製 19 A7 五、發明說明(N ) 訊號I55至製程處理工具IQ5,來調整製程處理工具1〇5 中所施行的製程處理。在各個不同的替代例示實施例中, 可以從製程改變暨控制處理步驟i 5〇發送回饋控制訊號 I55至其他製程處理步驟14〇之任一個,來調整其他製程 處理步驟140之任一個之中所施行的製程處理;舉例來 說’係透過系統通訊匯流排16〇,而在製程處理工具1〇5、 監視步驟110及其他製程處理步驟14〇與先進製程控制系 統120之間’提供通訊,以下有更完整的說明。 在各個不同的替代例示實施例中,工程師可具備先進 製程貧料監視能力,諸如··以使用者親和格式來提供的歷 史參數資料之能力,以及事件登錄、目前的製程處理參數 和整個行程的製程處理參數兩者之即時圖形顯示,及遠端 (即,區域站台或全球)監視,等能力。此等能力會使臨 界製程處理參數(諸如產量準確性、穩定性及可重複性、 裝程處理/m度、機械工具參數,及類似參數)受更加的最 適控制。此對臨界製程處理參數之更加的最適控制,減小 了變化性。此變化性之減小所顯現的,為其本身較小的行 程内的不均等性、較小的行程至行程的不均等性及較小的 工具至工具的不均等性。此等會增衍的不均等性之減小乃 意指較小的產品品質及性能偏差。依據本發明製造方法之 如此-例示實施例中,可以提供監視暨診斷系統,來監視 此變化性並最適化對臨界參數之控制。 第11圖例示依據本發明而實行之方法1100的特定實 施例。第12圖例示可用來實行方法1100之裝置1200 〇 ^紙張尺度適用中國國家標準(CNS)A4規格(21G X 297公髮)—------------ 91914 ____________--------訂--------- (請先閱讀背面之注意事項再填寫本頁) _ 563218 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(20 ) 清楚起見,且為進一步了解本發明,將要以裝置1200為背 景來揭露。然而,本發明並不受此限制,而允許廣泛的變 異’以下有進一步說明。 現在參見第11圖以及第12圖,一批次或一批工件或 晶圓1205正經由製程處理工具121〇而在製程處理中。製 程處理工具1210可為此項技藝所知的任何製程處理工 具’特別是含必要的控制能力之製程處理工具。製程處理 工具1210包含製程處理控制器m5供此控制目的之用。 製程處理控制器1215的本質及功能將由實作所規定。 以實例來說,製程處理控制器12 1 5可控制製程處理 控制輸入參數,諸如製程處理程式控制輸入參數及/或設 定點。第12圖中示出四個工件1205,但該批工件或晶圓 (即,「晶圓批」)可為任何可實行之晶圓數,自一個至任 何有限數目。 方法1100伊始,如盒112〇所述,係對製程處理工具 1210中的工件1205上所施行的製程處理,取樣其一個或 更多個特性參數。特性參數的本質、識別及量測將率由實 作所規定,甚至由工具所規定。以實例來說,對製程參數 監視之能力’某種程度上係隨工具而變異。較強的感測能 力可容許所識別及量測的特性參數有較寬闊的自由範圍及 對此的做法。反之,較弱的感測能力或許會拘限此自由範 圍。其次’關於工件溫度及/或燈功率及/或退火時間及 /或製程氣體溫度及/或製程氣體壓力及/或製程氣體流 率及/或射頻(RF)功率及/或蝕刻時間及/或偏加電壓 --------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 20 91914 563218 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 A7 五、發明說明(21 ) 及/或沉積時間,及類似條件等,諸如其製程處理程式控 制輸入參數及/或設定點之製程處理控制輸入參數,可能 直接影響由工件U05所產出的可用半導體元件的有效良 率 〇 。其次見冑12 ® ’在此特定實施例中,係以工具感測 器(未不出)來量測及/或監視做製程處理之製程特性參 數。此等工具感測器的輸出經線122〇而傳輸至電腦系統 mo。電腦系、统1230分析此等感測器輸出來識別特性參 數。 、回到第1 1圖,一旦特性參數經識別及量測,則繼續 進行方法1100’用一適應取樣製程處理模型(以下有更完 的說月)來模型化該(等)經量測及識別的特性參數, 如盒1130所述。第12圖中的電腦系統1230在此特定實施 例中,係經程式化來模型化該(等)特性參數。此模型化 之做法將由實作所規定。 在第12圖之實施例中,資料庫1235儲存複數個或將 可能有所應用的模型,視所量測的是哪個特性參數而定。 此特定實施例對可能將量測的特性參數乃需有一些先驗的 知識。然後電腦系、統123〇自可能模型資料庫1235祿取— 適用於該量測的特性參數之適當模型。如果資料庫 不含適當的模型’則該特性參數可忽略,或電腦系統⑵〇 可試圖發展一個適當的模型(如果係如此地程式化)。資料 庫1235可儲存在任合種類的電滕可讀的程式儲存媒體 ,上’諸如光確1240、軟式磁碟1245,或電腦系絲丨& 本紙張尺度適用中國國家標準(CNb)A4規格⑵㈣π公复)-----II--、 21 91914 --------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) A7 563218 五、發明說明(22 ) 硬式磁碟驅動機(未示出)。資料庫1235也可儲存在一個 與電腦系統1 230相介接之分離的電腦系統(未示出)上。 對量測的特性參數之模型化,在替代實施例中可做不 同的實作。以實例來說,電腦系統123〇可用一些人工智藥 形式而程式化,來分析感測器輸出及控制器輸入,在一 ^ 時實作中發展一拍繩式(on-the-fly)模型。此途徑或將是 對第12圖的例示實施例之有用的修飾(如以上所討論); 在第12圖的例示實施例中,對於所量測及識別的特性參 數,資料庫1235並無適當的模型。 然後繼績進行第11圖之方法1100,應用該模型來修 正至少一個製程處理控制輸入參數,如盒1140所述。視實 作而疋’模型之應用或係為製程處理控制輸入參數產出一 新值,抑或對現存的製程處理控制輸入參數做出校正。在 各個不同的例示實施例中,可儲存多個控制輸入處理程 式,且可基於一個或更多個確定的參數而選取此等處理程 式其中適當的一個。然後將該新的製程處理控制輸入,從 模型所產出之值寫成式子,並經線1220而傳輸至製程處理 控制器1215。製程處理控制器1215再依據該新的製程處 理控制輸入,來控制接續的做製程處理之製程操作。 一些替代實施例可能使用一回饋形式,來改良特性參 數之模型化。此回饋實作係依賴於若干不均等的事實,包 括工具的感測能力及用度。對此的一個技術,是對模型的 實作效果監視至少其一,並基於該(等)監視下的效果來 更新模型。該更新或許也依賴於模型。以實例來說,線性 本紙張尺度適用中國國家標準(CNS)A4規格(210x297公釐) "~ 22 91914 ---------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 563218 A7 經濟部智慧財產局員工消費合作社印製 五、發明說明(23 ) 模型之更新或須有異於非線性模型在一切其他因素都相同 的情形下所將做的。 如以上时論所顯見的,本發明的一些特徵可在軟體之 中實作。以實例來說,第1 1圖之盒J丨2〇至丨i 4〇中所陳述 的行動,在該例示實施例中係全部或部份為軟體實作。如 此,本發明的一些特徵係實作為電腦可讀的程式儲存媒體 上所編碼的指令。該程式儲存媒體可有任何適於該特定實 作之型態。然而,該程式儲存媒體典型將可為磁性的(諸 如軟式磁碟1245,或電腦1230的硬式磁碟驅動機〔未示 出〕,或為光學的(諸如光碟1240)。此等指令經電腦執行 時,乃施行所揭露的功能。該電腦可為桌上型電腦,諸如 電腦1230。然而,該電腦或者將可為嵌在製程處理工具 1210中的處理器。該電腦在各個不同的其它實施例中,將 也可為膝上型電腦、工作站或主機。本發明之範圍並不受 限於其實施例所將可用以實作的程式儲存媒體或電腦的型 態或本質。 如此,本文中詳細說明的一些部份係就(或可就)演 算法、功能、技術及/或製程等術語來呈現。此等術語使 熟習此項技藝者最為有效地傳達其工作實質,給其他熟習 此項技藝者。此等術語在此處或就一般來說,咸信為自洽 而導致所要的結果。該等步驟要求對物理量 通常(但非必要),此等物理量係取能儲存、 比較,否則即能做調處之電磁訊號形式。 以位元、值、元素、符號、字符、項、數目, 的步驟順序 做物理調處 轉移、組合 已證實 Μ氏張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 23 91914 --------訂--------- S, (請先閱讀背面之注意事項再填寫本頁) 563218 經濟部智慧財產局員工消費合作社印製 A7 ---------—_§1_____ 五、發明說明(24 ) 及類似形式來提及此等訊號,有時是方便的,主要是為了 么共用法之理由。此等及類似的術語都與適當的物理量聯 合,且僅係應用於此等物理量及作用之方便標記。除非另 有規疋載明,或是從討論顯見,否則本文中之用辭,諸如 「製程處理」、「計算」、「算出」、「確定」、Γ顯示」,及類似 之辭在提及時,係指電腦系統或類似的電子及/或機械計 算元件的一㈣數個作用及製程,其係、將資料(表示為該 電腦系統的暫存器及//或記憶體内的物理〔電磁〕量)調 處並變換成其他資料(類似表示為該電腦系統的記憶體及 /或暫存器及/或其他如此的資訊儲存、傳輸及/或顯示 元件内的物理〔電磁〕量 二之建攝一在第13至14圖中,例示了第12 圖中的裝置1200之例舉的實施例13〇〇,其中裝置13〇〇包 含先進製程控制系統的一部份。第13至14圖係經概念化, 而分別為裝置1300的結構及功能方塊圖。一組製程處理步 驟施行於製程處理工具131〇上的一批工件13〇5上。因為 裝置1300是先進製程控制系統的部份,工件13〇5係在行 程至行程基礎上做製程處理。如此,於行程期間係基於行 程級上的量測或平均來做製程調整,並維持其為恆定。一 行程」可以是一批、數批之一批次,甚或個別晶圓。 在此特定實施例中,工件1305係藉製程處理工具131〇 來做製程處理;且製程中各個不同的操作係藉製程處理工 1310與工作站1330之間的線1320上的複數個製程處理 & 輸入訊號來控制。用於此實施例之例舉的製程處理控 --------訂--------- 華 (請先閱讀背面之注意事項再填寫本頁) 本紙張K度適用中國國家標準(Cns)A4規格(210 x 297公釐) 24 91914 563218 A7 五、發明說明(25 ) 制輸入將可包括工杜、、田命 、、田产、製程^^/率、退火時間、製程氣體 /孤度裝程乳體壓力、製程氣體流率、射頻(rf)功率、 蚀刻時間、偏加雷懕、、^ (請先閱讀背面之注意事項再填寫本頁) 黾壓〉儿積時間,及類似條件等之^L贫 所用的製程處理控制輪入。 叹疋點 經濟部智慧財產局員工消費合作社印製 *製程處理卫具131()中之製程步驟結束時,在製程 處理工具13U)中正做製程處理的半導體卫件乃在複 查站1317接受檢查。複查站i3i7不必屬製程處理工具 1310的部份,而可為(舉例來說)分離的工具及/或站台。 製程處理控制輪入一般係影響半導體工件1305在複查站 1317處所量測的的特性參數,從而影響製程處理工具 對工件1305所施行動的可變性及性質。一旦誤差在一批工 件1305的行程後經檢查而確定,則線132〇上的製程處理 控制輸入即修正而供一批工件13〇5的接績行程用。對線 1320上的控制訊號之修正,係設計來改良製程處理工具 1310所施行的次一製程處理。該修正係依據第11圖所述 方法1100的一個特定實施例而施行,以下有更完整的說 明。一旦製程處理工具131〇的相關製程處理控制輸入訊號 更新’則該等新設定之製程處理控制輸入訊號即用於半導 體元件之接續行程。 現在參見第13及14圖,製程處理工具1310係與製 造機架通訊,而該製造機架包括製程處理模組網路。如此 的模組,有一是常駐於電腦134〇上的「先進製程控制」 (APC )系統管理器1440。此製程處理模組網路構成該先 進製程控制系統。製程處理工具1310 —般包含設備介面 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 25 91914 經濟部智慧財產局員工消費合作社印製 563218 A7 ~--------B7 _ 五、發明說明(26 ) "'—'—" 141〇及感測器介面1415。機器介面143〇常駐在工作站 isso上。電腦1340橋接先進製程控制機架(如,先進製 程控制系統管理器1440)與設備介面1410之間的間隙。 如此,機器介面1430使製程處理工具131〇與先進製程控 制機架相介,且支援機器設置、啟動、監視及資料收集: 感測器介面1415提供適當的介面環境,與外部感測器(諸 Lab View ,或其他基於感測器匯流排之資料獲取°軟體) 通訊。機器介面1430以及感測器介面1415兩者都使用一 組功能性(諸如通訊標準),來收集將要用到的資料。設備 介面1410及感測器介面1415係經線132〇,而與工作站 1330上常駐的機器介面ι43〇通訊。 更特定地說,機器介面1430接收命令、狀況事件, 並從設備介面1410收集資料,必要時且轉交此等資料給其 他的先進製程控制組件及事件通道。其次,來自先進製程 控制組件之回應則為機器介面143〇所接收,並重新選路至 設備介面1410。機器介面143〇於必要時也重新格式化並 重新結構化訊息及資料。機器介面143〇支援先進製程控制 系統管理器1440之内的起動//關機程序。其也充做先進製 程控制資料收集器之用,藉設備介面141〇而緩衝所收集的 資料’並發射適當的資料收集訊號。 在例不的特定實施例中,先進製程控制系統係一全廠 14的軟體系統,但此點對本發明之實行並非必要。本發明 所傳授的控制策略事實上能應用於廠地上的任何半導體製 € 4 $I具。其實,本發明可在同一廒中,或在同一製程 --------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準規格(210 X 297公髮) 26 91914 563218 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(27 ) 中同時性地用於多個製程處理工具。先進製程控制機架容 許遠端存取及監視製程性能。而且,利用該先進製程控制 機架,資料儲存能比區域驅動器上的資料儲存來得更方 便、更具彈性且更便宜。然而,在一些替代實施例中,本 發明可在區域驅動器上使用。 本例示實施例利用若干軟體組件,將本發明開展至該 先進製程控制機架上。除了先進製程控制機架之内的組件 之外,尚為該控制系統中所含的每一半導體製程處理工具 寫就電腦直敘語言程式(script )。當控制系統中之半導體 製程處理工具在半導體製造晶圓廠中起始時,該半導體製 程處理工具一般係要求直敘語言程式,來初始製程處理工 具控制器所需的作用。控制方法一般係用此等直敘語言程 式來定義及施行。此等直敘語言程式之開發,可能包含控 制系統開發的重大部份。 在此特定實施例中,有若干分離的軟體直敘語言程 式,其所涉及的任務係控制製程處理操作。有一個直敘語 言程式用於製程處理工具1310 (含複查站1317及製程處 理工具控制H 1315)。也有一直敘語言程式,用來操縱獲 自複查站1317之現實資料;另有一直教語言程式,含任何 其他直敘語言程式所能引用的共用程序。也有一直敘語言 程式,用於「先進製程控制」(APC)系統管理胃144〇。 二而,直敘s吾言程式的精確數目係由實作所規定替代的 實施例可以用別的直敘語言程式數目。 _装κ操作-第15圖例示了第11圖中的方法 本紙張尺度適用國家標準(CNS)A4規格(210 X 297公餐)—~~ ------ 27 91914 --------^--------- (請先閱讀背面之注意事項再填寫本頁) A7 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格⑵Q x 297公餐- 28 563218 五、發明說明(28 1100之一個特定實施例1500。方法150〇可用第13至14 圖所例示的裝置1300來實行,但本發明並不受此限制。方 法1500可用任何可以施行第15圖所述功能之裝置來實 行。而且,第11圖中的方法1100可在實施例中實行,以 之替代第15圖中的方法1500。 現在參見第13至15圖,方法丨500伊始,如盒151〇 所述,係經由一製程處理工具(諸如製程處理工具131〇) 而對一批工件1305做製程處理。在此特定實施例中,製程 處理工具1310係藉先進製程控制系統管理器144〇,經由 機器介面1430及設備介面141〇而初始化,供製程處理用。 在此特定實施例中,係於製程處理工具131〇運行之前,呼 Η先進製程控制系統管理器直敘語言程式,來初始化製程 處理工具1310。在此步驟,該直敘語言程式記錄製程處理 工具1310的識別號及工件13〇5的批號。然後該識別號與 該批號對照,而儲存於資料儲存器136〇。該直敘語言程式 的其餘部份,諸如APCData呼叫及Setup和StartMachine 呼叫,則寫為空白或虛設資料袼式,俾強迫機器使用内定 的設定。 做為此初始化的部份,係經線132〇,對製程處理工具 控制器1315提供初始設定點,供製程控制之用。此等初始 设定點可藉此項技藝所知的任何合適方式來確定及實作。 在此情形下,乃經由大致相同或類似於目前晶圓批之背景 或條件,對一個或更多個晶圓批做了製程處理;且使用複 查站1317,為其量測了一個或數個製程處理誤差。當此資 91914 --------^--------- (請先閱讀背面之注意事項再填寫本頁) 563218 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(29 ) 訊存在時,則從資料儲存器1360檢索:自該(等)量測的 誤差及/或偏移(bias )所蒐集的狀態估計。然後將此等 從狀態估計計算出的製程處理控制輸入訊號設定’下載至 製程處理工具1310。 工件1305係經由製程處理工具1310而做製程處理。 此包含(在所例示的實施例中):使工件1305接受快速熱 退火。工件13 05在製程處理工具1310上經製程處理之後, 在複查站131 7上受量測。複查站1317,在工件1305經製 程處理後,檢查其若干誤差,諸如距該靶值之偏差(諸如 膜厚、蝕刻深度,及類似偏差)。複查站1 3 1 7的儀器所生 成的資料透過感測器介面1415及線1320,而通到機器介 面1430。複查站直敘語言程式始自若干「先進製程控制」 (APC )命令,用以收集資料。然後複查站直敘語言程式 自鎖定就位,並啟動資料可取用的直敘語言程式。此直敘 語言程式促進資料從複查站1317至先進製程控制機架的 實際轉移。一旦轉移完成,該直敘語言程式即離開並解除 該複查站直敘語言程式的鎖定。與複查站13 π的交互作用 一般來說乃告完成。 如獲益於本揭露内容之熟習此項技藝者所將了解 的,複查站1317所生成的資料應做前製程處理,以供使 用。複查站(諸如KLA複查站)提供控制演算法,用以量 測控制誤差。每一誤差量測,在此特定實施例令皆以直接 方式對應於線1320上的一個製程處理控制輸入訊號。一般 來說’在誤差能利用來校正該製程^理控制輪入;號之 91914 ---------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 29 563218 A7 五、發明說明(30 ) 則’一定量的前製程處理已告完成。 (請先閱讀背面之注意事項再填寫本頁) 舉例來說,前製程處理可包括廢值拒絕(outlier rejection)。廢值拒絕乃是一總的誤差查核,確保所接收的 資料徵諸製程的歷史性為合理的。此程序涉及:比較每一 製程處理誤差與其所對應的預定邊界參數。在某一個實施 例中,所逾越的縱然僅是預定的邊界其中一個,通常還是 會拒絕整個半導體晶圓批的誤差資料。 為癌定廢值拒絕的限制,可收集數千個實際的半導體 製造(「晶圓廠」)資料點。然後算出此收集的資料中每一 誤差參數的標準偏差。在某一個實施例中,對於廢值拒絕, 一般係選九倍標準偏差(正的以及負的)來做為預定的邊 界。此做法主要係為確保,僅拒絕顯在製程的正常操作條 件之外的點。 經濟部智慧財產局員工消費合作社印製 前製程處理也可平滑化資料,此亦通稱為過濾 (filtering )。過濾是重要的,因為誤差量測有一定量的隨 機性而使得誤差在值上大有偏差。過濾複查站資料,在製 程處理控制輸入訊號設定中會有較為準確的誤差評價。在 某一個實施例中,製程處理控制方案係利用通稱為「指數 加權移動平均」(EWMA)過濾器之過濾程序,但其他的過 濾器也能在此背景下使用。 方程式(1)表示EWMA過渡器的一個實施例: AVGn=W*Mc+(1-W)*AVGp ⑴ 其中 AVGN Ξ新的E WMA平均; 91914 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 563218 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(31 對新的平均(avgn)之權重;
Mc三目前的量測;及 AVGPs先前的EWMA平均 權重為可調整的參數,能用來控制過濾量,一般係在 零與一之間。該權重表示對目前資料點準確性的信賴 (fidencO。如果視量測為準確,則權重應近於一。如 果製程中有重大的漲落量,則近於零之數會是適當的。 在某一個實施例中,有至少二種技術,係利用ewma 過濾製程。第一種技術使用以上所說明的先前平均、權重 及目刚量測。利用第一實作,優點包括使用方便性及最小 的資料儲存。利用第一實作,有一個缺點:此方法一般並 不保留很多製程資訊。而且,以此方式所算出的先前的平 均將會由每一先行的資料點組成;此或許並不合宜。第二 種技術則僅保留一些資料,且每次皆由未處理的資料算出 平均。 在半導體製造晶圓廠的製造環境中,有一些獨特的挑 戰。半導體晶圓批經由一製程處理工具而做製程處理,其 次序或許並不對應其在複查站上的讀出次序。因此將會有 另外的資料點附加至脫序的EWMA平均。對半導體晶圓批 可做一次以上之分析,來驗證誤差量測。兩個讀數都將貢 獻給EWMA平均,而無資料保存;此點或為不合宜的特 性。而且,一些控制串線(threads )或許有小卷(volume ); 此則可能使先前的平均過時,以致先前的平均或許不能準 確地表示製程處理控制輸入訊號設定中的誤差。 木紙張尺度適用中國國家標準(CNS)A4規格⑵Οχ 297公髮) --------------- 31 91914 --------訂--------- (請先閱讀背面之注意事項再填寫本頁) 563218 A7 五、發明說明(32 ) (請先閱讀背面之注意事項再填寫本頁) 製程處理工具控制器1315,在此特定實施例中係使用 有限的資料鍺存來算出EWMA過濾的誤差(即,第一種技 術)°晶圓批資料(包括批號)、該批做製程處理之時間, 及多個誤差估計,係儲存於資料儲存器1360中而在控制串 線名稱之下。收集一組新的資料時,乃從資料儲存器1360 檢索該資料堆疊並分析之。比較目前在製程處理中之晶圓 批的批號與該堆疊中的批號。如果該批號匹配堆疊中現有 的任何資料,則誤差量測遭取代。否則,該資料點係根據 晶圓批做製程處理的時期,依時序而加至目前的堆疊。在 某一個實施例中,係移除堆疊之内任何逾12 8小時的資料 點。一旦完成前述步驟,則算出新的過濾平均,並將之儲 存至資料儲存器1360。 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 如此’資料經收集及前製程處理,再經製程處理而對 製程處理控制輸入訊號設定中的目前的錯誤,生成一估 計。首先,資料通到編譯過的Matlab@n掛程式,該外掛 程式係施行以上所說明的廢值拒絕判據。對外掛程式介面 輸入的,是多個誤差量測及含陣列之邊界值。從該外掛程 式介面轉回的,是單一個雙態觸變(toggle)變數。非零 的轉回標誌其未通過拒絕判據;否則,變數轉回内定值零, 而直敘語言程式繼績做製程處理。 完成廢值拒絕之後,將資料通到EWMA過濾程序。對 該晶圓批所聯合的控制串線名稱,檢索其所用的控制器資 料’並對該晶圓批資料堆疊實行一切相關的操作。此包含: ,取代冗餘的資料或移除較舊的資料。該資料堆疊—旦:備 本紙張尺度適用中國國家標準(CNS)A4規格(21G X 297公复)-------- 91914 32 563218 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 本紙張尺度適用中規格⑽X 297公餐 A7 五、發明說明(33 ) 妥當’則經剖析而成對應於誤差值之上升時間排序的陣 列。這些陣列伴隨著需要其執行之參數陣列到外掛而進入 EWMA。於一個實施例中,從外掛之轉回包括了六個過濾 誤差值。 回到第15圖,資料前製程處理包含:監視及/或取 樣製程處理工具1310變數之工件1305特性參數其中一個 或數個,如盒1 520所述。已知,可能用到的特性參數可藉 特I*生資料型樣來識別,或可經識別’做為製程處理控制的 已知修正結果。其次,關於工件溫度及/或燈功率及/或 退火時間及/或製程氣體溫度及/或製程氣體壓力及/或 製程氣體流率及/或射頻(RF)功率及/或蝕刻時間及/ 或偏壓電壓及/或沉積時間,及類似條件等,諸如其製程 處理程式控制輸入參數及/或設定點之製程處理控制輸入 參數,可能直接影響工件1205所出的可用半導體元件的有 效良率。 控制製程中的次一步驟,是為製程處理工具131〇的 製程處理工具控制器1315算出新的設定。從資料儲存器 1360,檢索對應於目前晶圓批之控制串線所用的先前設 定。此資料係與目前的製程處理誤差伴隨成對。對新的設 疋,係呼叫編譯過的Matlab®n掛程式而算出。此應用程 式併有若干輸入,在一分離的執行組件中施行計算,並轉 回若干輸出給主直敘語言程式。一般來說,該Ma祕⑧外 掛程式的輸入為製程處理控制輸入訊號設定、複查站Μη 的誤差、控制f算法所需的參數陣列,及目前不使用的 91914 --------------------訂--------- (請先閱讀背面之注意事項洱填寫本頁) 563218 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(34 ) 標誤差。該Matlab®外掛程式的輸出為新的控制器設定, 係依據以上所說明的控制器演算法而在該外掛程式中算 出。 做製程處理之製程工程師,或控制工程師,一般係確 疋控制作用的實際开>式及程度,且能設定參數。彼等參數 包括閾值、最大步進尺寸、控制器權重及靶值。新的參數 設定一旦算出,則直敘語言程式將該設定儲存於資料儲存 器1360中,使付製程處理工具131〇能檢索之,供將做製 程處理的次一晶圓批用。本發明所傳授的原理能實作成其 他型態的製造機架。 再回到第15圖’新設定之算出,如盒1530所述係包 含:用適應取樣製程處理模型,來模型化該(等)特性參 數。此模型化可藉該Matlab^n掛程式來施行。在此特定 實施例中僅知,可能用到的特性參數經模型化,而模型儲 存在藉機器介面1430存取的資料庫1335之中。資料庫 1335可常駐在工作站1330上(如所示),或在先進製程控 制機架的一些其他部份上。以實例來說,該等模型在替代 實施例中將可儲存在先進製程控制系統管理器144〇所管 理的資料儲存器1360之中。該模型一般來說將是一數學模 型,即一方程式,而說明一個或數個製程處理程式控制中 的一個或數個改變如何影響製程處理性能,及類似情形。 以上所給的各個不同的例示實施例中所說明的模型(以下 有更完整的說明),乃是如此模型之例。 使用的特定模型將是由實作所規定的,而係依賴於特 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 34 91914 --------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 563218 A7
五、發明說明(35 ) 定的製程處理工具1310及正當模型化的特定一個或數個 特性參數。該模型中的關係為線性或者是非線性,將視所 涉及的該(等)特定的特性參數而定。 然後將新的設定傳輸至製程處理工具控制器丨3〗5,為 製程處理工具控制器13 15所應用。如此,現在回到第1$ 圖,一旦模型化了該(等)特性參數,則應用該模型,如 盒1 540所述’用至少一個模型預測式控制(mpc )控制器, 或至少一個比例積分微分(PID )控制器,來修正至少一 個製程處理程式控制輸入參數(以上有更完整的說明)。在 此特疋實施例中’機介面14 3 0從賢料庫13 3 5檢索該模 型,掛入各別的值’並確定該(等)製程處理程式控制輸 入參數所必要的一個或數個改變。然後藉機器介面143〇, 將該改變經線1320通訊至設備介面1410。而設備介面141〇 則實作該改變。 本實施例並且提出:模型應做更新。此係如第1 5圖 之盒15 50至1560所述,包含:監視至少一個該等製程處 理程式控制輸入參數之修正效果(盒1550 );且基於所監 視的一個或數個效果,更新所應用的模型(盒1560 )。以 實例來說,製程處理工具1310操作的各個不同的方面將隨 年代而改變。對因應特性參數量測結果所實作的一個或數 個製程處理程式改變,監視其效果,藉此乃能更新必要之 值以產出優越的性能。 如以上所注意到的,此特定實施例係實作一「先進製 程控制」(APC )系統。如此,其係在晶圓批「之間」實作 --------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) 35 91914 563218 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(36 ) 改變。盒1520至1560中所述的作用係實作於目前的晶圓 批經製程處理之後,而於次一批做製程處理之前,如第15 圖之盒1570所述。然而’本發明並不受此限。而且,如以 上所注意到的,一晶批之構成可為任何可實行的晶圓數 目’自一個至幾千個(或實用上之任何有限數目)。一「批」 之構成,係由實作所規定,所以製程中發生更新之點將隨 實作而變異。 如以上所討論的’在本發明各個不同的例示實施例 中,可應用適應取樣製程處理模型,來修正製程處理步驟 中所施行的製程處理。舉例來說,可於一個或更多個製程 處理行程期間,監視一個或更多個工具變數及/或一個或 更多個製程處理參數,藉而形成適應取樣製程處理模型。 如此的工具變數及/或製程處理參數之例可包括一個或更 多個高溫計痕跡讀數、一個或更多個燈功率痕跡讀數、一 個或更多個管溫痕跡讀數、一個或更多個電流讀數、一個 或更多個紅外線(IR )訊號讀數、一個或更多個發光光譜 讀數、一個或更多個製程氣體溫度讀數、一個或更多個製 程氣體壓力讀數、一個或更多個製程氣體流率讀數、一個 或更多個蝕刻深度、一個或更多個製程層厚、一個或更多 個電阻讀數,及類似的變數或參數。 以數學說明,對於”個製程處理工具變數及/或製程 處理參數’可安排一組w個量測及/或監視中的製程處理 行程為一《Χ/w矩陣X。換句話說,該矩陣X可包含 1至《列(每一列對應於分離的製程處理工具變數或製程 --------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 36 91914 563218 A7 五、發明說明(37 (請先閱讀背面之注意事項再填寫本頁) 處理參數)’及1至历行(每一行對應於分離的製程處理 行程)。該MX W矩陣X之值例如可為製程處理工具變數及 /或製程處理參數的現實量測值,或為現實量測值之比(相 對於各別的參考設定點而歸一化),或為如此之比的對數。 該矩陣X可有γ秩,而為矩陣X中最大 的獨立變數數目。該矩陣χ(舉例來說)可用「主要 成分分析」(PCA )來分析。使用PCΑ (舉例來說),係生 成一組「主要成分」P (其「負載」〔Loadings〕或成分表 示各個不同的製程處理工具變數及/或製程處理參數的貢 獻),來做為方程式((Χ-Μ)(Χ-Μ)τ)Ρ=Λ2ρ的本徵矩陣,此 處Μ為X的行平均值之矩形w><所矩陣(μ之所行每一行 皆為Χ„χ w的行平均值向量),λ2為平均值縮放的矩陣 Χ·Μ的’也是一「分數」(score )矩陣τ的,本徵值 々(/=1,2,.",0平方似《對角矩陣,而有又-]\|=^1^及(\- M)t=(PTt)t = (tt)tPt = ΤΡτ,以致有((X_M)(X_M)T) P = ((ΡΤτ)(ΤΡτ))ρ 並且有((ρττ)(ΤΡτ))Ρ = (ρ(ΤτΤ)Ρτ)Ρ = 經濟部智慧財產局員工消費合作社印製 Ρ(ΤΤΤ)= Α2ρ。矩形《X m矩陣X也標諸為xwx w,可有元素 文"’此處卜1,2,··.且 ;而《χ w 矩陣 Χτ,《χ m 矩陣X的轉置矩陣,也標誌為(χ)\χ w,可有元素,此 處 /=1,2,…,《且 j = 。"X π 矩陣(Χ-μ)(Χ_Μ)τ 為(W- 1)乘以協變(c〇variance )矩陣s…,S„x w有元素,此 m m m 對 :—k=\ 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐 37 91914 563218 0 A7 五、發明說明(38 ) 如下乃四種計算「主要成分」的方法,但還有其他的 方法存在: 、 !·本徵分析(EIG); 2.奇異值分解(SVD); 3 ·非線性疊代部份最小平方(NIPALS );及 4·幂次法(power method )。 前二方法,EIG及SVD,皆同時性地算出一切可能的 「主要成分」,而NIPALS法卻容許一次算出一個「主要成 分」。而冪次法(以下做更完整的說明)為一疊代的,找出 本徵值及本徵向量之途徑,依然也容許一次算出一個「主 要成分」。通道(或變數值)有多少個,「主要成分」就有 多少個。冪次法可有效率地使用計算時間。 舉例來說,考慮3χ 2矩陣A,其轉置矩陣2x 3矩陣 Ατ ’兩者的2x 2矩陣乘積atA,及兩者的3x3矩陣乘積 AAT : η A: l1 -------------------^--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印制衣 ΑΓ (\ 1 1、
ArA: AA7 (\ 1 1 1 0 一 1 n 1、 1 0 1 -1 (\ 1 1、 10—1 3 0>2; 2 1 0、 1 1 1 0 1 2 EIG表露’矩陣乘積atA的本徵值;!^為3及2。矩陣 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 38 91914 563218 A7 五、發明說明(39 ) 乘積Ατ A的本徵向量為方程式(ATA)t= 的解t,經檢驗可 知為1/ = (0,1)及t2T=(l,〇),分別屬於本徵值;1^3及;l2==2。 冪次法(舉例來說)可用來確定矩陣乘積AAT的本徵 值乂及本徵向量狂,此處本徵值A及本徵向量t為方程式 (AAT)n=;liL的解|L。可用嘗試的本徵向量:'2 1 〇γη (3] A (ΑΑΓ)ρ= 1111 = 3=311 2又ij bJ W 一1 此乃指示’嘗試的本徵向量剛好對應於本 徵值;1^=3所屬之本徵向量再繼續進行冪次 法’從矩陣乘積AAT減去外積矩陣ρ^ι^τ,而形成一殘餘 矩陣(residual matrix) & : 2 1 〇λ ίί)
Ri 2 1 0) Ο 0 1 2 1° 1 2」 1 ο 0 0 0 一 1 0 1 η 111、 1 1 1 0 1 ι 可用另一嘗試的本徵向量: ^ 1 0-1、 r η ί2、 r η (AAr-= = 0 0 0 0 = 0 =2 0 、-1 0 、-2> 此乃指示,嘗試的本徵向量^ = 剛好對應於本 :¾. ---------------------訂·-------- (請先閱讀背面之注音?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 徵值;^-2所屬之本徵向量再繼績進行冪次 法’從殘餘矩陣1減去外積矩陣㈣τ,而形成第二殘餘 矩陣R,: r2 1 0 —1) 〇 0 0 一1 0 1
0 、-1J 0-1): ^ 1 0-1、 0 0 0 一 1 0 1 〇—〇「〇〇0、 0 0 0 0 0 0 〇 0 0 -1 0 1 第二殘餘矩陣R2消失,此一事實乃指示,本徵崔 - 20 ’而±5向量取3為完全任意的。本徵向晉n、奸方便Μ 表紙張尺/艾過用中國國家標準(['NS)A4規格⑽χ 297公餐)— 39 91914 563218 A7 ts/ 五、發明說明(* ) 以致未徵向 選與本徵向量劓、(^^及叱1(1,0,-1)正交, 量H3T=(1,-2,1)。其實,可立即證明: 广2 1 0、 r η 「0〕 (1〕 (ΑΑΓ)ρ3 = 1 1 1 - 2 =r 0 =0 一 2 、0 1 2, U J ^p3 類似地,A之S VD係示為Α=ρττ,式中p為 成分」矩陣而Τ為「分數」矩陣: … 主要 % Xf2 Χμ
A u go) 〇 V2 ’10、 / l· oj / ,〇 b \ 〇、 0 V2 0 o 經濟部智慧財產局員工消費合作社印製 SVD印證a的奇異值為w及π,乃是矩陣乘積AT乂 的本徵值4 = 3及;12=2的正方根。注意,「主要成分」矩陣^ 之行係矩陣乘積AAT的正交歸一化本徵向量。 同樣地,Ατ之SVD係示為at=TPt : Χ/3 Χί3 Χ/3 乃^ 0为 /s "Χίβ /s, i/s (f 〇 ^Xf6 .//3 Ail SVD印證At的(非零)奇異值為j及w,乃是矩 乘積AAT的本徵值4=3及毛^的正方根。注意,「主要 分」矩陣P之行(「主要成分」矩陣pT之列)為矩陣乘 ΑΑΤ的正交歸一化本徵向量。也注意,「分數」矩陣τ Μ氏張尺度適用中國國家標準(CNS)A4規格(210 X 297公髮)
Ar
A fi 〇Y^ o 〇、 〇 V2 〇 rS o o^( ο λ/2 0 TP7 ---------------------^--------- f請先閱讀背面之注音?事項再填寫本頁) 563218 A7 7; 發明說明(41 / 非零元素為矩陣乘積ATA以及ΑΑτ的(非零)本徵值t3 及Λ=2的正方根j及 另舉一例,考慮4χ 3矩陣Β,其轉置矩陣3Χ 4矩陣 Β ’兩者的3χ3矩陣乘積βτΒ,及兩者的4x4矩陣乘積 ΒΒΤ : Β 1 (Πο lοI1 —ι ο
Br ΒΓΒ (請先閱讀背面之注意事項再填寫本頁) ι 〇 ο —ι 0 ι 〜ι ο Ο
4 0 0、 0 2 0 0 0 2 訂----- Ο Ο -1 一 1 ο 11 ολ 1 Ο 1 1 Ο 一 1 \ι -1 ο ΒΒΤ 1 1 0 0、 (1 1 1 1〕 r2 1 1 0、 1 1 0 0 一 1 1 2 0 1 1 0 -1 ,0 1 -1 0 y 1 0 2 1 b -1 \ π 1 1 經濟部智慧財產局員工消費合作社印製 £10表露,矩陣乘積^1']8的本徵值為4、2及2。矩陣 乘積ΒΤΒ的本徵向量為方程式(BTB)t=;lL的解I經檢驗可 知為 1^ = (1,0,0),t2T=(0,l5〇)及 t3T=(〇 〇1),分別廣於本徵 值 Λ=4,A2=2 及;^=2 〇 冪次法(舉例來說)可用來確定矩陣乘積BBT的本徵 值;ι及本徵向量狂,此處本徵值;ι及本徵向量方程式 (BBT)jL=;Lp_的解艮。可用嘗試的本徵向量: 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公楚) 41 91914 563218 A7 五、發明說明(42 "2 1 1 〇Λ 「4〕 (ΒΒΓ)ρ = 12 0 1 1 4 1 〇 2 1 1 4 κ° 1 1 2j 此乃指示,嘗試的本徵 =4 ίΐ\ :¼. n λ-Α Pfr M ^ ^ , 夏狂=(1,丨,1,1)剛好對應於本 徵值4-4所屬之本徵向.w ^ 111 =(1丄1,1)。再繼續進行冪次 法’從矩陣乘積BBT減去外積 陣 Ri ·· 矩陣,而形成殘餘矩
Ri 广2 1 1 〇、 1 2 〇 ! l〇2l 0 112 Λ 1 0 0 -1 0 1 一 1 0 0 —1 1 0 —1 0 0 1 可用另-嘗試的本徵向量 0 1 -1 ο0 ] 1 〇 rl 〇 ο 1 οο οο 一 2 :2 Ο Ο ^Ρ2 經濟部智慧財產局員工消費合作社印製 此乃指示,嘗試的本徵向量^τ=(ι,〇,〇,·_本徵值;i^=2所屬之本徼&吾 錢巧、A叮廣之本徵向量。再繼續進 次法’從殘餘矩陣&減去外積矩陣ρι2ρ_2τ,而形成第二餘矩陣R2 : ^ R〇 0 0 1 0 r 1 0 0 -1、 r0 0 0 0、 1 -1 0 0 0 0 0 0 — 1 一 1 0 —1 0 1 0 0 0 0 0 0 0 -1 1 0 1 、一 1 0 0 1 l〇 〇> y V I j J 0 0 可用另一嘗試的本徵向量认、(()1_1 〇) Μ ---------------------訂---------^91 (請先閱讀背面之注音?事項再填寫本頁} 本紙張尺度適用中國國豕鮮化⑽Μ規格(謂x 297公
91914 563218 A7 五、發明說明(43 (ββΓ~ρ2ρ2τ)ρ-η2ρ 〇 〇 〇 〇γ 〇、 0 1 -1 Ο 0 〜1 ! Ο 厂0、 - 2 Ο :2 ^P3 …- 。又”…⑷ 此乃指不,嘗試的本徵向量 本徵值;13=2所屬之本徵向量^ 11 S0,1,-1,》)剛好對應方 次法,從殘餘矩陣r2減去外H1,·1^)。再繼續進行1 餘矩陣R3 : 113113 ,而形成第三类 r3 「〇〇 0 〇、 0 1-10 0 -1 1 0 、〇 0 0 〇 <0 0 0 〇、 0 1 -1 〇 0 -1 1 0 1° 〇 0 〇 ’〇〇〇〇、 0 0 0 0 0 〇 0 〇 1° 〇 〇 0 (請先閱讀背面之注意事項再填寫本頁) :Λρ, 經濟部智慧財產局員工消費合作社印製 第三殘餘矩陣R3消失,此一 争貫乃指不,本徵值 4=0,而本徵向量知為完全
床心耵本徵向量H4可方便地 選與本徵向量1 11H T 1 U,,M) & =(^0,-1^11/=(0,1,-1,0 正父,以致= -1,-11)。盆實 )八貫可立即證明: (ΒΒΓ)ρ4 ’2110、 "η 12〇1 一 1 0 1 〇 2 1 -1 0 1 1 2. 一 1 -1 在此If形下,本徵值;及名=2相等,因而為退化 則退化本徵值;^ = 所屬之本徵向量 (〇,i,-i,〇)可方便地選為正交歸一。舉例來說,可使^ 格拉姆-施密特正交歸一化程序(Gram_sehmi< orthonormalization procedure)。 類似地,B之SVD係示為Β=ΡΤτ,式中p為「主要天 分」矩陣而T為「分數」矩陣: 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 43 91914 563218 A7 B7 五、發明說明(44
B B
K (2 〇 〇、 ο λ/2 0 0 0 V2 〇 〇 0 K' - X- X K. (2 0 〇、 0 V2 0 0 〇 V2 1° 〇 〇 '10 0) 0 1 0 0 0 1 ΡΤτ
K VD ρ也Β的奇異值為2、万及々,乃是矩陣 ΒτΒ的本徵值;^ 刃不傲值H又产2及4=2的正方根。 同樣地,Βτ之Svd係示為: 經 濟 部 智 慧 財 產 局 員 X 消 費 合 作 社 印 製 x(j Vi Vi A 0 〇 -A Q〜-〜Q 、名-x -κ κ K κ x x 0 A -/4 〇 Vi ~Yl ~Yl X SVD印證BT的(非零)奇異值為2,w及^,乃是多 陣乘積咖的本徵值卜4,乂2=2及β的正方根。注意,rj 要成分」㈣P之行(「以成分」料ρτ^η為矩p 乘積BBT的正交歸-化本徵向量。也注意,「分數」矩陣 的非零元素為矩陣乘積ΒτΒ以及BBT的(非零)本則 ^=4,4=2及4=2的正方根2,万及万。 -以上所討論的矩陣Α及Β,係為簡化對PCA及幕次$ 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐)-------- 44 91914 ΒΓ 1 0 0丫2 〇 0 〇) 0 1 〇 0 0 1 0 V2 0 0 1° ο λ/2 〇 Β 「2 0 0 〇、 〇 λ/2 0 〇 〇 〇 λ/2 〇 ΤΡτ ---------------------訂---------^w— f請先閱讀背面之注音?事項再填寫本頁} 563218 經濟部智慧財產局員工消費合作社印製 45 A7 五、發明說明(45 ) 之介紹所用’甚小於在本發明例示實施例中所遭遇的資料 矩陣。舉例來說,在各個不同的例示實施例中對於w=i〇 至60個製程處理工具變數及/或製程處理參數,可量測及 /或監視約m = 100至6〇〇個製程處理行程。對於” 至 60個變數做全部w = 100至6〇〇個行程之迴歸,如此蠻幹的 模型化可能構成條件病態的(m_c〇nditi〇ned )迴歸問題。 諸如PCA A /或部份最小平方(pLS,也稱為至潛在 〔latent〕結構之投影)等技術’係基於漸減的可變性水準 而顯露資料的階層排序,藉以降低如此情 在-中,是要找出逐一的「主要成分」。在諸如:s 之PLS技術中,是要找出逐一的潛在向量。 如第16圖所示,可在w維變數空間(在第16圖中許y 中繪製資料點1610的散佈圖(scatterpl〇t) 16〇〇。平均值 向量1620可放在p維「主要成分」橢圓體163〇 (在第w 圖中2)的中〜。平均值向量162〇可取總資料矩陣X 之行平均來確定。「主要成分」橢圓體163〇可有第一「主 要成分」1640 (第16圖中的主轴),其長度等於平均值縮 放的資料矩陣X-M的最大本徵值;及第二「主要成分」165〇 (第16圖中的次軸),其長度等於平均值縮放的資料矩陣 X_M的次大本徵值。 舉例來說,可取以上所給的3χ 4矩陣Βτ來做為總資 料矩陣Χ(又是為簡單起見),其係對應於3個變數下之4 個行程。如第17圖所示,可在3維變數空間中繪製資料點 ηι〇的散佈圖1700。平均值向量1 720 ^可放在2維「主要 ^氏張〜艾過用中國國家標準(CNS)A4規格(210 X 297公复) 91914 --------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 563218
五、發明說明(46 ) 成分」橢圓體1730 (實為一圓’乃是退化的橢圓體)的中 心。平均值向量m〇ii可取總3x 4資料矩陣^之行平均 來確定。「主要成分」橢圓體1730可有第一「主要成分」 1740 (第17圖中的「主軸」),及第二「主要成分」175( (第17圖中的「次轴」)。此處,平均值縮放的資料矩陣 Βτ-Μ的本徵值相等且退化,所以第17圖中的「主軸」與 「次轴」的長度相等。如第17圖所示,平均值向量172〇! 係給為: μ 4 〔1〕 「1〕 r η r η ίιλ 1 + 0 + 0 + -1 0 A Λ ’且矩陣Μ的全部4行都有 平均值向量1720Η。 對「主要成分分析」(PCA)可做幾何例示。舉例來說^ 可取3χ 2矩陣C (類似於以上所給的3χ 2矩陣A): η ,做為總資料矩陣X (又是為簡單起見),其對方
C 於3個變數下之2個行程。如第18圖所示,可在3維變| 空間中繪製資料點1810及1820的散佈圖1800,其分別巧 --------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印制衣 座標(1,1,1)及(-1,0,1);在此,3個變數之每一個為各別的 快速熱處理工具及/或參數值。平均值向量1830 e可放在i 維「主要成分」橢圓體1840 (實為一線,乃是極為退化的 橢圓體)的中心。平均值向量183可取總3χ 2資料矩陣 C之行平均來確定。「主要成分」橢圓體1840可有第一「主 要成分」1850(第18圖中的「主轴」,有長度V5,沿第一 「主要成分」軸I860而放置),且分別沿第二及第三「主 本紙張尺度適用中國國家標準(CNS)A4規格(210x 297公釐) 46 91914 563218
五、發明說明(47 )
要成分」軸1870及1880並無第二及第三「主要成分」放 置。此處,平均值縮放的資料矩陣的二個本徵值等於 零,所以第18圖中的「次軸」的長度都等於零。如第18 圖所示’平均值向量1830ΰ係給為: < 0、 =1/2 ’且矩陣Μ的兩行都有平均值向量 1 830 e。如第18圖所示,PCA無非是原來的變數軸(在此, 係對於3個變數每一個之各別的快速熱處理工具及/或參 數值)繞著平均值向量183 0^的端點所做之主軸旋轉,其 關於原來的座標轴有座標(0J/2J),而關於新的「主要成 分」軸1860、1870及1880有座標[〇,〇,〇]。其「負載」只 不過是新的「主要成分」軸I860、1870及1880相關於原 來的變數軸之方向餘弦。其「分數」則純然是資料點1810 及1820的座標,分別為[50 5/2,0,0]及卜5。V2,〇,〇],而係參 考新的「主要成分」轴1860、187 0及18 80。 平均值縮放的3x 2資料矩陣C-M,其轉置矩陣2x 3 矩陣(C_M>T,兩者的2x 2矩陣乘積(C-M)t(〇M),及兩者 的3x 3矩陣乘積(C-M)(C-M)T係給為: C一 Μ 〔1-1〕 "0 0、 μ 1 0 一 V2 K 1/2 -1/2 I1 I1 ^ 1° ο , ,1 1/2 〇) -1 一 1/2 0 --------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製
(C~M)T 本紙張尺度適用中國國家標準(CNS)A4規格(210x 297公釐) 47 91914 563218 A7
五、發明說明(48 ) 1 -1、 1/2 -1/2 〇 〇 Ί 1/2 〇、 厂1 —1/2 0 1 -1、 1/2 -1/2 〇 0 '5/4 -5/4、 「5/4 5/4, r2 1 0、 1 1/2 0 κο ο ο 3χ 3矩陣(C-MKC-M)1'為協變矩陣s3x 1 1/2 〇) rl —1/2 ο S3x 3有元素 ’3χ 3 2 2 此處卜1,2,3且户i,2,3,定義為& = 之,對 v 2(2-1) 戈丁 應於矩形3χ 2矩陣C3x 2。 EIG表露’矩陣乘積— 的本徵值;^ 5/2及 〇,舉例來說,此係藉求解長期方程式(secularequation ) 5/4-;l -5/4 、 -V4 5/4-;l=〇 ’而找出。矩陣乘積(C-M)T(C-M)的本徵向量 為方程式(C-MHC-MUml的解L,此式可寫為((c· M)T(C-M)-;l)t=0。對於本徵值;^s/2來說,其本徵向量ti 可見諸於 5/4-Λ —5/4 -5/4 5/4-1 「5/4-A 一 5/4 -5/4 5/4-Aj •5/4 -5/4、 -5/4 - 5/4 經濟部智慧財產局員工消費合作社印製 ί = 〇,而為 1/ = (1,-1)。對 於本徵值4-0來說,其本徵向量h可見諸於 ! = 〇,而為 t2T=( 1,1)。 ,5/4-;L 一 5/4V 广 5/4 - 5/4、 ,-5/4 5/4 一;l/一 、一 5/4 5/4〉 冪次法(舉例來說)可用來確定矩陣乘積(C_m)(c-M、 的本徵值1及本徵向量狂,此處本徵值A及本徵向量I為。 程式((C-M)(C_M)T)jmL__的解JL。可用一嘗試的本徵向^ρ_τ=(ι,ι,ι) ·· 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 48 91914 --------------------訂--------- (請先閱讀背面之注音?事項再填寫本頁) 563218 A: B: 五、發明說明(49 ((C-MXC-M/jlp- 2 1 〇Vl 1 1/2 0 0 0 0丄
0 0人 0 1 0〉 1/2 0 1/2 \ '5/2、 r 1) 二 5/4 = 5/2 1/2 l°J P7 Λ = 的本徵向量^(1,1/2,〇)所取代,而^,,由改良嘗I 於本徵值一5/2所屬之本徵向量心(1,1/2,〇。)剛好對力 行冪次法,從矩陣乘積(C-M)(C-M)T減去外 再繼續立 而形成殘餘矩陣& ·· 矩陣 R, '2 1 〇、 1 1/2 0 ,〇 〇 0 ^ 1 1/2 〇] 1/2 1/4 0 〇 0 0 1/2 (1 1/2 〇)= 0 ’2 1 , 1 1/2 〇 1° 〇 0, 1/2 0、 V2 1/4 〇 、〇 〇 0 可用另一嘗試的本徵向量,其直交於本 向量 11/=(1,1/2,0): ((C-M)(C-M)r ~RjP ^ 1 1/2 0) M r0、 η 1/2 1/4 0 2 = 0 =0 2 、〇 〇 0, UJ A 毛P0 ---------------------訂---------線 —AVI rtf先閱讀背面之>i音?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 ^ τ ^ 至从—网!1开對應衣 徵值;12 = 0所屬之本徵向量再繼績進行零 法,從殘餘矩陣心減去外積矩陣,而形成第二残 矩陣r2 : R r 1 1/2 0、 1/2 1/4 0 — Μ 2 (-12 0) = r 1 1/2 0、 1/2 1/4 0 ’ 1 -2 0、 -2 4 0 、〇 0 0, 、0 0 〈0 0 Oy 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) 49 91914 563218 A7 B7 五、發明說明(50 ) (〇 5/2 0) R2 5/2 -15/4 0 0 0 0 可用另一嘗試的本徵向量其直交於本徵 向量 ftiT=(l,l/2,0)及 jl2t==(-1,2,〇): ((C - M)(C - Α/)Γ - £丨 & p :
(1 5/2 〇γ〇' 5/2 -15/4 〇 〇°JU ((C - M)(C -P{PT{ -P2Pl)p^R2p^〇 0 0 0 r〇) 0 此 乃指示,嘗試的本徵向I Τ η里&=(0,0,υ剛好對應於本 徵值毛=〇所屬之本徵向量狄3\(〇 〇,1)其實,可以立即證 明 ((C - M)(C-Μ)43 2 〇Υ〇〉 11/2 0 0 0 0 ο :0 ίολο 經濟部智慧財產局員工消費合作社印製 類似地,C-M之SVD係千& τ 保不為C-M=PTT,式中Ρ為「主 要成分」矩陣(其行係與7 1 h及P·3成比例之正交歸一 化本徵向量;其元素為「負裁 Β 「 貝戰」,即新的「主要成分」軸 1860、1870及1880相關於屌也u 關於原來的變數轴之方向餘弦),而 τ為「分數」矩陣(其列為資料 7貝竹點1810及1820的座標, 係參考新的「主要成分」軸1860、1870及188〇): C一Μ
It: Ο Ο -----------*-------訂---------1^^1 (請先閱讀背面之注意事項再填寫本頁) .% οο 本紙張尺度適用中國國家標準(CNS)A4規^公釐· 50 91914 5632l8
發明說明(μ C_M = 2/f 'Xls。、 Χβ Χβ 0 \Ψ2 0 0 ο 0 1 〈 J 1 0 〇 = ΡΤΓ 「分數」矩陣的轉置矩陳 ^ f 陣(T )可由C_M的本徵值矩陣 與矩陣之積給出,而該矩陣之 早 歸一化本徵向量。如第18圖 乂 lR.n , 9 圖所不,第一「主要成分」軸 186〇相關於變數i值軸 」神 cos© - 2/ - χ ^ 「士西 向餘弦(「負載」)係給為 η%’且第-「主要成分』186〇相關於變數2值 麵之方向餘弦(「負載」)係給為吟么。類似地,第一 主要成分」軸1860相關於變數3值軸之方向餘弦(「負 」)係給為祕31,%〇。類似地,第二「主要成分」轴 187〇相關於變數1佶4b夕+ ^ cosP) 17 . ^ Γ 軸之方向餘弦(「負載」)係給為 〜=y^’第:「主要成分」軸1870相關於變數2值軸 方向餘弦(負載」)係給為CO% ;且第二「主要成 :」軸關於變數3值轴之方向餘弦(「負載」)係給 為㈣=C〇s(%)=0。最後,第三「主要成分」軸】88〇相關於 變數i絲之方向餘弦(「負載」)係給。把)=〇; 第三「主要成分」軸1880相關於變數2值軸之方向餘弦(「負 載」)係給為〇>叫3=咖(^)=0 ;且第三「主要成分」軸188〇 相關於變數3值軸之方向餘弦(「負載」)係給為 cos033 =cos(〇)=l ° SVD印證C-M的奇異值為及〇,乃是矩陣乘積 (C-M)T(C-M)的本徵值4 = 5/2及;的非負方根。注意,「主 要成分」矩陣p之行係矩陣乘積(c_m)(c_m)t的正交歸〆 張尺度適用中國國家標準(CNS)A4規格⑵Οχ 297公餐)-------------- 91914 --------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 51 563218 A7 五、發明說明(52 化本徵向量。例, 另舉4矩陣Βτ 1'取广广矩陣D (等同於以上所給的%
D 經濟部智慧財產局員工消費合作社印製 0 0-1 1 一 1 0 ,做為總資料矩陣χ(又是為簡單起見),其對應於3個變數下之4個行程。如第19圖所示,可在3維變數空間中繪製資料點散佈圖19〇〇,資料點 分別有座標 個變數之每-個為各別的快速熱處理卫具及/或參數值。 平均值向量1920,可放在2維「主要成分」橢圓體193〇(實 為-圓,乃是稱退化的橢圓體)的中心。平均值向量19心 可取總3X4資料矩陣!>之行平均來確定。「主要成分」擴 圓體丨930可有第一「主要成分」194〇 (第19圖中的「主 軸」有長度2’ /口第一「主要成分」軸195〇而放置),有 第二「主要成分」1960 (第19圖中的「次軸」,也有長度 2’ 第一「主要成分」軸ι97〇而放置),且沿第三「主要 成分」軸1980並無第三「主要成分」放置。此處,平均值 縮放的資料矩陣D-Μ的二個本徵值相等,所以第19圖中 「主要成分」橢圓體1930的「主軸」與「次轴」的長度都 相等;並且其餘的本徵值等於零,故第19圖中「主要成分」 橢圓體1930的另一「次轴」的長度等於零。如第19圖所示,平均值向量1920s係給為: Ί、 μ 4 0 0 0 一 1 0 且矩陣Μ的全部4行都有 平均值向量1920 Μ。如第19圖所示,PCA無非是原來的雙 本紙張尺度適用中國國家標準(CNS)A4規格(210x 297公餐) " 52 91914 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 563218
五、發明說明(53 數轴(在此,係對於3個變數每一個之各別的快速熱處理 工具及/或參數值)繞著平均值向量1920 e的端點所做之 主無旋轉,其關於原來的座標軸有座標(1,〇,〇),而關於新 的「主要成分」軸1950、1970及1980有座標[〇,〇,〇]。其 「負載」只不過是新的「主要成分」轴195〇、197〇及198〇 相關於原來的變數轴之方向餘弦。其「分數」則純然是資 料點的座標,分別為[1,〇,〇]、[0,1,0]、[0,-1,0]及[_1,050], 而係參考新的「主要成分」轴1950、1970及1980。 3x 3矩陣乘積(D_M)(d_m)t係給為·· (D-MXD-M)7 3χ 3矩陣(D-M)(D-M)t為3乘以協變矩陣s3x 3,S3x 有疋素s",此處卜1,2,3且户1,2,3 ,定義J%diAk-idik±dji r0 0 0 ,0 1 0、 0、 0 ro 0 0、 0 1 1 0 ,〇 1 0 一 1 一 1 0 0 2 0 0 —1 、0 0 2> -1
—,對應於矩形3χ 2矩陣D
3 X EIG表露,矩陣乘積(D_M)(D-M)T的本徵值义 (請先閱讀背面之注意事項再填寫本頁) --------訂---------線—▲ 經濟部智慧財產局員工消費合作社印製 及2。矩陣乘積(D-M)(D_M)T的本徵向量為方程式((d_ 的解艮,藉檢驗可知為狄Xu", fcT=(〇,〇,l)及!l3T=(l,0,0),分別屬於 及々=〇 (沿 襲最大的本徵值先放之慣用法)。 如第19圖所見的,第一「主要成分」軸195〇相關於 變數1值軸之方向餘弦(「負載」)係給為cos@ii=c〇sg>〇; 第一「主要成分」軸1950相關於變數2值軸之方向餘弦(「負 本紙張尺度過用中國國家標準(CNS)A4規格(21〇x 297公釐) 53 91914 563218 A7 五、發明說明(Μ ) 載」)係給為— π Γ ^ ^ α ^ 2丨—C〇S(〇)=1;且第〆主要成分」軸1950相 關於變數3值麵 n LA 軸之方向餘弦(負載」)係給為 變數1值軸之方向㈣ . 相關於 「 W、 (「負載」)係給為 COS©12 =COS(%)=〇 ; :二要成分」軸197〇相關於變數2值軸之方向餘弦(「負 為c°s〜=co格〇;且第二「主要成分」轴1970 相關於變數3值轴之方向餘弦(「負載」)係給為 C〇S032=C〇S(〇)=1。最後,第三「主要成分」軸mo相關於變 數1值軸之方向餘弦(「負載」)係給為吟_)=1;第三 主要成刀」軸1980相關於變數2值軸之方向餘弦(「負 載」)係給為〇〇S023 =CO.〇 ;且第三「主要成分」軸胸 相關於,數3值軸之方向餘弦(「負載」)係給為 cos®33=cos(^)=〇 〇 「分數」矩陣的轉置矩陣Ττ可在平均值縮放的資料矩 陣D-Μ的左邊乘上「主要成分」矩陣ρ的轉置矩陣,而簡 單地獲得,該轉置料之行m乃是矩陣乘積 (D-M)(D-M)t的正交歸—化本徵向量··
T-P^-M)i〇 〇 T 〇 〇° ^ (1 〇 0义。1 —】Ο,、…^ 「分數」矩陣的轉置笳睡ττ*: ^ ^ A ^ 矩陣T之行(或等償地,「分數, 矩陣τ之列),其實分別為 刀數」 科點的座標n,〇,〇J、f〇 I 01、 fO,-MJ及[-】,0,0],而係參考新的「 ^ 1970及1980。 主要成力」袖1950、 以上所时論的矩陣C及 U張尺度iiT國國準ϋ規簡化對PCA及冪次法 54 91914 563218 經濟部智慧財產局員工消費合作社印製 A7 _ —---B7______ 五、發明說明(55 ) 之介紹所用’甚小於在本發明例示實施例中所遭遇的資料 矩陣。舉例來說’在各個不同的例示實施例中,對於J 〇 至60個製程處理工具變數及/或製程處理參數,可量測及 /或監視約w = 100至600個製程處理行程。對於w==1〇至 60個變數做全部w = 1〇〇至600個行程之迴歸,如此蠻幹的 模型化可能構成條件病態的迴歸問題。諸如PCA及/或部 份最小平方(PLS,也稱為至潛在結構之投影)等技術, 係基於漸減的可變性水準而顯露資料的階層排序,藉以降 低如此情形中的複雜性。在PC A中,是要找出逐一的「主 要成分」。在諸如NIPALS之PLS技術中,是要找出逐一的 潛在向量。在各個不同的例示實施例中,於w = 1〇至6〇個 製程處理工具變數及/或製程處理參數下所量測之約 历= 100至600個製程處理行程期間,可將工具及/或感測 器漂移映射’成穿過w維空間(表示該w:=l〇至6〇個變數) 之約m = l〇〇至600個點(表示該m=100至600個製程處理 行程)的動力學流(dynamic flow ),這樣的等效問題。舉 例來說’可用PCA,而指示對製程處理工具變數及/或製 程處理參數做一適當的多維「旋轉」,以補償工具及/或感 測器自各別的設定點值之漂移,藉此來校正快速熱處理。 在各個不同的替代例示實施例中,可以藉替代的方式 來建造適應取樣製程處理模型。也可於一個或更多個製程 處理行程期間,藉監視一個或更多個製程處理工具變數及 /或一個或更多個製程處理參數,來形成如此的適應取樣 製程處理模型。如此的工具變數及/或製程處理參教,盆 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐Ί "" -----— 55 91914 --------------------^---------^ (請先閱讀背面之注意事項再填寫本頁) 563218 經濟部智慧財產局員工消費合作社印製 A7 _______ B7 " 一 ^ --------^. 五、發明說明(56 ) 例可包括一個或更多個高溫計痕跡讀數、一個或更多個燈 功率痕跡讀數、一個或更多個管溫痕跡讀數、一個或更多 個電流讀數、一個或更多個紅外線(IR)訊號讀數、一個 或更多個發光光譜讀數、一個或更多個製程氣體溫度讀 數、一個或更多個製程氣體壓力讀數、一個或更多個製程 氣體流率讀數、一個或更多個蝕刻深度、一個或更多個製 程層厚、一個或更多個電阻讀數,及類似的變數或參數。 在此等各個不同的替代例示實施例中,適應取樣製程處理 模型之建造可包括下列方式至少其一,用以配適所收集的 製程處理資料:多項式曲線配適、最小平方配適、多項式 最小平方配適、非多項式最小平方配適、加權最小平方配 適、加權多項式最小平方配適、加權非多項式最小平方配 適、「部份最小平方」(PLS)及「主要成分分析」(pCA), 如以上所說明。 在各個不同的例示實施例中,可收集#+1個資料點 (U,)之樣本,在此/=1,2,…,7^,#+1 ;可取τν次多項式 來配適於該個 k=0 片 料點(\,h)。舉例來說,於一製程處理步驟期間,可取有關 的100個時間資料點(#=99 ),使高溫計痕跡讀數户、燈功 率痕跡讀數/及/或管溫痕跡讀數Γ,與該製程處理步驟 所現出的工件的有效良率取得關係,而導致各別的#+1個 資料點組(A,G)、(/,·山)及/或。舉例來說,其值可為 t#4¾I具變數及/或製程處理參數的現實量測值,或 本紙張尺度適用中國國家標準(CNS)A4規格⑵0 x 297公餐) 0 ϋ ϋ 11 —1 ϋ ϋ ϋ ϋ ϋ 0 ϋ I ϋ l_i n n n-Μ. · ϋ n ·ϋ n ϋ i^i I t ^ (請先閱讀背面之注音?事項再填寫本頁) 56 91914 563218 Α7 Β7 五、發明說明(57 ) 為現實量測值之比(相對於各別的參考設定點而歸一化), 或為如此之比的對數。多項式内插,舉例來說,在《供科 學家及工程師用之數值方法》(RW·哈明〔RW Hamming〕 著,多佛出版公司〔Dover Publication〕出版,紐約,1986 年)第230至235頁中,有所說明。對多項式,要求 其通過N+1個資料點(\,凡·):乂二,/=1, k=0 2,··.,#,ΛΗ·1 ;係一組#+1個條件。此#+ι個條件則完全確 定該#+1個係數q,灸=0,2,...,#。 未知係數心的係數行列式為凡德滿迪行列式 (Vandermonde determinant): VN+1 1 χλ 2 Λ Ν 1 Χ2 2 ^2 Λ Ν Χ2 Μ Μ Μ 0 Μ 1 ΧΝ 2 ΧΝ Λ Ν ΧΝ 1 ΧΝ+1 2 ΧΝ+1 Λ Ν ΧΝ+\ xi 在此卜1,2, ,而h〇,2,..·,#。凡德滿迪行列式被當 做變數\的函數,即〜+广心+;(巧,…,〜~+7),顯然是 變數心的多項式;此點可藉行列式展開而見得,且指數計 --------------------訂---------線"^11· (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 數顯示該多項式之次數為0+1 + 2 + 3+Λ·α+Λ+# = ^> N(N + l) k=0 (舉例來說,凡德滿迪行列式的對角項為 現在,如果心+1=巧,户1,2,…,#,則凡德滿迪行列式 ~+7 = 0,因任何具等同二列之行列式本就會消失為零;所 乂,凡德滿迫行列式〜+ /必有因式( 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) 57 91914 563218 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(58 )
、 N 即對應有#個因式。類似地’如果, >1,2,···,ΑΜ,則凡德滿迪行列式。+7=〇 ;所以,凡德滿迪 行列式心+i必有因式(χλτ\),户1,2,···,Τ\Μ,即對應有ΛΜ 個因式fl(〜+1-')。一般來說,如果 Xm^Xj , j<m , 所=2,.",兄#+1,貝’J凡德滿迪行列式匕+广〇 ;所以,凡德滿 迪行列式。+7必有一切因式(ά),7<μ,讲=2,···,,+1, 即對應到因式。總而言之,此係表示 m>;'=l mi+Λ 十灸+Λ +2 + 1+ = ^^ = ^^ + 1)次多項式。舉例來說,在 k=\ 2 w=#+l時,)可擔當ΛΜ固值之任一個,7.= 1,2,···,# ;在所=# 時,>/可擔當#-1個值之任一個,戶1,2,...,^1;等等(舉 例來說,在m = 3時,y僅有二個值可取,产I,2 ;在1/2 = 2時’ J僅有一個值可取V = 1 )。此點意謂著,一切因式都已計入’ 剩下的不過是要找出此二凡德滿迪行列式。表示法或 將差異所在之乘法常數。如以上所注意,凡德滿迪行列式 〜+i的對角項為ΐ·χ2·χ32·Λχ/-1·\+,,可與因式乘積 π(〜--〜)--〜)的左手邊一 m>J~^ j=l j=\ >=1 J=1 項,即〜/.x/'Ax32.x2 ,相比較;兩者等同,故該 乘法常數為一,而凡德滿迪行列式 為 --------------------^---------^ AW. (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210x 297公釐) 58 91914 563218 A7 B7 五、發明說明(59 N+lΠο 如此對凡德滿迪行列式心+7之因式分解顯示:如果 ,則凡德滿迪行列式^+y不會是零且意謂,總 是可能解出未知係數q,因該凡德滿迪行列式為未知係數 A的係數行列式。用行列式來解未知係數A,舉例來說可 將結果代入#次多項式= ,並合適地重新排列而 給出行列式方程式 y 1 X X1 h xN 1 Χλ χλ2 Λ χ/ yi ι χ2 巧2 λ χ2ν Μ Μ Μ Μ Ο Μ yN 少ΛΓ+1 ΧΝ ΧΝ2 ^ ΧΝ1 ΧΝ+\ ΧΜ+\ 人 ΧΝ+1 :0,此乃多項式配適 之解。此點可直接如下見得。以最上列的元素展開此行列 式’其顯然為W次多項式。第一列中的元素少的係數,在 此最上列元素所展開的行列式中無非是凡德滿迪行列式 心W。換句話說,第一列中的元素少的餘因子(C〇fact〇r) 事實上乃是凡德滿迪行列式心+7。其實,第一列中的第乃 --------------------訂---------線"^11 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 個元素,《=2,···,+2,的餘因子乃是πρ^以多項式展 k=0 開中的係數\_2與凡德滿迪行列式心之乘積。而且,如 果X及少擔當任何取樣值\及h,)=1,2, ···,則該行 列式有二列會相同,然則該行列式必消失為零。如此,多 項式通過W+1個資料點(心,凡·): 广, k=0 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 59 91914 563218 A7 B7 五、發明說明(60 ) /=1,2,...,#,#+1,此一要求則告滿足。 舉例來說,可找出二次曲線,通過取樣資料集(_l,a)、 (0,b)及(l,c)。三個方程式為 Ρ2(-1)=^=α(Γαι+α2, 户2(0)=办=“。,及 = + ;其意含 6=^。,c-adq, 經濟部智慧財產局員工消費合作社印製 --------------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 及 c + a-23=2a2,致而有〆。此多項 0 1 1 -1 1 a 一 1 1 all =0 =少 1 0 0 一 1 b Q 0 + X b 1 〇 1 1 1 c 1 1 c 1 1 y 1 1 b 1 1 α 1 -1 12¾ 1 0的展 c 1 1 開結果,J;的係數正是此個別的凡德滿迪行列式j^ = 2。 類似地,可找出四次曲線,通過取樣資料集(_2 a)、 (-l,b)、(0,c)、(l,b)及(2,a)。五個方程式為 Λ(-2)=α=α〇- 2ύτ1 + 4α2-8α3+16α4 ^ ^4(^) = ^=^0^1+^2^3+^4 5 P4(〇) = c=a〇 ^ (1 )z=b=^a0 + al + a2 + a3 + a4 及 户4(2)=04。+ 2(^ + 402 + 8(23+16(24 ;其意含 e=a〇,〇=^ι=α3 (也 得自資料集對稱性),(^c)-16(b-c) = -l2a2,及(α_〇·4(^ C)=l 2ύί2 ’ 致而有少(x) = p4(x) = c__^_^ +15c+ a~4&j-jc 4 12 12 X 〇 在各個不同的替代例示實施例中,可收集从個資料點 (心,凡)之樣本,在此卜1,2,…,Μ ;可取一次多項式(一直線) = = 來配適(在最小平方之意義下)於該从個 資料點(心·,凡〇。舉例來說,於一製程處理步驟期間,可取有 關的100個時間資料點(Μ=100),使高溫計痕跡讀數ρ、 本紙張尺度適用中國國家標準(CNS)A4規格(210x 297公f ) 一 --- 60 式也是 91914 563218 數 經濟部智慧財產局員工消費合作社印製 61 A7 五、發明說明(Μ 燈功率痕跡讀數/及/或管溫痕跡讀數Γ,與該製程處理 步驟所現出的工件的有效良率(取得關係,而導致从個資 料點組、(/;々)&/或。舉例來說,其值可為製 程處理工具變數及/或製程處理參數的現實董測值,或為 現實量測值之比(相對於各別的參考設定點而歸一化),或 為如此之比的對數。最小平方配適,舉例來說,在《供科 學家及工程師用之數值方法》(R.W·哈明〔R.W· Hamming〕 著’多佛出版公司〔Dover Publication〕出版,紐約,1986 年)第427至443頁中,有所說明。 在可取用的資料甚多於參數以致無從做確切匹配(在 捨去範圍之内)之情況下,可使用最小平方準則。多項式 在最小平方匹配是最通常使用的,但任一線性族(打 famUy )合適函數一樣可用。假設正在量測某量j而做了 M個量測心,卜丨,2,…,从;並假設該等量測\與「真正」 量X之關係為,/=1,2,·,Μ,在此係視殘餘量f為雜 訊。最小平方原理陳述··對真正值χ之最佳估計$,其為 係將資料距估計的偏差平方和= =i(n)2最 7-1 .-1 化;此等價於··平均為真正值X之最佳估計卜此 等價性可如下示。首先,最小平方原理引出該平均L。將 視為最佳估計$的函數,關於最佳估計 本紙張尺度適用中_家標準(CNS)A4規格⑵Q χ 297公髮) 91914 ---------------------訂---------—.AW1 (請先閱讀背面之注意事項再填寫本頁) 563218 A7 B7 經濟部智慧財產局員工消費合作社印製 62 五、發明說明(62 之最小化,可藉微分來進行:f = -2g(U) = 〇 ;其意含
二 μ 似 JVI Ρ—Ρ = 〇:Ι:§Χ广味,致而有或換言之,此 一選擇係將殘餘量G的平方和最小化。也注意: ά2/{ξ) ^ = 2gl = 2M>〇,最小化準則乃告建立。 反之’如果取平均〜為最佳選擇,則實能顯示此 選擇將殘餘量&的平方和最小化。設 i=l i=l /=1 /=1 取其他值心為’則將此其他值〜插入,給出 /Μ = ξ(χ「β=ρ^2φ+ρ:=ρί2_2χΜχα+Μχ:。從胸 減去 /&J,給出 /ω_/ω=Μ[χ/_2Ά+Χ62]=Μ(^)2》〇,致而 有/(&>/〇〇,等於關係成立,若且唯若 平均〜確然將殘餘量樹方和最小化。如此顯=說最 小平方原理與選擇平均做為最佳估計,兩者為等價。 在選擇最小平方之外,可有其他的選擇。再一次,假 設正在量測某量:而做了 M個量測、,卜12,,从;並: 設該等量測'•與「真正的…之關係為一,, 卜1,2,...,从,在此係視殘餘量$為雜訊。最小平方選擇之替 代方案可為··對真正值JC之另一估古十 依寸;JT,其為數,係將資 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公复 91914 -------1------------訂·-------- (請先閱讀背面之注意事項再填寫本頁) 563218 A7 B7 五、發明說明(63 ) 料距估計的偏差絕對值和/ω = |;|+|^ΐ|最小化 ζ=1 ϊ=1 ,1¾ 等僧 於:Μ個量測\,ζ·=1,2,···,Μ,的中數或申值发 w苟異正值文 之另一估計;1T (如果Μ為偶數,則將二個中值平於 句)。假設 有奇數Μ=2灸+1個量測七,/=ι,2,···,Μ ;並選取其令數咬中 值,做為將殘餘量Α的絕對值和最小化之真正信 、m X估計 疋。此值、之任何上移對、以下之個义,將舍斗古 耳丁r呵其灸 項,而對xw以上之MSI〜,將會降低其灸項Ιί,升 高降低皆以同一量。然而,此值、之上移也將會升言 該項,因此而升高所有殘餘量&的絕對值和。除了將殘餘 量A的平方和最小化之外,尚有另一選擇,係選擇將最大 偏差最小化,引出 2 v midrange 即最佳值之中間範圍估 計0 回到前述各個不同的替代例示實施例,其中:可收集 Μ個資料點(\,凡)之樣本,ζ = ι,2,···,Μ ;可取一次多項式 --------------------訂---------線 ^_W1 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 (一直線)來配適(在最小平方之意 免=0 義下)於該Μ個資料點(uj。有二個參數及q, 及一函數 須最小化如下。給函數 ⑷-兄]2=|>。+从—乂]2,且設 F(〜,“7)關於 α /=1 i=i i=i 及 Α 之偏導數等於零,於是分別給出了 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 63 91914 563218 A7 五、發明說明(64 重新排列之,則分別給 出 了 乂及 經濟部智慧財產局員工消費合作社印製 Μ Μ 14 «〇|>,+^^2=|>Λ,在此,該二個未知參赵 务數心及Α有二個 方程式,故立得其解。 例如第20圖所示,可將一次多 項式(一直線) 1 ρι(χ) = α〇+αιχ = Σα〆配適(在最小平方夕| "=〇 〜義下)於Μ=5個資 料點(1,0)、(2,2)、(3,2)、(4,5)及(5,4)。殘餘量^ — 係概要地例示於第20圖中。二個參私、:ζ I2’…,5 別為 5%+15a7 = i3 及 15<^+55心=5〇,於 Η 於疋,將第一個方程 式乘以3再從第二個方程式減去,藉而消去“ 之解成為七=11/10而意含參數 〇、 ύι τ 立 鮮成為%=_7/1〇。在最 小平方之思義下,做最佳配適之一次 夕項式(一直線),乃 7 11 為PlW =—ω + ωχ::^(—7+ι㈨,如第20圖所示。 例如第2】圖所示,可將一次多項式(一直幻 P1(x) = a。W = 配適(在最小平方之意義下)於从=7個資 料點(-3,4)、(-2,4)、㈠,2)、(〇 2)、(11)、(2 〇)及⑽)。殘 餘量卜1,2,...,7,係概要地例示於第21圖中。二個參數 心及心之方程式分別為 本紙張尺度適用中國國豕標準(CNS)A4規格(210 X 297公餐) --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 64 91914 563218 A7 B7 經 濟 部 智 慧 財 產 局 消 費 合 作 社 印 五、發明說明(65 LVL Μ 兄=7“0+%(-3 - 2-1 + 0 + 1+2 + 3):(4 + 4 + 2 + 2 + 1 + 0 + 0) Ϊ=1 ϊ=1 ^ Μ 2 μ =芬从=%(9 + 4 + 1 + 0 + 1 + 4 + 9) = (一 12-8-2 + 0 + 1 + 0 + 0), 其分別給出7〜=13及28心=-21。換句話說,%=13/7 及α广·3/4 ’所以在最小平方之意義下做最佳配適之一次多 項式(一直線)為户100 = -^-,如第21圖所示。 在各個不同的其他替代例示實施例中,可收集从個資 料點(U,)之樣本,在此卜1,2,…,Μ ;可取#次多項式 +%?+Λ 來配適(在最小平 方之意義下)於該Μ個資料點(心,凡·)。舉例來說,於製程 處理步驟期間,可取有關的100個時間資料點(M==l〇〇), 使高溫計痕跡讀數;7、燈功率痕跡讀數/及/或管溫癯跡 讀數Τ7,與該製程處理步驟所現出的工件的有效良率取得 關係,而導致Μ個資料點組(凡·,·)、(/;,〇及/或卜例 如,其值可為製程處理工具變數及/或製程處理參數的現 實量測值,或為現實量測值之比(相對於各別的參考< — 點而歸一化),或為如此之比的對數。在草一 _ 示個例不實施例 中,該多項式之次數#,其至少十倍小於从。
函數…可最小化如下。函螌F 由數户(〜,吣·.·,〜) --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁} 乃疋由下式所給出,~。,《1,八外)=|^==茗[/^)—少]2,且役
91914 563218 於是給出了 A7 B7 五、發明說明(66 ) …,❼)關於,)-〇, 1,…’之偏導數等於零,因& )) 係多項式Α〇〇=Σα/中七的係數,
k=Q 簡化並重新排列,給出 JYIΣ Σα〆 LAr=0 =Σ^ k=0 *]αΑ+<7=ΣΊΞ(,7=〇,ι,···,#,在此 k=0 ϊ=1 刀別有三心·/及Σχ/·^ξ1。該#+ι個未知參數α , 2=1 i=l ^ 灸一〇,1,···,#,之 #+1 個方程式 5^\+7 = γ;,户〇,1,···,#,也通 Α:=0 稱為簡正方程式;在該等簡正方程式的行列式不為零之限 制條件下,其解立得。為闡示此點,可示出:齊次方程式 Ν faA+y=0僅有顯然解(trivial solution) 〜=0,灸=0,1,···,# ; --------------------^---------^ (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 示出如下。將第y個齊次方程式乘以A,再對於所有的y 求其總和,自/=〇至: ^ί- Ν Ν Μ Μ ί Ν Ν \ Μ Σ〜ΣαΑ+>=艺〜艺〜艺Σα〆=^(pi^))2=〇 ; J-〇 7=0 k=0 2=1 Ζ=1 \^=0 J ^ j—〇 J ?=1 此意含,由是則q=〇,灸二0,1,…,AT,即顯然解。因 而’該等簡正方程式的行列式不為零,可解而求得7V+1個 參數〜,灸=0,1,···,#,即AT次最小平方多項式i^(x) = J]a〆的 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 66 91914 563218 A7 B7 五、發明說明(67 ) 係數;此多項式可配適於該Μ個資料點(心,凡·)。 當最小平方多項式的次數#甚大,或許不易找出該# 次最小平方多項式心= ,配適於該从個資料點 (Α,Λ)。舉例來說,當最小平方多項式的次數#甚大於約 10,該#+1個未知參數〜,众=0,1,".,#,之#+1個簡正方 程式,y=〇, 1,…,# ’或許不易求解。此點可闌示如 下。假設μ個資料點凡·)或多或少分布於區間,而 Μ 1/ 有。然後近似地給出 -------------Φ (請先閱讀背面之注意事項再填寫本頁) Μ N+\ k+j + l A: + 7 + l
-M Ν+λΗΝ+ι,j,k=Q,\,…,N,做為所造成 的簡正方程式之行列式,在此//#,y,h〇,l,···,,為#階 希伯特行列式(Hilbert determinant ),其有甚快趨零之值 [0!1!2!3!Λ (#-1)!]3 ην Ν\{Ν+ \)\(Ν+ 2)\\ (2Ν-\)\ 例 如 訂---------線. 經濟部智慧財產局員工消費合作社印製 Η, [0!1!]3 2\3\ 1 1/2 1/2 1/3 | J_ _1_ 3 4~Ϊ2 及 Η, [0!1!2!]3 一3!4!5!~ 1 1/2 1/3 1/2 1/3 1/4 一 1 1/2 1/3 1 1 1/2 1 + 一 1 1/2 1/3 1/4 1/5 "3 1/3 1/4 4 1/3 1/4 5 V2 1/3 1 Ui·1 ~3 72 412 512 216 240 2160此點提示該簡正方程式系統乃是條件病態的,因而在最小 本紙張尺度適用中國國家標準(CNS)A4規格(2〗〇x 297公釐) 67 91914 563218 A7 B7 五、發明說明(68 ) 平方多項式的次數#甚大時難以求解。正交多項式集合行 為是較良性的。 (請先閱讀背面之注意事項再填寫本頁) 例如第22圖所示,可將二次多項式(二次式) 2 配適(在最小平方之意義下)於M=7 k=0 個資料點(-3,4)、(-2,2)、(十3)、(0,0)、(1,])、(2,-2)及 (3,-5)。殘餘量g,卜1,2,…,7,係概要地例示於第22圖中。 該三個參數〜、〜及α2之三個簡正方程式為, k=〇 y=o,i,2,在此分別有及。於是給出 1=1 i=\ 2 2 2 i>A=[,1>A+I=7i 及 Ϊ>Α+2=Γ2,此處^; 灸=0 "=0 w ' 7 7 =Σχί =(-3-2-1 + 1 + 2 + 3) = 0 ’ S2 =(9 + 4 + 1 + 1 + 4 + 9)==28 , i=1 i=l ^3~Σχ* =(-27-8-1 + 0 + 1 + 8 + 27) = 0 , \ = (81 + 16 + 1 + 0 + 1 + 16 + 81) = 196, i=1 /=1 經濟部智慧財產局員工消費合作社印製 ^ 7 兄=(4 + 2 + 3 + 0 —1-2-5) = 1,一 12-4 —3 + 0-1 —4-15) = —39, 7=1 i=\ 7 及乂 =(36+8 + 3 + 〇-1-8-45) = -7。所以,簡正方程式分別成 /=1 為^1A =^) = 1 = 7a。+0(^+28% = 7α。十 28a2,= -39 = Oa。+28^+(¾¾, 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 68 91914 563218 Α7
五、發明說明(69 ) 經濟部智慧財產局員工消費合作社印製 2 及 gaA+2=r2=-7=28^+0^+196^=28^+196^ ;其意含-Ι4=^2ΐα (將第一個簡正方程式乘以7再從第三個簡正方程式減 去),28q = -39 (來自第二個簡正方程式),及_11==84% (將 第一個簡正方程式乘以4再從第三個簡正方程式減去),而 分別給出α0=2/3 ’七=-39/28及七=-11/84,所以在最小平 方之意義下做最佳配適之二次多項式(二次式)為 2 39 11 1 Ρ2(χ)=3·—云χ—5χ2=5(56一117χ—11χ2),如第 22 圖所示。 例如第23圖所示,可將二次多項式(二次式) 巧㈡二“。配適(在最小平方之意義下)於从 分—0 個資料點(〇,4)、(1,7)、(2,10)、(3,13)、(4,16)及(5,19)。殘 餘量A,卜1,2,…,6,係概要地例示於第23圖中。該三個參 數%、〜及〜之三個簡正方程式為厂Γ,户ο,!,],在 惫=0 此分別有 ix+y •及;!>/% -7; 0 於是給出土αΑ =Γ0,^Α+1 =Γι 1=1 ί=1 k=0 lfc〇 2 6 6 及 Σ= A ’ 此處 =6,&=艺χ =(〇 + i + 2 + 3 + 4 + 5) = 15, 允=0 7=1 Ϊ-1 6 2 6 ^2=Σ^ =0 + 4 + 9 + 16+25) = 55 ; =Σ^ =(0 + 1 + 8 + 27 + 64 + 125) = 225, Z’=l 7=1 6 6 Α=Σ、4 =(0 + 1 + 16 + 81 + 256+625) = 979,ΙΣΧ =(4 + 7 + 1〇 + 13 + 16 + 19) = 69, --------------------訂---------線 (請先閱讀背面之注音?事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 69 91914 經濟部智慧財產局員工消費合作社印製 563218 A7 B7 五、發明說明(7G ) 6 7; =2从=(0 + 7 + 20+39 + 64 + 95) = 225,及 i=l ^二兄=(〇 + 7 + 4〇 + 117 + 256 + 475) = 895。所以,簡正方程式分別成 為 1>/,7;=69 = 6^+15巧+55“2,^>人1=7;=225 = 15“。+55 及 允=〇 k=0 1 2 |^心24=895 = 55%+225^+979“2 ;其意含_210 = -70义-350“2 (將 第二個簡正方程式乘以4再從乘以10的第一個簡正方程式 減去),及2104(^ + 66^ (將第二個簡正方程式乘以n 再從乘以3的第三個簡正方程式減去)。無論如何,將前此 的二個結果一起相加,即示出。而且,3=αι。於是, 用及0=七此一事實,簡正方程式乃分別 , 2 2 成為 2>Α=Γ〇=69 = Κ+45 , ^]%H=225 = 15an+165 ,及 k=0 k=0 2 ^ak^k+i =T2 = 895 = 55α0 +675 ;其全都意含,4 = ύί0。換句話說, k=〇 α〇=4 ’七=3且“2=0,所以在最小平方之意義下做最佳配適 之一认夕項式(一次式)為/^) = 4 + 3^: + 012 =4 + 3χ,其實即為 一直線,如第23圖所示。在此情形中,殘餘量$, /=1,2,…,6,全都等同地消失為零,如第23圖所概要例示 者。 在各個不同的其他替代例示實施例中,可收集 Μ個資料點(uj之樣本,在此/=1,2, ,Μ ;可取 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐 91914 --------------------訂---------線 iJP (請先閱讀背面之注意事項再填寫本頁) 563218 A7 B7 五、發明說明(71 ) 線性獨立的#+ι個函數乃⑷集,,#, 經 濟 部 智 慧 財 產 局 員 工 消 t 合 作 社 印 製 少(中〜/。⑻+…/⑼+八+α>/(χ)+Λ +〜人= 來配適(在非多 y=〇 項式最小平方之意義下)於該M個資料點(心,凡)。舉例來 說,於製程處理步驟期間,可取有關的i 〇〇個時間資料點 (M=1 00 ) ’使高溫計痕跡讀數^、燈功率痕跡讀數/及/ 或管溫痕跡讀數Γ,與該製程處理步驟所現出的工件的有 效良率t取得關係,而導致从個資料點組(凡乂)、⑺乂)及 /或(7\.,〇。其值可為製程處理工具變數及/或製程處理參 數的現實量測值,或為現實量測值之比(相對於各別的參 考設定點而歸一化),或為如此之比的對數。在某一個例示 實施例中,該線性獨立的基底函數/y(JC)集之數目#+1,其 至少十倍小於Μ。函數〜)可最小化如下。函數F(%,七,…,^) 乃是由下式所給出,少,]2,且設 八〜而,…馬)關於,…沁之偏導數等於零因力(^)係表示式咖)=|以⑷中屮的係數,於是給出了 dF( 〇,^ ,aN) ^ 2w ^x )_y_^ ρΟ,Ι,···,#。簡化之,則給出 1VI Σ ^lakfk(xi) --------------------訂---------線 ιίΡ (請先閱讀背面之注意事項再填寫本頁) Λ Ν 71 91914 563218 A7 B7 而且 五、發明說明(72 y=o丄…’,在此分別有⑷三心及|^⑷。該#+1 i=1 ’ i=l 個未知參數〜,h〇,i,··· w,之#+1個方程式, k=0 户0,1,…’’也通稱為簡正方程式;在該等簡正方程式的行 列式不為零之限制條件下,其解立得。為闡示此點,可示 出:齊次方程式^^=0僅有顯然解〜=0,灸=01,…,#;示 出如下。將第y個齊次方程式乘以七,再對於所有的J•求 其總和:
PjtakS^=Ρ^Σμ^/Λ^)=ΣίΣ^Λ(^)ίΣ^Λ^) 2=i V^=o ;=〇 qH〇,l,···,#’即顯然解。因而,該等簡正方程式的行 列式不為零,可解而求得糾個參數…H,u,即 非多項式最小平方表示法⑽/ w的係數;此多項式可 配適於該Μ個資料點(U),係用線性獨立的糾個函數 乃(X)集做為非多項式- 户夕項式取小千方表不法少(χ)=Σα Λ(χ)的基 ι=Λ ~ 如果所有的从個資料點(ά)並不有相等的可 則且用非零的加權因子%對資料加權。函數~ “ 乃是由下式所 本紙張尺度顧中國國家標準⑵㈣97公昼--所、、,口出 --r------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 91914 563218 A7 B7 五、發明說明(73 ,心)關於 /-〇,1,·.·,# ’之偏導數等於零,因力⑹係表示式 >ΌΟ = Σα*Α(χ,)中 a. 的係數,於是給出了 狀0〇,叫,…,Ry) •,夺 da: 0,1,···,#。簡化之,則給出 Σ^Λ(^) >0 JVl:ΣκΛ(')兄,或 Ν Ν
UaA,,二|>ζ/;(ίΞ7;,y=o,i,···,#,在此分 別有p·/#)/^)%及|γΛ(χ)ΞΓ。該#+1個未知參數 灸=0,1,…,#,之7V+1個方程式,戶〇1, ,#, 也通 ------------· I------訂 --------線 iJP (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 稱為簡正方程式,而含非零的加權因子%;在該等簡正方 程式的行列式不為零之限制條件下,其解立得。為蘭示此
點,可示出:齊次方程式^Α/=0僅有顯然 k=Q 解 灸=0,1,···,#;示出如下。將第J•個齊次方程式乘以^, 於所有的y求其總和:
Ν N y\j=〇 再對 ’而且 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 73 91914 563218 A7 B7 五、發明說明(74 ) M f Ν μ f N \f Μ \ Μ Σα^Λ(^)Σ^ %akfk^j) Σα///(^) ^wMxi)f^〇 ί=1 \k-0 i=\ \k=0 J\ ?'=0 J 2=1 此意含 少〇〇 = 0,由是則h=0,A:=0,1,,即顯然解。因而,該等 簡正方程式(含非零的加權因子v^·)的行列式不為零,可 解而求得#+1個參數q,灸=〇,1,,即非多項式最小平 經 濟 部 智 慧 財 產 局 消 t 社 印 製 方表不法y(x) — 的係數,此多項式可配適於該从個資 料點(\,凡·),係用線性獨立的#+1個函數,·(χ)集做為非多 項式最小平方表示法〆x) = ga7/y(x)的基底,且含非零的加權 因子% 〇 在適應控制策略之中,依據本發明各個不同的例示實 施例,係隨同控制器運行線上的系統識別方案,恆常地調 整模型,以使模型仿效系統的真正行為。此情況下的一個 困難的任務,乃是確定:在輸出中所觀測到的誤差是由於 工具差異計入下的誤差,抑或是產品差異計入下的誤差。 以下时論將廓畫一方案,用以決定哪些模型參數有誤差, 並用以施行正確的模型更新。 我們以簡單的行程至行程控制器開始單一個製程,並 擴充至多產品及工具之情形。我們將對線性製程模型做標 準的可觀測性測試,供例示之用。 做為-例’考慮簡單的蝕刻或研磨製程,目標是要在 每_一行程上達到所要的移除量。此製程之簡化的模型為 \Xrt一·$中1表不厚度移除量’ P為時間平均速率,而?為製 本紙張尺度適用中國國家標準(CNS)A4i格⑵0 x 297〜------ 74 91914 --------------------訂---------線 lip (請先閱讀背面之注意事項再填寫本頁) 563218
五、發明說明(乃) 程處理時間。 在取適應控制形式時,係用線上系統識別,來隨行程 調整速率估汁。為簡化且易於分析,在此係將該模型線性 並轉換成狀態空間表示法。如果模型在標稱(n〇minai) 速率%及時間附近線性化,則距標稱移除量外偏差少 之方程式為 少= /W+r々0, (1) 式中ί及r分別表示距標稱時間及速率偏差。然後,將模 型轉換成狀態空間表示法, ^+i-Ax,+Bu,5 (2a) y’C、, (2b) 式中x為狀態向量,y為量測的輪出向量,而輸入向 量。A及B矩陣表達,狀態及輸入如何影響狀態的特徵值。 C矩陣將目前的狀態值映射成現實量測的輸出。在目前之 例中, 0 0' 0 1 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) + [4 (3a) 經濟部智慧財產局員工消費合作社印製 Μ=[ι (3b) 該狀態向量x包含(即時間調整所引起的移除量改 變),及r(即距標稱速率。偏差)。該量測向量y僅包含少 (即距標稱移除量偏差),而該輸入向量u僅包含r (即距 標稱時間/〇偏差)。 此模型足供單一個製程控制之用。該單一個速率估計 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 75 91914 經濟部智慧財產局員工消費合作社印製 563218 A7 五、發明說明(76 ) 係假設適用於每一行程,且其調整係在每一量測之後。然 而,在大量製造的環境中,因有多個工具及產品而另增複 雜性。在此工作中,每一產品工具組合通稱為穿者 r⑶《ίπί)。控制目標是要每一行程皆在靶值,不論運行 中的是哪一產品工具組合。有一簡單的途徑,是假設該組 狀態適用於一切製程處理背景。在具有若干背景之環境 下,此方法的缺陷在於,每一製程所聯合的速率能徹底有 異於彼此。此情形發生時,每一至新背景之切換顯現為對 控制器之步進擾動,如第24圖所示;此係因控制器並不了 解該速率為何會有此太大的改變。 舉例來說,在許多應用中,很快地都觀測到,不同的 產品將有極不相同的視在反應速率(apparent reaction rates )。然而,速率能隨批次漂移,甚至在僅製造一個產 品時漂移。此點能由反應器垢化、耗材退化、製程洩漏, 及類似情形而引發。因為至不同產品之每一切換顯現為步 進改變,單隨行程而追蹤對^之估計乃是不可接受的,如 下所示。如第24圖所示,自批次6直到15,係運行次一 產品,而反應器垢化致使速率在模擬全程中不斷地衰減。 另一易於實作之例示方法,是將具有類似背景之行程 一同群集,為了使彼等分享參數估計。在如此方法中,毋 需彼此分離地識別產品及工具偏移。每一組合皆單有自己 的速率估計,且僅係基於此背景下的行程所出的量測,來 更新此估計。然而’此方法有其缺陷:以實例來說,要認 知一個工具所受到的擾動,須憑藉此工具使用所在的每一 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 76 91914 563218 A7 ' ----------§1 五、發明說明(77 ) 老景。在大系統中,此點將是不利的,因為在不同的背景 更新其參數估計之際,將會有龐大數目之行程錯失其乾 值。此資訊應立即或快速地讓該擾動影響所及的一切背景 之間來分享。 有若干情形,能制定偏移由製程處理背景的不同部份 所引起。其一例為:工具至工具之變異可重複,而不管運 行中的產品;且產品至產品之變異一致,縱然是在不同的 工具上運行。為利用此觀測到的優點,可對模型加上額外 之項。對於CMP製程來說,對不同的產品縮放其速率是有 意義的。此率因移除速率係大大地依賴於接處表面的特 性’且因不同的產品將有不同的圖案密度。所以,在此所 用的移除量之方程式為ί = ,式中X表示移除量,7為工 具之時間平均速率常數,7為產品所規定的速率縮放因 子’而?為製程處理時間。此關係類似於說明研磨製程之普 利斯敦方程式(Preston,s equation) Αχ Κ Fv IT 丁, (4) 式中Δχ為移除量,心為製程處理時間,心為速率常數,ν 為表面速度’ F為施力,而Ζ為接觸表面積。 在標稱、/Q及附近線性化,此時距標稱移除量偏 差少之方程式成為 3^ν/〇々 +,·/〇·ί〇+ν/·ί0, (5) 式中〖、r及/分別表示距標稱時間、工具速率常數及產品 縮放因子偏差。以下的狀態空間表示法包括二個做為狀態 --------------Φ (請先閱讀背面之注意事項再填寫本頁) ·11111 經濟部智慧財產局員工消費合作杜印製 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) 77 91914 563218 A7 B7 五、發明說明(78 之模型參數估計 X ~ aaj r 一 ~0 0 0' 0 1 0 'Xai r + >〇·/〇" 0 乂 k+l 0 0 1 J 一 k 0 [4 Μ=[ι /〇 々。/w〇] (6a) (6b) 所以能唯一地識別,所呈現的方程式是否 型參數r及/。關於一時間不變的線性系統,像是這一個, 其可觀測性格拉米安(observability Gramian )之非奇異性 測試,能由下式之秩計算來施行: 〇 = [Cr Arcf (Ar)2CrLj ⑺ 式中係依嘗試獲致滿秩(full rank)之所需,而在矩陣中 包含儘可能多之項。對於以上系統來說,係 Ο 為該二個模 (8) 經濟部智慧財產局員工消費合作社印製 此矩陣並非全秩,故係統依目前定義乃是不可觀測的。因 此不可能僅使用來自單-個背景之行程資料來唯一 模型參數。 此為直觀的結果 為能識別產品至產品及工具至 工具之相依性,模型包含一切不同的製程處理背景乃是有 助益的。不同產品的有關資訊應讓工具間分享,反之亦^。 此則必須整個地審視全體的製程收集, Μ ^ 叩非一次專注於一 個個別的背景。 、 考慮有二個工具(1及2)及三個產品(Α、Β及C 之假想的製程〇彼等能以任何產品/工罝 ..... - - ,、、、且 口 Υ故: 表紙張尺㈣財關家群(CNS)A4規格⑵0 X 297公f ) _—"—------ 78 91914 ---------------------訂---------Aw (請先閱讀背面之注音?事項再填寫本頁) 563218 A7 B7 五、發明說明(79 ) 使用以上的線性化形式,並假設一切組合都有單一個「標 稱」點,則關於每一背景之距標稱移除量偏差能以下列諸 式來說明: 儿=V/。·,+V/。·,〇 (9a) 少⑺=G · /〇 j + Ί · /〇 々〇 +. /5 · ^, (9b) Jic =ro ·/〇 .i + ri ·/〇·,〇 +v/c ·’〇, (9c) Άα ~r0 */〇 *^ + r2 */〇 +r0 */4 *^05 (9d) 少2S = V/〇 ·/〇 Λ +r。H (9e) yic =r0 ·/〇 ^ + r2 */〇 +r0 'fc *^〇· (9f) 此整體的系統能組合成單一個狀態空間模型 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 "0 0 0 0 0 (Γ v/o ri 0 1 0 0 0 0 n 0 r2 0 0 1 0 0 0 h + 0 [t\ L 0 0 0 1 0 0 fA 0 Λ 0 0 0 0 1 0 Λ 0 Jc_ k+l 0 0 0 0 0 1 _/c. k 0 _1 ·/〇·’〇 0 ,〇々〇 0 0 yw 1 /〇.,〇 0 0 0 ri y\c 1 ·/〇.’〇 0 0 0 广0々0 r2 y2A 1 0 /〇々〇 r〇-i〇 0 0 L 少25 1 0 /〇々〇 0 厂0々0 0 fs 一八c_ 1 0 fo^o 0 0 ro ·’0_ Jc_ (10a) (10b) 式中狀態係由調整量(心)、工具偏移(及G )及產品 偏移(Λ、Λ及/c)所組成。此模型屬假想的情況,其中 一切產品/工具組合係以相同的輸入設定而同時性地運 行。雖然在實務上此情況絕不會發生,但其對不同的製程 處理背景間的交互作用,以理解的立場來說卻是有用的。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公f ) 79 91914 563218
五、發明說明(8〇 ) =實例來說,顯然是不顧製程處理工具,而以單一個A產 口口因子用於產品A的所有製程。 可觀測性測試如此處所算, 1 1 1 1 , , /〇·ί〇 /〇·ί〇 /〇.ί〇 ο 〇 n / / 。 0 0 Ο ο 〇 f 0 /〇*ί〇 /〇·ί〇 /〇·% Ο 〇 〇 ο /〇·ί〇 /0·ί0 ΟΟΟ f t 0 r〇.i〇0 ο ㈣ ο ο 二 /〇〇〇 /〇〇〇 Γο*% n)'t0 〇 〇 ,* Λ Λ υ r〇't0 Ο 0 ,0·ί〇 Ο ο ^ , 0 ^〇*ί〇 〇 〇 0 〇 Ό.,ο ο ,〇·ί〇 Ο Ο r〇 · ί〇 _ (11) 八秩為不滿於,故該系統以其目前的形式乃是不可觀測 的。在此’方程式⑺之矩陣中僅前二項為充份。其原因在 於,所有的行程都卷纏了產品偏移以及工具偏移。需要另 加的約束條件,來^某一個或另一個變數。做為一例來 說,或許可能對工具做合格限定而以實驗來量測工具參 數於疋將另有額外的系統輸出少广。及h = r2加入。 當如此的實驗並非視情況而可行時,也可能簡單地選 擇有標稱偏壓之參考工具或產品。此方法的一個缺點是: 在怪常改變的製造環境中,或許難以識別參考工具或產 品° (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 如果對以上的範例系統另加做合格性實驗,則該級合 系統的新的輸出方程式為 '少l/ Ί /〇々〇 0 0 0 1 /〇々〇 0 0 ,〇々〇 1 /〇々〇 0 0 0 0 yiA = 1 0 /〇々〇 V。 0 0 少25 1 0 /〇々〇 0 0 yic 1 0 /〇 ·’〇 0 0 ro^o y\ 0 1 0 0 0 0 入 0 0 1 0 0 0 r2 ΪΛ /β fc 此新系統的可觀測性矩陣 本紙張尺度適用中國國家標準(CNS)A4規格(210x 297公釐) (12) 91914 80 563218 A7 B7 經濟部智慧財產局員工消費合作社印製 (13) Ο 0 0 五、發明說明(81 1 ^ ^ 1 1 1 0 0 0 0 〇 0 0 0 0 0 /ο·ί〇 /〇。?〇 /〇〇ί〇 0 ο 0 1 0 /o-ro /〇-r〇 /〇.ί〇 οοοιο Ο f〇't0 f〇.t〇 f〇,t〇 0 ! 〇 Ο 〇 /〇·ί〇 /〇.r〇 /〇·ί〇 Ο 1 t 〇 ^*ί〇 0 Ο Ο Ο Γ〇·ί〇 Ο ο r0.t0 Ο * ° ° ^%t〇 〇 Ο Ο Ο 巧·ί〇 Ο Ο rh-t〇 Ο ° Γ〇 ί〇 ° 0 r0't0 〇〇 Ο 〇 ^·ί〇 〇 〇 Γ〇·ί〇 為滿秩’故此系統是可觀測的。在此,方程式(7)之矩陣中 又是僅前二項為充份。然而,系統在此依然是能同時性地 發生一切可能的行程,這樣的稀有情形。實務上,則是一 次發生一個行程。有可能確定,每一行程後更新模型狀態 之適當方式。 在結構上’此系統雷同真實系統,其中不同的量測係 以不同的頻率取樣。此多速率(multirate )取樣問題在近 來的文獻中以有所處置。以如此的系統,可觀測性在有不 同的量測組合可取時,乃歷時改變。但是,隱含的根本要 求為:在做盡每一時步(time step)下的一切可能量測, 這樣的極限情形中,系統是可觀測的。 此刻’對具有類似於真實系統之動力學,這樣的假想 系統,乃有模型可取用。次一步驟,是定義一控制律,及 能將真實製程映射成模型空間之觀測器。 控制目標是要將每一行程驅動至靶值,而不顧製程處 理背景。在此係使用非週期(dead-beat)控制律而對模型 狀態做目前最佳的猜測,來達成此點。一特定的製程處理 背景係由輸出矩陣C中的單一個列來表示。舉例來說,關 於工具2上所運行的產品B,其距標稱移除量偏差係由方 程式(9e)給出,方程式(9e)對應於輸出矩陣的第5列。為記 法方便性,對應於目前背景之輸出矩陣列將標誌為。 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐 81 91914 563218 經濟部智慧財產局員工消費合作社印製 82 91914 A7 五、發明說明(82 ) 於是’所要的輸入11^滿足 y cort,des — ^con (Αχ + Βΐΐ^,^ ), ,. Λ 〇4) $ y—,為關於此製程處理背景之距標稱移 求解u心,得出 ’、篁偏差。 ^es=(Cc〇rfi) l(yco„ides -Cc〇„Ax). 在給有模型參數之目前估計時,此方程式給出 理背景之輸入。 订製程處 觀測器必須將真實製程之量測映射成模 態能做更新。該觀測器之設計不如控制律般簡單:一般來 說原因在於,由製程行程所做之單一個量測卷 移以及工具偏移。預測誤差應分布於參數更新之間。= 每一量測之新資訊必須合併進現存的資訊中。 有一個達成此點之簡單方式,是想像:輪出向量W 不同的元素為個別的感測器而依然固定在其前此之值,直 到為新的量測所改變。此途徑的利益在於, T入系統整 體’藉而設計習知的狀態觀冑器。觀測器增益矩陣 選取而使得 i,+1=Ai,-fBu,+L(y^CyJ. (16) 觀測器矩陣將量測的與預測的輸出兩者的差映射成 至狀態估計之改變。然而,有主缺陷在於,通過的輸出係 留下而固定在前此之值上。在輸入改變時,此等輸出實不 再有任何效驗,因其往後就不表示製程的目前狀態。如果 量測是對目前而做,則量測將回應新的輸入而有所改變。 由留下不活動的輸出常數之譜系的相對端,一切不以 I氏張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) — --- --------tl---------^· (請先閱讀背面之注意事項再填寫本頁) 563218 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明說明(83 ) 時步量測的輸出,其值能設定為將由目前狀態估計所預測 之值。在狀態施行更新時,此方法結果係使所有的舊量測 遭忽略。 運行一 Matlab™模擬序列,俾以例示全廠性模型的概 念。第一模擬序列全都在上例中所規定的條件下運行。在 此例中,有二個製程處理工具及三個產品。當時,有工具 合格性事件可取用,來直接量測該等由工具所規定的模型 參數。狀態估計器(estimater )的起始點,係假設一切工 具及產品以標稱值匹配。控制目標是要保持一切不同的工 具產σσ組合以標稱移除量j;。運行。 關於此等測試,應注意一件重要的事情:此等測試係 始自一起始點,在此點控制器對系統無知,而必須立刻識 別所有的產品及工具。對於控制器來說,能做到此點乃是 重要的,而在正常的穩定態(steady_state)操作下的工具 及產品偏移將相當準確地為控制器所知悉,且擾動將僅影 響製程的子集合。為此理由,此等測試大多是在控制器已 穩定了製程之後對系統注入擾動,以便來看控制器對隔絕 的擾動如何反應。 第一模擬例示最佳可能的情節,藉而建立一基線。此 乃先則所提及的假想的情形,其中一切可能的行程在每一 時步下都同時性地發生,為了使所有的量測能用來更新該 等狀態估計。於模擬期間,對製程輸出加上了白雜訊;在 行程30 (也稱為時步3〇),係對工具2加上了步進擾動。 $ 25圖包含該測試的結果。第25圖概要地例示,在此假 --------訂---------線-0^ (請先閱讀背面之注意事項再填寫本頁) 令紙浪尺度適用中國國家標準(CNS)A4規格(21〇 χ 2犯公釐) 83 91914 563218 經濟部智慧財產局員工消費合作社印製 A7 —----------- B7______ 五、發明說明(84 ) 想的最佳情形情節中之距把值百分比偏差。在此假想的情 形下,控制器能很快地臻於靶值且拒絕擾動。 此測試的最重要結果為:在控制器有最大量資訊可取 用之清开/下,系統整個施行得很好。所有其他的測試將處 分更現實的情況,其中控制器僅有此資訊的子集合。對於 控制器是否能以該減小了的資訊集合而有功能,接績的行 程將企圖例示一些重要的確定因素。 在所測試的次一情況中,一次僅發生一個行程,且輸 出係維持於其前此之值直到更新為止。為了測試之目的, 在每一行程,或則運行隨機的產品於隨機的工具上,抑或 登錄合格性事件。所有的八個可能的情節(六個產品背景 及二個合格性事件)在每一行程有相等的發生可能性。如 先前所提及的,此情況引起一些問題,因為在改變輸入而 提供有用資訊時,必須改變輸出。在此測試中,輸入在每 一行程上皆改變,但每次僅有一個輸出在更新。如此,供 回饋用之輸出並不表示製程的真正狀態。第26圖示出此實 驗的結果。第26圖概要地例示,在此r固定的輸出」情形 下之距靶值百分比偏差。 此組態並未能甚好地控制製程。輸出向量中所含的狀 態資訊不良地影響了狀態觀測器,因為量測並不顯現對輪 入改變之回應。此點致使控制器嘗試對其重複補償,而導 致不穩定性。 所測試的次一模擬情形,係使用狀態之目前模型估 計,而估計錯失的量測之值。結果,每一狀態之更新僅 --------訂 ---------線 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 84 91914 563218 A7 五、發明說明(85 ) (請先閱讀背面之注意事項再填寫本頁) 含量測中的行程所出的ttfi。測試條件係類似於先前的模 擬而對行程做隨機的選擇。然而,在行程(或時步)80, 對工具2上的速率卻注入一步進擾動。在第27圖中,能看 到該等測試結果。第27圖概要地例示,在此「預測的輪出」 障幵v下之距乾值百分比偏差。此方案臻於乾值,且成功地 拒絕擾動。然而,較諸製程的有關資訊都經量測之一切情 況,該回應甚為遲緩。 第28圖所描繪的測試,同於先前的控制器,但應用 一組不同的法則而生成了行程順序。第28圖概要地例示, 在此「預測的輸出」有額外合格性的情形下之距靶值百分 比偏差。對工具係以二倍於先前情形較常地做合格限定, 俾確疋控制器所受的影響。在此測試中,系統更快平衡, 且比先前情形更容易拒絕擾動。 經濟部智慧財產局員工消費合作社印製 為測試系統的可縮放性,前此的測試使用了 6個工具 及7個產品。第29圖概要地例示,在此大尺度系統情形下 之距靶值百分比偏差。。該系統係在控制下開始,且須處 分多個擾動。在行程(或時步)50,對工具1加上步進擾 動;在行程(或時步)150,產品6有步進擾動。第29圖 中的結果示範,控制器經歷極少數行程時成功地拒絕擾 此點乃是重要的,因其係示範計入整個系統時的,模 型的威力。以實例來說’在產品A正於工具1上運行之, 將能檢測該工具上的偏移。在此情形下,對~以及人之估 計都將稍做調整。任何產品在工具1上之次一行程仍將 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 85 91914 563218 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(86 ) 現該偏移(雖然其程度較小);且~之估計甚至將調整得 更近於新的校正值。產品A在任何工具上之 向該校正值,往回移動g估計。 仃程將朝 在此組態中,每一狀態估計之更新僅使用目前行程之 量測所出的資訊。既然一切較舊的資訊遭忽略,則要應確 實地阻尼觀測器。定性之例有其教益。如果產品A在工具 1上之行程有高於預測之移除量,則~及厶之估計其一或 兩者會太低。為了正確地更新狀態,必須審視產品A在不 同工具上的其他行程的結果或其他產品在工具丨上的行程 的結果。然而,所選的更新策略僅容許基於目前行程的結 果來做更新。令觀測器自每一行程僅做小改變,則狀態將 至少朝正確的方向移動,且所有的行程一同將使狀態估計 移動至正確值。無疑,此問題的理想解決做法,將企圖在 做狀態估計時,組合儘可能多的來自舊量測之資訊與該等 新值。 見諸於大尺度半導體製造中的多製程處理背景,有一 些有趣的控制挑戰。為檢查整個製程處理環境而開發了控 制暨估計途徑,控制器由此乃一次看到整體,而非僅專注 於個別的背景。在此等條件之下所行之模擬顯示,該理念 確有好處。一全廠性的控制器若給有足夠的資訊,則能操 縱整個由一切不同的製程所組合成的系統。 有若干因素左右了控制器的性能。其有賴於所能獲增 的最多製程資訊。對每一行程上所不量測的系統輸出應做 何處置’相關的決策對該性能有徹底的影響。還有,在資 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公f ) 91914 n I *^1 in ^^1 n ^^1 · ϋ n n 1 ϋ I ϋ』eJ_ w * W ns w 謙 (請先閱讀背面之注意事項再填寫本頁) 563218 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 A7 B7 五、發明說明(87 ) 料能獲自混同著生產行程之合格性事件時,控制回應則有 所改良。因此等因素,對重要的模型參數乃提供直接的量 測。 此系統的一個有趣特徵是:每當工具或產品有所增 減,模型必須重建。此係因模型將整個系統立刻計入之故。 雖然此點或許顯現為一缺陷,實際上卻對製程提供非直觀 可見的洞察。既然每次系統改變時,觀測器必須基於整個 系統而重建,則回饋的性質係依賴於工具和產品的分配。 此思謂在個製程處理背景上檢測到的誤差應有不同的 處置’視整個系統中的其他背景而定。 將系統視為整體時,系統各個不同的部份顯然有許多 的資料分享。既然控制器的性能束缚於所能擷取的資訊的 品質,則對製程處理次序及取樣計畫,㈣檢查其效果將 疋有;的。充份先進的控制器,將能基於其所將提供的系 統狀態資訊,而優先化—定的行程及量測。與此點密切有 關的,係由事件所驅動而基於模型的控制概念。製程的狀 態(包括模型參數估計)係受序列分立而經模型化的事件 所影響’對製程並非以連績體視之。 在各個不同的例示實施例中,可開發無關於產品之工 具狀態模型。此工具狀態係工具的本質速率。此速率 之改變影響工具上所運行的一切產品。 xk+\ +^kuk 製程狀態(x)用輸出方程式: yk^ckxk^Dk 本紙張尺錢財關家鮮(CNS)A4麟(210 X 297公餐)— --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 88 563218 A7 ------- B7 五、發明說明(88 ) 而映射成產品狀態(少)。 然後’用估計器來追蹤工具狀態(JC ),而非產品狀態 (少)°卡門(Kalman)最適過濾方程式檢驗指示,最適觀測 器增益為輪出映射(C)的函數。 P^Ak\>-PCkT{f:kPCkT +R)-lckp\ikT +GQG\ L-PCkT{ckPCkT-,R)\ 所以’使用離線分析,該可重複的產品相依性能合格 地企及新的模型速率r如下,式中。為製程處理工具的「本 質速率」,而心為產品所規定的校正因子: rHp· 然後觀測器以每一由產品規定的因子來縮放所觀測 的速率,對r。而非r做估計。 在確切知悉由產品所規定的因子之情況下,以上所說 明的方案甚為有用。無論運行中的產品為何,都對製程處 理工具操作之改變加以觀測。然而,在真實的製造環境中 會有若干複雜性發生。舉例來說,會有若干製程處理工具 在新的產品出現,以原始材料以及製程處理工具停機時間 來說’其實驗會甚為昂貴。此處的衝擊為:對產品所規定 的因子,並不總是先驗地知悉。 以上方法係觀測單一個參數(% );但有必要找出快速 獲得新&估計之方式。此點能藉觀測每一行程上的速率並 據而更新模型參數,而做到。每一行程的結果係對視速率 尸之量測。為由該資料(r )來估計~及~,係使用模型方 程式: 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 91914 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 563218 A7 B7 五、發明說明(89 ) r = r0kp. 使用泰勒級數(Taylor series)近似,則&4.^+^士。。 此所意謂的是,r值之視改變能表達為r。及&估計之 改變。所以,有必要將該等改變分類(使用變異數分析技 術),俾確定如何在該二參數間分布誤差。 使用此估計器的一個方法,是對每一參數應用線性過 滤器。 apparent k 'r〇,last K^ro,i〇sn p,last ——k pjast .last' 經濟部智慧財產局員工消費合作社印製 該等值變異,以便反映對參數估計之信賴。在預期 r。改變之情況下,;ir為高,且在認為t有誤差之情況下, 有尚Ar。 做為一例來說’關於完備建立的產品,其&準確受到 高度的信賴。此外,則~歷時地漂移。如此,則使用到關 係Λ»Λ。另一方面,關於新的產品,其~值少受信賴。 不準確的預期將甚於雜訊及〃。之漂移而影響速率,故設 。 Matlab™模擬示出,此方案對製程之追蹤甚佳。該模擬 係以以下方式運行。事先選取製程處理工具數目(n)、產 品數目(m )及行程數目(p )。對每一產品,皆給獨一的 「真實」心值;對每一工具,皆給獨一的r。值。對於每一 行程’選取隨機的工具及產品。將正確的r。與心相乘並加 本紙張尺度過所甲囫圑豕ί示準(CNS)A4規格(210 X 297公复 89 91914 --------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 563218 經濟部智慧財產局員工消費合作社印製 90 A7 五、發明說明(9〇 ) 上隨機的雜訊,藉以算出量測。然後,加偏移至所選工具 之以便歷時地模擬其漂移。在如上所說明的每一行程 之後更新參數估計。在所有的情形下,該等估計都甚快地 追蹤至真實的參數值。 •有$的是注意到:比起在一定工具上(工具專屬性) 運用給定產品之長串行程來說,隨機地選取產品及工具使 付收歛較快。工具為專屬時,難以在二個參數之間適當地 指定速率估計的誤差。此點似乎有關於系統識別理論中的 持績激勵UxeitatiGn)要求;但傳統上認為卫具專屬性使 製程控制較為容易,故此點乃是一有趣的結果。對於此製 程最好的控制器將能論處同時性識別和控制之雙重控制 問題t程選取涉及:|緊密追縱乾值與俾便表徵該製程 之間,求得兩者之妥協,因該二個目標彼此衝突。 在有關研磨及/或蝕刻之在各個不同的例示實施例 中例如參見第30圖,提供一例示的製造系統3〇1〇的簡 化方塊圖。在該例示的實施例中,製造系統3〇丨〇係經適 應,來製造半導體元件。雖然本發明係就其在半導體製造 成施中可實作的情开> 而做說明,但本發明並不受此限而可 適用於其他的製造環境。網路3〇2〇將製造系統3〇1〇各個 不同的組件互連,使彼等得以交換資訊。該例示製造系統 3010包含複數個工具3030至3080。工具3〇3〇至3〇8〇每 一個皆可耦合於用來與網路302()相介的電腦(未示出)。 製程控制伺服器3090指引製程流程,藉而指引製造 系統3010之尚階操作。製程控制伺服器監視製造系 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) ---- 91914 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 563218 經濟部智慧財產局員工消費合作社印製 91 A7 五、發明說明(91 ) 統3010中各個不同的實物(含工具3030至3 080)的狀況。 資料庫伺服器301 00,則提供來儲存製程流程中各個不同 的製造實物及物品(如,晶圓)狀況的有關資料。資料庫 伺服器30100可將資訊儲存於一個或更多個資料儲存器 30110中。資料可包括前製程及後製程度量衝資料、工具 狀態、製程流程活動(如,排程的保養事件、對於數批晶 圓之製程處理路由)’及類似資料。一般來說,係在不同的 電腦之間分配製程處理及資料儲存功能,來提供獨立性及 中央資訊儲存器。當然,也可以使用更多或更少的電腦。 適合使用於製造系統3010中之例舉的資訊交換及製 程控制機架,乃是「先進製程控制」(APC)機架,諸如可 用KLA-Tencor公司所提出的「催化劑」系統來實作之機 架。該「催化劑」系統使用「半導體設備及材料國際組織」 (SEMI )「電腦整合製造」(CIM )機架的遵行系統技術, 且係基於先進製程控制機架。CIM( SEMI E81-0 69 9--「用 於CIM機架領域架構之臨時規袼」)及apc ( SEMI E93-0999 「對於CIM機架先進製程控制組件之臨時規格」) 規格,可自SEMI公開取得。 本發明及所對應的詳細說明,有部份係呈現做軟體, 或電腦記憶體内的資料位元上的操作演算法及符號表示 法。此等說明及表示法使普通熟習此項技藝者有效地傳達 其工作實質,給其他普通熟習此項技藝者。演算法一辭, 如本文所用或一般所用的,咸信為自洽的步驟順序,而導 致所要的結果。該等步驟要求對物理量做物理調處。通常 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐)一- 91914 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 563218 A7 五、發明說明(92 ) (請先閱讀背面之注意事項再填寫本頁) (但非必要),此等物理量係取能夠儲存、轉移、組合、比 較否則即忐做調處之光、電或磁訊號形式。已證實,以 1元、值、元素、符號、字符、項、數目,及類似形式來 提及此等訊號,有時是方便的,主要是為了公共用法之理 由0 然而,應謹記,此等及類似的術語都與適當的物理量 聯合,且僅係應用於此等物理量之方便標記。除非另有規 疋載明,或疋從討論顯見,否則本文中之用辭,諸如「製 程處理」、「計算 、Γ笪ψ ,、Γ遗a r at Τ异」鼻出」確疋」、「顯示」,及類似之辭 在提及時,係指電腦系統或類似的電子計算元件的作用及 裝程’其係將資料(表不為該電腦系統的暫存器及記憶體 内的物理的電子性之量)調處並變換成其他資料(類似地 表示為該電腦系統記憶體或暫存器或其他如此的資訊儲 存、傳輸或顯示元件内的物理量)。 工具3030至3080經群集而成類似工具之組,類似工 具以字母尾置來標誌。一特定晶圓或晶圓批於製造當時, 係經由工具3030至3080來進行,而工具3〇3〇至3〇8〇每 經濟部智慧財產局員工消費合作社印製 -個皆施行製程流程中之-特定魏。例舉的製程處理工 具3030至3080包括微影步進機、蝕刻工具、沉積工具、 研磨工具、快速熱處理工具、離子佈植工具、及類似工具。 工具3030至3080也可有一些為度量衡工具,經適應來量 測製程處理中的晶圓的特性(如,表面剖形〔pr〇file〕)。 在該例示的實施例中,工具組3030八至3〇3〇c表示蝕刻工 具;而工具組3070A至3070C表示研磨工具。以典型來說, 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297TJ1---------—- 92 91914 563218 經濟部智慧財產局員工消費合作社印製 93 A7 B7 五、發明說明(93 ) 一特定晶圓或晶圓批通過製程流程的路徑會有所變異。製 程控制伺服器3090為個別晶圓批經由製程流程選路,視必 須施行的步驟及工具3030至3080之可取用性而定。一特 定的晶圓批在其生產中可通過同一工具3030至3080 —次 以上(如,可使用一特定的蝕刻工具3〇3〇來做一次以上之 #刻操作)。 工具303 0至3080係以階級和檔案群集例示,僅做例 示之用。在現實的實作中,工具可以任何群集次序來安排。 此外,在一特定群中,工具之間的連接僅意在表示與網路 3 020之連接,而非工具之間的互連。 製程控制祠服器3090控制一特定晶圓批經由工具 3030至3080的路徑。基於製程資料,製程控制伺服器3〇9〇 監視工具3Q30至3080的操作狀態。製程資料可包括:對 經由工具3030至3080進行的晶圓的前及後製程量測。舉 例來說’如果一特定的研磨工具(如,3〇7〇a)正在偏好 中心快速(center-fast )研磨之狀態下操作,則製程控制 伺服器3 0 9 0會注意到此傾向〇製程控制伺服器3 q 9 〇也可 監視其他工具(諸如蚀刻工具3030)的操作狀態,來確定 該#刻工具的目前狀態是偏好中心快速或是中心慢速 (center-slow)餘刻。 製程控制伺服器3090於必要時可初始化前製程處理 及/或後製程處理度量衡事件,來確定工具3〇3〇至3〇8〇 的操作狀。來自度量衡事件的資料可轉回至製程控制伺 服器3090 (或網路3020上一些其他的計算資源),並受分 本紙張尺度適用中國國家標準(CNS)A4規士(210 X 297公爱)---- 91914 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 563218 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明(94 ) 析。或者,製程控制伺服器3〇9〇可存取已經收集並儲於資 料庫伺服器3 0110中的製程資料。舉例來說,對於各個不 同的工具,前製程及後製程度量衡資料可經收集而生成製 程控制及/或故障檢測的統計資料。 製程控制伺服器3090確定一批晶圓經過製造系統 3〇1〇的製程流程之特定路由,於其時評估工具至3080 的目前的操作狀態。舉例來說,對一特定晶圓批施行研磨 程序之前,製程控制伺服器3090首先確定該批中的晶圓的 表面剖形(如,凹碟型及凸頂型)。製程控制伺服器3〇9〇 可初始化度量衡事件,來確定該表面剖形或存取資料庫伺 服器30110中的資訊。確定該進入的表面剖形之後,製程 控制飼服器3090評估工具3070A至3070C的目前的操作 狀態’而確定哪(幾)個工具有迎合進入的表面剖形來做 研磨之傾向。如果該進入的表面剖形為凹碟型,則製程控 制伺服器3090選擇在中心慢速狀態下操作的研磨工具 3070A至307 0C。類似地,如果進入的表面剖形為凸頂型, 則製程控制伺服器3090選擇在中心快速狀態下操作的研 磨工具3070A至3070C。 對蝕刻製程,有類似的途徑可應用。製程控制伺服器 3090選擇特定蝕刻工具3030A至3030C,以迎合於進入的 表面剖形之狀態來操作。如果該進入的表面剖形為凹碟 型’製程控制伺服器3090選擇在中心慢速狀態下操作的餘 刻工具3030A至3030C。類似地,如果進入的表面剖形為 凸頂型,則製程控制伺服器3090選擇在中心快速狀態下操 94 91914 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 563218 經濟部智慧財產局員工消費合作社印製 95 A7 五、發明說明(95 ) 作的蝕刻工具3030A至3030C。 以上所揭露的依據本發明方法的實施例,其任何一個 皆能使用發送自量測工具之參數量測,來做監督製程處理 調整’或為手動抑或自動地,以改良及/或較佳地控制良 率。而且,以上所揭露的依據本發明製造方法的實施例, 有很多個係處理取樣為「先進製程控制」(APC )系統的動 態控制環境之一整合的部份,藉而大為有效地改良取樣度 量衡。寧可不應用靜態「最適」取樣率,而係處理取樣為 基於下列條件而升高或下降的動態變數:情況資訊,諸 如最近的資料變異中的改變量及/或改變率;(2)事件,諸 如保養及/或操作之製程上游中的改變;(3)及閉環行程至 行程控制器在其控制模型參數識別方案中的需求。此外, 以上所揭露的依據本發明製造方法的實施例,任何一個皆 能有簡效化及簡化的製程流程,以提高的元件準確性及精 破性、^南的效率及提高的良率,來從事半導體元件製造, 藉而減小複雜性並降低製程的成本,且提高產能。 以上所揭露的特定實施例僅為例示,因本發明可藉獲 益於本文中之傳授而熟習此項技藝者所顯見的不同但等效 的方式’來做修正或實行。而且,在以下所說明的專利請 求項之外,並無意受到本文中所示出的建構或設計細節之 限制。因此,以上所揭露的特定實施例顯然可做變更或修 而切如此的變異係視為在本發明範圍及精神之内。 特別應了解,本文t所揭露的值範圍(其形式為「自約a 或等效地「自大約a至b」,或等效地「自大約 張尺度翻中酬家標準(CNS)A4規格(21() χ 29 ---- 、’、 91914 --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 563218 A7 _B7_ 五、發明說明(96 ) a-b」),在提及時皆指各別值範圍的冪集合(所有子集之集 合)--就康托(Georg Cantor)的定義來說。據此,本 文中所尋求之保護乃如以下的專利請求項所述。 --------------------訂---------線# (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 96 91914

Claims (1)

  1. H3
    申請專利範圍修正本 一種調適取樣方法,包含·· (92年7月3曰) ::少一個製程處理步驟中,對工件上所施行的 I私處理,取樣至少一個特性參數; 使用適應取樣製程處理模型來模型化該至少一個 取樣的特性參數’而基於情況資訊、上游事件和行程 至行程控制器要求等至少其—來變化取樣,以處理取 樣為動態控制環境之整合的部份;以及 應用該適應取樣製程處理模型,來修正該至少一 個製程處理步驟中所施行的製程處理。 2·如申請專利範圍第"員之方法,其中在該製程處理步· 驟中,對該工件上所施行的製程處理,取樣至少一個 特f生參數,其方法係包含:使用先進製程控制(APC ) 系統,來監視該至少一個特性參數。 3.如 中 請 專 利範 圍第 2 項之方法, ,其 中 使 用 該 先 進製 程 濟 部 Ay 控 制 系 統 , 來 監視 該 至少一個相 :性 參 數 其 方 法係 包 甲 央 標 含 • 使 用 該 先 進製 程 控制糸統, 而 於 該 製 程 處 理步 驟 準 局 員 期 間 監 視 製 程處: 理, 工具的至少 一個工具變數。 工 福 利 4.如 中 請 專 利 範 圍第 1 項之方法, ►其 中 使 用 該 適應取樣 委 員 會 製 程 處 理 模 型 來模 型 化該至少一 •個 取 樣 的 特 性 參數 j 印 製 其 方 法 係 包 含 :使 用 適應取樣壤 [程 處 理 模 型 , 而併 有 至少一個模型預測式控制(MPC )控制器和比例積分 本紙張尺中國國家標準(CN_S) A4規格(21{)>< 297公爱) 1 91914 563218 微分⑺ιυ控制器,該等控 5. =請專利範圍第4項之方法,其中使用該適應羡 製程處理模型,而併有至少 D w 1开啕主夕一個模型預測 器和比例積分微分控制器,該等控制器有至少一:: ==至其方法係包含:❹適應取樣製程處理模型, 而併有至少-個閉環模型預測式控制控制器和閉環比 ::::分控制器,該等控制器有至少一個 6. =專利範圍第4項之方法,其中應用該適應取樣 裝程處理模型,來修正該製程處理步驟中所施行的製 程處理,其方法係包含:微調該至少一個微調參數, 來改良該製程處理步驟中所施行的製程處理。 7·如申請專利範圍第2項之方法,其中使用該適應取樣 製程處理模型來模型化該至少一個取樣的特性參數, 其方法係包含:使用適應取樣製程處理模型,而併有 至少-個模型預測式控制控制器和比例積分微分控制 器,該等控制器有至少一個微調參數。 經濟部中央標準局員工福利委員會印製 8·如申請專利範圍第7項之方法,其中應用該適應取樣 製程處理模型,來修正該製程處理步驟中所施行的製 程處理,其方法係包含:微調該至少一個微調參數, 來改良該製程處理步驟中所施行的製程處理。 9·如申請專利範圍帛3項之方法,其中使用該適應取樣 製程處理模型來模型化該至少一個取樣的特性參數, 其方法係包含:使用適應取樣製程處理模型,而併有 至少一個模型預測式控制控制器和比例積分微分控制 本紙張尺度適用中國國家標準(CNS) A4規格(21〇χ 297公釐----- 2 91914 563218 器,該等控制器有至少„個微調參數。 1〇·如申請專利範圍第9項之方法,其中應用該適應取樣 裝程處理模型,來修正該製程處理步驟中所施行的製 程處’、方法係包含··微調該至少-個微調參數, 來改良該製程處理步驟中所施行的製程處理。 11. 一種電腦可讀取之μ 買取之z錄媒體,該記錄媒體係以指令編 碼而經電腦執行時施行之程序,其程序係包括·· 在至少-個製程處理步驟中,對工件上所施行的 製程處理,取樣至少一個特性參數; 使用適應取樣製程處理模型來模型化該至少一個 取樣的特性參數,而基於情況資訊、上游事件和行程 至行程控制器要求等至少其一來變化取樣,以處理取 樣為動態控制環境之整合的部份;以及 應用該適應取樣製程處理模型,來修正該至少一 個製程處理步驟中所施行的製程處理。 12·如申請專利範圍第U項之記錄媒體,其中在該 經濟部中央標準局員工福利委員會印製 理步驟中,對該工件上所施行的製程處理,取樣其至 少一個特性參數,其方法係包含:使用先進製程控制 (APC )系統,來監視該至少一個特性參數。 13. 如申請專利範圍第12項之記錄媒體,其中使用該先進 製程控制系統,來監視該至少一個特性參數,其方法 係包含:使用該先進製程控制系統,而於該製程處理 步驟期間,監視快速熱處理工具的至少一個工具變數。 本紙張尺度適用中國國家標準(CNS) A4規格(210 x 297公釐) 14. 如申請專利範圍第U項之記錄媒體1中使用該摘龐 Q1014 563218 ------ H3 取樣製程處理模型來模型化該至少一個取樣的特性參 數’其方法係包含:使用適應取樣製程處理模型,而 併有至少一個模型預測式控制(MPC )控制器和比例 積分微分(PID )控制器,該等控制器有至少一個微調 參數。 15 ·如申請專利範圍第14項之記錄媒體,其中使用該適應 取樣製程處理模型,而併有至少一個模型預測式控制 控制器和比例積分微分控制器,該等控制器有至少一 個微調參數,其方法係包含··使用適應取樣製程處理 模型’而併有至少一個閉環模型預測式控制控制器和 閉環比例積分微分控制器,該等控制器有至少一個微 調參數。 16·如申請專利範圍第14項之記錄媒體,其中應用該適應 取樣製程處理模型,來修正該製程處理步驟中所施行 的製程處理,其方法係包含··微調該至少一個微調參 數,來改良該製程處理步驟中所施行的製程處理。 經濟部中央標準局員工福利委員會印製 17.如申請專利範圍第12項之記錄媒體,其中使用該適應 取樣製程處理模型來模型化該至少一個取樣的特性參 數’其方法係包含:使用適應取樣製程處理模型,而 併有至少一個模型預測式控制控制器和比例積分微分 控制器,該等控制器有至少一個微調參數。 18·如申請專利範園第I?項之記錄媒體,其中應用該適應 取樣製程處理模型,來修正該製程處理步驟中所施行 的製程處理,其方法係包含:微調該至少一個微調參 &張尺度適用中國國家標準(⑽)A4$格⑽χ 297 --' 4 91914 563218 數’來改良該製程處理步驟中所施行的製程處理。 19·如申請專利範圍第Η «己錄媒體,其中使用該適應 取樣製程處理模型來;(:宣刑彳μ 4 y , I术棋型化該至少一個取樣的特性參 數,其方法係包含:使用適應取樣製程處理模型,而 併有至v個杈型預測式控制控制器和比例積分微分 控制器’該等控制ϋ有至少_個微調參數。 2〇·如申請專利範圍第19項之記錄媒體,其中應用該適應 取樣製程處理模型,來修正該製程處理步驟中所施行 的製程處理’其方法係包含:微調該至少一個微調參 數’來改良該製程處理步驟中所施行的製程處理。 21· 一種電腦經程式化來施行調適取樣之方法,該方法包 含·· 在至少一個製程處理步驟中,對工件上所施行的 製程處理,取樣至少一個特性參數; 經濟部中央標準局員工福利委員會印製 使用適應取樣製程處理模型來模型化該至少一個 取樣的特性參數,而基於情況資訊、上游事件和行程 至行程控制器要求等至少其一來變化取樣,以處理取 樣為動態控制環境之整合的部份;以及 應用該適應取樣製程處理模型,來修正該至少一 個製程處理步驟中所施行的製程處理。 本紙張尺度適用中國國家標準(CNS) A4規格(210 x 297公爱) 22·如申請專利範圍第21項之電腦經程式化來施行調適取 樣之方法,其中在該製程處理步驟中,對該工件上所 施行的製程處理,取樣至少一個特性參數,其方法係 包3 ·使用先進製程控制(APC )系統,來監視該至 5 91914 563218 少一個特性參數。 23·如申請專利範圍第22項之電腦經程式化來施行調適取 樣之方法,其中使用該先進製程控制系統,來監視該 至^、個特性參數’其方法係包含:使用該先進製程 控制系統,而於該製程處理步驟期間,監視快速熱處 理工具的至少一個工具變數。 24·如申凊專利範圍第21項之電腦經程式化來施行調適取 樣之方法’其中使用該適應取樣製程處理模型來模型 化該至少一個取樣的特性參數,其方法係包含··使用 適應取樣製程處理模型,而併有至少一個模型預測式 控制(MPC )控制器和比例積分微分(PID )控制器, 該等控制器有至少一個微調參數。 經濟部中央標準局員工福利委員會印製 25 ·如申請專利範圍第24項之電腦經程式化來施行調適取 樣之方法,其中使用該適應取樣製程處理模型,而併 有至少一個模型預測式控制控制器和比例積分微分控 制器’該等控制器有至少一個微調參數,其方法係包 含:使用適應取樣製程處理模型,而併有至少一個閉 環模型預測式控制控制器和閉環比例積分微分控制 器,該等控制器有至少一個微調參數。 26_如申請專利範圍第24項之電腦經程式化來施行調適取 樣之方法,其中應用該適應取樣製程處理模型,來修 正該製程處理步驟中所施行的製程處理,其方法係包 含··微調該至少一個微調參數,來改良該製程處理步 驟中所施行的製程處理。 本紙張尺度適用中國國家標準(CNS) A4規格(210 x 297公釐) 6 91914 563218 -- H3 經濟部中央標準局員工福利委員會印製 27·如申請專利範圍第22項之電腦經程式化來施行調適取 樣之方法,其中使用該適應取樣製程處理模型來模型 化該至少一個取樣的特性參數,其方法係包含:使用 適應取樣製程處理模型,而併有至少一個模型預測式 控制控制器和比例積分微分控制器,該等控制器有至 少一個微調參數。 28·如申請專利範圍第27項之電腦經程式化來施行調適取 樣之方法,其中應用該適應取樣製程處理模型,來修 正該製程處理步驟中所施行的製程處理,其方法係包 含:微調該至少一個微調參數,來改良該製程處理步 驟中所施行的製程處理。 29·如申請專利範圍第23項之電腦經程式化來施行調適取 樣之方法,其中使用該適應取樣製程處理模型來模型 化該至少一個取樣的特性參數,其方法係包含··使用 適應取樣製程處理模型’而併有至少一個模型預測式 控制控制器和比例積分微分控制器,該等控制器有至 少一個微調參數。 30. 如申請專利範圍第29項之電腦經程式化來施行調適取 樣之方法,其中應用該適應取樣製程處理模型,來修 正該製程處理步驟中所施行的製程處理,其方法係包 含:微調該至少一個微調參數,來改良該製程處理步 驟中所施行的製程處理。 31. —種調適取樣方法,包含: --色至少二個製程處理步驟中,對工件卜觫说一认 ^張尺度適用中國國家Μ規格(21_7公麓)- 91914
    7 563218 製程處理,取樣至少一個特性參數; 使用適應取樣製程處理模型來模型化該至少一個 取樣的特性參數,而基於包含最近資料的變異量和最 近資料變異的改變率至少其一之情況資訊、包含製程 上游中的保養和製程上游中的改變至少其一之上游事 件和在企圖識別控制模型參數時行程至行程控制器要 求之至少其一來變化取樣,以處理取樣為動態控制環 境之整合的部份;以及 應用該適應取樣製程處理模型,來修正該至少一 個製程處理步驟中所施行的製程處理。 32.如申請專利範圍第31項之方法…在該製程處理步 驟中,對該工件上所施行的製程處理,取樣至少一個 特丨生參數,其方法係包含:使用先進製程控制(APC ) 系統,來監視該至少一個特性參數。 經濟部中央標準局員工福利委員會印製 33·如申請專利範圍第32項之方法,其中使用該先進製程 控制系統,來監視該至少一個特性參數,其方法係包 含·使用該先進製程控制系統,而於該製程處理步驟 期間’監視快速熱處理工具的至少一個工具變數。 34·如申請專利範圍第31項之方法,其中使用該適應取樣 製程處理模型來模型化該至少一個取樣的特性參數, 其方法係包含:使用適應取樣製程處理模型,而併有 至少一個模型預測式控制(MPC )控制器和比例積分 微为(PID )控制器’該4控制器有至少一個微調參數。 g·如申請專利範圍第3^一巧之方法,其中使用該適應取樣 本紙張尺度適用中國國家標準(CNS) A4規格(210 X297公楚)_--一"- -— 8 91914 563218 製程處理模型,而併有至少一個模型預測式控制控制 器和比例積分微分控制器,該等控制器有至少一個微 調參數,其方法係包含:使用適應取樣製程處理模型, 而併有至少一個閉環模型預測式控制控制器和閉環比 例積分微分控制器,該等控制器有至少一個微調參數。 36·如中請專利範圍第34項之方法’彡中應用該適應取樣 製程處理模型,來修正該製程處理步驟中所施行的製 程處理,其方法係包含:微調該至少一個微調參數, 來改良該製程處理步驟中所施行的製程處理。 7·如申明專利範圍第32項之方法,其中使用該適應取樣 製程處理模型來模型化該至少一個取樣的特性參數, 其方法係包含:使用適應取樣製程處理模型,而併有 至少一個模型預測式控制控制器和比例積分微分控制 器’該等控制器有至少一個微調參數。 經濟部中央標準局員工福利委員會印製 38·如申請專利範圍第37項之方法,其中應用該適應取樣 製程處理模型,來修正該製程處理步驟中所施行的製 程處理’其方法係包含:微調該至少一個微調參數, 來改良該製程處理步驟中所施行的製程處理。 39·如申請專利範圍第33項之方法,其中使用該適應取樣 製程處理模型來模型化該至少一個取樣的特性參數, 其方法係包含:使用適應取樣製程處理模型,而併有 至少一個模型預測式控制控制器和比例積分微分控制 器’該等控制器有至少一個微調參數。 40·如申請專利範圍第39項之方法,其中應用該適應取樣 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公爱) "— - 9 91914 563218 經濟部中央標準局員工福利委員會印製 製程處理模型,來修正該製程處理步驟中所施行的製 程處理,其方法係包含··微調該至少一個微調參數, 來改良該製程處理步驟中所施行的製程處理。 41. 一種調適選樣系統,包含: 工具,用來在至少一個製程處理步驟中,對工件 上所施行的製程處理,取樣至少一個特性參數; 電腦,使用適應取樣製程處理模型來模型化該至 少一個取樣的特性參數,而基於情況資訊、上游事件 和行程至行程控制器要求等至少其一來變化取樣,以 處理取樣為動態控制環境之整合的部份;以及 控制器’應用該適應取樣製程處理模型,來修正 該至少一個製程處理步驟中所施行的製程處理。 42·如申請專利範圍第41項之系統,其中在該至少一個製 程處理步驟中’對該工件上所施行的製程處理,取樣 至少一個特性參數,所用的工具包含:監視器,使用 先進製程控制(APC )系統,來監視該至少一個特性 參數。 43·如申請專利範圍第42項之系統,其中該先進製程控制 系統於該至少一個製程處理步驟期間,監視至少一個 製程處理工具的至少一個工具變數。 44·如申請專利範園第41項之系統,其中模型化至少一個 取樣的特性參數,這樣的電腦係使用適應取樣製程處 理模型,而併有至少一個模型預測式控制(Mpc )控 制器和比例積分微分(PID )控制器,該等柝剎s古系 本紙張尺度適用中國國家標準(CNS).A4規格(210 x 297公釐) 10 91914 563218 少一個微調參數。 45·如申請專利範圍第44項之系統,其中該電腦使用適應 取樣製程處理模型,而併有至少一個閉環模型預測式 控制控制器和閉環比例積分微分控制器,該等控制器 有至少一個微調參數。 46·如申請專利範圍第44項之系統,其中應用該適應取樣 製程處理模型,來修正該至少一個製程處理步驟中所 施行的製程處理,這樣的控制器係微調該至少一個微 調參數’來改良該至少一個製程處理步驟中所施行的 製程處理。 47.如申請專利範圍第42項之系統,其中模型化至少一個 取樣的特性參數,這樣的電腦係使用適應取樣製程處 理模型’而併有至少一個模型預測式控制控制器和比 例積分微分控制器,該等控制器有至少一個微調參數。 48·如申請專利範圍第47項之系統,其中應用該適應取樣 製程處理模型,來修正該至少一個製程處理步驟中所 施行的製程處理,這樣的控制器係微調該至少一個微 經濟部中央標準局員工福利委員會印製 調參數’來改良該至少一個製程處理步驟中所施行的 製程處理。 49.如申請專利範圍第43項之系統,其中模型化至少一個 測量的特性參數,這樣的電腦係使用適應取樣製程處 理模型’而併有至少一個模型預測式控制控制器和比 例積分微分控制器,該等控制器有至少一個微調參數。 50·如申请專利範圍第49項之系統,其中應用該適應取樣 本紐尺度適財s國家標準(CNS) A4規格(210 x 297公爱) 11 91914 563218 氣程處理权型’來修正該至少一個製程處理步驟中所 施行的製程處s,這㈣㈣器係微調該i少一個微 調參數’來改良該至少一個製程處理步驟中所施行的 製程處理。 51·—種調適取樣裝置,包含·· 取樣機構,用來在至少一個製程處理步驟中,對 工件上所施行的製程處理,取樣至少一個特性參數; 模型化機構,使用適應取樣製程處理模型來模型 化該至少一個取樣的特性參數,而基於情況資訊、上 游事件和行程至行程控制器要求等至少其一來變化取 樣,以處理取樣為動態控制環境之整合的部份;以及 修正機構,應用該適應取樣製程處理模型,來修 正該至y 個製程處理步驟中所施行的製程處理。 52·如申請專利範圍第51項之裝置,其中在該至少一個製 程處理步驟中,對該工件上所施行的製程處理,取樣 至少一個特性參數,所用的取樣構件包含用途如下的 經濟部中央標準局員工福利委員會印製 機構··使用先進製程控制(APC )系統,來監視該至 少一個特性參數。 53·如申請專利範圍第52項之裝置,其中使用該先進製程 控制系統,來監視該至少一個特性參數之機構,包含·· 使用該先進製程控制系統,於該至少一個製程處理步 驟期間,監視至少一個製程處理工具的至少一個工具 變數。 ^ 範圍第51項之裝置,其中使用該適龐取樣_ 本a張尺度適財ϋ國家標準(CNS) Α4規格(210 χ 297公爱) 563218 製程處理模型來模型化該至少一個取樣的特性參數 所用的模型化機構包含用途如下的機構:使用適應取 樣製程處理模型’而併有至少一個模型預測式控制 (MPC )控制器和比例積分微分(piD )控制器,該等 控制器有至少一個微調參數。 55·如申請專利範圍第54項之裝置,其中使用該適應取樣 製程處理模型,而併有至少—個模型預測式控制控制 器和比例積分微分控制器,該等控制器有至少一個微 調參數,所用的機構包含用途如下的機構:使用適應 取樣製程處理模型,而併有至少一個閉環模型預測式 控制控制器和閉環比例積分微分控制器,該等控制器 有至少一個微調參數。 56.如申請專利範圍第54頂夕駐要 , . 示貝之裝置,其中應用該適應取樣 製程處理模型,來修正該至少一個製程處理步驟中所 行的製程處理’所用的修正機構包含用途如下的機 構•微調該至少一個措裀会也 . 因儆調參數,來改良該至少一個製 經濟部中央標準局員工福利委員會印製 程處理步驟中所施行的製程處理。 57·如申請專利範圍第52頊夕祐里 廿上 項之裝置,其中使用該適應取樣 製程處理模型來模刮彳卜# x , 生化該至少一個取樣的特性參數, 所用的模型化機構包含用n ^ ^仏 含用途如下的機構··使用適應取 樣製程處理模型,而併古石,^ 併有至少一個模型預測式控制控 制器和比例積分微分杵鈿 _ ^ ^ 刀役制Is,該等控制器有至少一個 微調參數。 ·如申請專利範圍第5 7項之驻里甘心也 本紙張尺庶摘^Φ_宇评平裝置’其中應用該適應取樣 %伦 1210 X 297 公釐) 13 91914 563218 製程處理模型,來修正該至少一個製程處理步驟中所 施行的製程處理,所用的修正機構包含用途如下的機 構:微調該至少一個微調參數,來改良該至少一個製 程處理步驟中所施行的製程處理。 59.如申請專利範圍第53項之裝置,其中使用該適應取樣 製程處理模型來模型化該至少一個取樣的特性參數, 所用的模型化機構包含用途如下的機構:使用適應取 樣製程處理模型,而併有至少一個模型預測式控制控 制器和比例積分微分控制器,該等控制器有至少一個 微調參數。 60·如申請專利範圍第59項之裝置,其中應用該適應取樣 製程處理模型,來修正該至少一個製程處理步驟中所 施行的製程處理,所用的修正機構包含用途如下的機 構:微調該至少一個微調參數,來改良該至少一個製 程處理步驟中所施行的製程處理。 經濟部中央標準局員工福利委員會印製 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 91914 14
TW090122535A 2000-09-15 2001-09-12 Adaptive sampling method for improved control in semiconductor manufacturing TW563218B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US66373200A 2000-09-15 2000-09-15

Publications (1)

Publication Number Publication Date
TW563218B true TW563218B (en) 2003-11-21

Family

ID=24663051

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090122535A TW563218B (en) 2000-09-15 2001-09-12 Adaptive sampling method for improved control in semiconductor manufacturing

Country Status (9)

Country Link
US (1) US6988017B2 (zh)
EP (1) EP1317694B1 (zh)
JP (1) JP2004509407A (zh)
KR (1) KR100824443B1 (zh)
CN (1) CN1186700C (zh)
AU (1) AU2001288856A1 (zh)
DE (1) DE60104705T2 (zh)
TW (1) TW563218B (zh)
WO (1) WO2002023289A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI503763B (zh) * 2012-10-09 2015-10-11 Taiwan Semiconductor Mfg Co Ltd 半導體處理控制方法和電腦可讀取紀錄媒體
TWI728576B (zh) * 2019-11-27 2021-05-21 台灣積體電路製造股份有限公司 半導體結構的製造方法及電腦可讀取記錄媒體

Families Citing this family (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8510476B2 (en) * 2001-02-15 2013-08-13 Brooks Automation, Inc. Secure remote diagnostic customer support network
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US7402257B1 (en) * 2002-07-30 2008-07-22 Advanced Micro Devices, Inc. Plasma state monitoring to control etching processes and across-wafer uniformity, and system for performing same
US7376472B2 (en) * 2002-09-11 2008-05-20 Fisher-Rosemount Systems, Inc. Integrated model predictive control and optimization within a process control system
US7295954B2 (en) * 2002-09-26 2007-11-13 Lam Research Corporation Expert knowledge methods and systems for data analysis
US8017411B2 (en) * 2002-12-18 2011-09-13 GlobalFoundries, Inc. Dynamic adaptive sampling rate for model prediction
US7653515B2 (en) * 2002-12-20 2010-01-26 Lam Research Corporation Expert knowledge methods and systems for data analysis
US6766214B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Adjusting a sampling rate based on state estimation results
WO2004105101A2 (en) * 2003-05-16 2004-12-02 Tokyo Electron Limited A process system health index and method of using the same
US6988045B2 (en) 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
US7328126B2 (en) 2003-09-12 2008-02-05 Tokyo Electron Limited Method and system of diagnosing a processing system using adaptive multivariate analysis
DE10345626A1 (de) * 2003-09-29 2005-05-12 Heidenhain Gmbh Dr Johannes Numerische Steuerung mit Werkzeugmaschinensimulator
US7187989B2 (en) * 2003-12-22 2007-03-06 Fakhruddin T Attarwala Use of core process models in model predictive controller
US7473566B1 (en) * 2004-02-03 2009-01-06 Advanced Micro Devices, Inc. Method and apparatus for controlling a film formation process with multiple objectives
US7203554B2 (en) * 2004-03-16 2007-04-10 United Technologies Corporation Model predictive controller with life extending control
US7127358B2 (en) * 2004-03-30 2006-10-24 Tokyo Electron Limited Method and system for run-to-run control
US7203555B2 (en) * 2004-05-14 2007-04-10 University Of Delaware Predictive regulatory controller
US7502715B1 (en) * 2004-09-21 2009-03-10 Asml Netherlands B.V Observability in metrology measurements
US7076321B2 (en) * 2004-10-05 2006-07-11 Advanced Micro Devices, Inc. Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
US8676538B2 (en) * 2004-11-02 2014-03-18 Advanced Micro Devices, Inc. Adjusting weighting of a parameter relating to fault detection based on a detected fault
US8095240B2 (en) * 2004-11-18 2012-01-10 Applied Materials, Inc. Methods for starting and operating a thermal abatement system
US7682574B2 (en) * 2004-11-18 2010-03-23 Applied Materials, Inc. Safety, monitoring and control features for thermal abatement reactor
US7477960B2 (en) * 2005-02-16 2009-01-13 Tokyo Electron Limited Fault detection and classification (FDC) using a run-to-run controller
DE502006001391D1 (de) * 2005-02-28 2008-10-02 Siemens Ag Verfahren zum elektronischen betreiben einer werkzeugmaschine
US7117059B1 (en) * 2005-04-18 2006-10-03 Promos Technologies Inc. Run-to-run control system and operating method of the same
US7299154B1 (en) * 2005-05-16 2007-11-20 Advanced Micro Devices, Inc. Method and apparatus for fast disturbance detection and classification
DE102005024915B4 (de) * 2005-05-31 2016-09-15 Advanced Micro Devices, Inc. Verfahren und System für eine fortschrittliche Prozesssteuerung mit anlagenabhängigen Maschinenkonstanten
DE102005030586A1 (de) 2005-06-30 2007-01-11 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung der Messunsicherheit als Steuerungseingang
DE102005035735B4 (de) * 2005-07-29 2007-08-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Abschätzen eines Zustands einer nicht initialisierten fortschrittlichen Prozesssteuerung durch Anwendung unterteilter Steuerungsdaten
KR100702843B1 (ko) * 2005-08-12 2007-04-03 삼성전자주식회사 로트가변 배치처리가 가능한 반도체 제조설비 및 그로트가변 배치처리방법
DE102005046972A1 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung einer Kombination aus gewichteten relativen Voreinstellungswerten
US8036760B2 (en) * 2005-10-04 2011-10-11 Fisher-Rosemount Systems, Inc. Method and apparatus for intelligent control and monitoring in a process control system
US20070088448A1 (en) * 2005-10-19 2007-04-19 Honeywell International Inc. Predictive correlation model system
US7200523B1 (en) * 2005-11-30 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for filtering statistical process data to enhance process performance
US20080042830A1 (en) * 2005-12-30 2008-02-21 Skyetek, Inc. Virtual rfid-based tag sensor
JP4791840B2 (ja) * 2006-02-06 2011-10-12 株式会社日立ハイテクノロジーズ 荷電粒子線装置、走査電子顕微鏡、および試料検査方法
JP4825530B2 (ja) * 2006-02-06 2011-11-30 株式会社日立ハイテクノロジーズ パターン欠陥検査方法および装置
CN101495925B (zh) * 2006-03-16 2013-06-05 应用材料公司 用于改进电子装置制造系统的操作的方法与设备
US7577483B2 (en) * 2006-05-25 2009-08-18 Honeywell Asca Inc. Automatic tuning method for multivariable model predictive controllers
US8005575B2 (en) 2006-06-01 2011-08-23 General Electric Company Methods and apparatus for model predictive control in a real time controller
GB2438893B (en) * 2006-06-09 2010-10-27 Applied Materials Inc Ion beams in an ion implanter
US7373215B2 (en) * 2006-08-31 2008-05-13 Advanced Micro Devices, Inc. Transistor gate shape metrology using multiple data sources
US7580768B2 (en) * 2006-09-22 2009-08-25 Texas Instruments Deutschland Gmbh Method of adjusting process variables in a processing flow
US7738986B2 (en) 2006-10-09 2010-06-15 GlobalFoundries, Inc. Method and apparatus for compensating metrology data for site bias prior to filtering
US7509186B2 (en) * 2006-11-07 2009-03-24 International Business Machines Corporation Method and system for reducing the variation in film thickness on a plurality of semiconductor wafers having multiple deposition paths in a semiconductor manufacturing process
DE102006059430A1 (de) * 2006-12-15 2008-06-19 Robert Bosch Gmbh Automatisierte Erstellung und Adaption eines Maschinen- oder Anlagenmodells
US7991499B2 (en) * 2006-12-27 2011-08-02 Molnar Charles J Advanced finishing control
US7716230B2 (en) * 2007-02-07 2010-05-11 International Business Machines Corporation Multi-dimensional serial containment process
US8244644B2 (en) * 2007-02-07 2012-08-14 International Business Machines Corporation Supply chain multi-dimensional serial containment process
US20080216077A1 (en) * 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US8145337B2 (en) * 2007-05-04 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology to enable wafer result prediction of semiconductor wafer batch processing equipment
WO2008147523A1 (en) * 2007-05-25 2008-12-04 Applied Materials, Inc. Cogeneration abatement system for electronic device manufacturing
WO2008147522A1 (en) * 2007-05-25 2008-12-04 Applied Materials, Inc. Methods and apparatus for assembling and operating electronic device manufacturing systems
US20090018688A1 (en) * 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
US20090012744A1 (en) * 2007-07-03 2009-01-08 Texas Instruments Incorporated System and Method for Statistically Evaluating the Operation of Integrated Circuit Fabrication Tools
US8095907B2 (en) * 2007-10-19 2012-01-10 International Business Machines Corporation Reliability evaluation and system fail warning methods using on chip parametric monitors
KR20100084676A (ko) * 2007-10-26 2010-07-27 어플라이드 머티어리얼스, 인코포레이티드 향상된 연료 회로를 사용하는 스마트 저감을 위한 방법 및 장치
DE102008000038A1 (de) * 2008-01-11 2009-07-16 Robert Bosch Gmbh Vorrichtung
US8271122B2 (en) 2008-03-07 2012-09-18 Mks Instruments, Inc. Process control using process data and yield data
US7622308B2 (en) * 2008-03-07 2009-11-24 Mks Instruments, Inc. Process control using process data and yield data
US8355810B2 (en) * 2009-01-29 2013-01-15 Applied Materials, Inc. Method and system for estimating context offsets for run-to-run control in a semiconductor fabrication facility
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US8433434B2 (en) * 2009-07-09 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Near non-adaptive virtual metrology and chamber control
US8620461B2 (en) * 2009-09-24 2013-12-31 Honeywell International, Inc. Method and system for updating tuning parameters of a controller
JP5547472B2 (ja) * 2009-12-28 2014-07-16 株式会社荏原製作所 基板研磨装置、基板研磨方法、及び基板研磨装置の研磨パッド面温調装置
EP2365410B1 (en) * 2010-03-09 2018-06-27 Siemens Aktiengesellschaft Controlling a manufacturing process
US8606386B2 (en) * 2010-03-12 2013-12-10 Ana Maria Dias Medureira Pereira Multi-agent system for distributed manufacturing scheduling with Genetic Algorithms and Tabu Search
US9026239B2 (en) * 2010-06-03 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. APC model extension using existing APC models
US10295993B2 (en) * 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
US9677493B2 (en) 2011-09-19 2017-06-13 Honeywell Spol, S.R.O. Coordinated engine and emissions control system
US20130111905A1 (en) 2011-11-04 2013-05-09 Honeywell Spol. S.R.O. Integrated optimization and control of an engine and aftertreatment system
US9650934B2 (en) 2011-11-04 2017-05-16 Honeywell spol.s.r.o. Engine and aftertreatment optimization system
US9002498B2 (en) * 2012-02-02 2015-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Tool function to improve fab process in semiconductor manufacturing
CN102540895B (zh) * 2012-02-28 2015-04-15 中国科学院微电子研究所 一种先进过程控制系统及其测试方法
US9292010B2 (en) * 2012-11-05 2016-03-22 Rockwell Automation Technologies, Inc. Online integration of model-based optimization and model-less control
US9187800B2 (en) * 2013-02-15 2015-11-17 Ford Motor Company Process control for post-form heat treating parts for an assembly operation
US10324424B2 (en) 2013-03-11 2019-06-18 Johnson Controls Technology Company Control system with response time estimation and automatic operating parameter adjustment
US9395708B2 (en) 2013-03-11 2016-07-19 Johnson Controls Technology Company Systems and methods for adaptive sampling rate adjustment
US9282048B1 (en) * 2013-03-14 2016-03-08 Moat, Inc. System and method for dynamically controlling sample rates and data flow in a networked measurement system by dynamic determination of statistical significance
US9245067B2 (en) 2013-03-15 2016-01-26 General Electric Company Probabilistic method and system for testing a material
US9567660B2 (en) 2013-06-27 2017-02-14 Ford Global Technologies, Llc Method and system for using an irreversible thermo-chromatic indicator for quality assurance of a part subjected to heat treating
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
US10576603B2 (en) * 2014-04-22 2020-03-03 Kla-Tencor Corporation Patterned wafer geometry measurements for semiconductor process controls
US9733627B2 (en) 2014-08-13 2017-08-15 Honeywell International Inc. Cloud computing system and method for advanced process control
US10133263B1 (en) 2014-08-18 2018-11-20 Kla-Tencor Corporation Process condition based dynamic defect inspection
EP3051367B1 (en) 2015-01-28 2020-11-25 Honeywell spol s.r.o. An approach and system for handling constraints for measured disturbances with uncertain preview
EP3056706A1 (en) 2015-02-16 2016-08-17 Honeywell International Inc. An approach for aftertreatment system modeling and model identification
WO2016148705A1 (en) * 2015-03-17 2016-09-22 Halliburton Energy Services, Inc. Optimization of downhole logging tool data resolution
US10551247B1 (en) * 2015-04-27 2020-02-04 National Technology & Engineering Solutions Of Sandia, Llc Global analysis peak fitting for chemical spectroscopy data
EP3091212A1 (en) 2015-05-06 2016-11-09 Honeywell International Inc. An identification approach for internal combustion engine mean value models
TWI539298B (zh) * 2015-05-27 2016-06-21 國立成功大學 具取樣率決定機制的量測抽樣方法 與其電腦程式產品
EP3125052B1 (en) 2015-07-31 2020-09-02 Garrett Transportation I Inc. Quadratic program solver for mpc using variable ordering
US10272779B2 (en) 2015-08-05 2019-04-30 Garrett Transportation I Inc. System and approach for dynamic vehicle speed optimization
US10359371B2 (en) 2015-08-24 2019-07-23 Kla-Tencor Corp. Determining one or more characteristics of a pattern of interest on a specimen
US10415492B2 (en) 2016-01-29 2019-09-17 Garrett Transportation I Inc. Engine system with inferential sensor
JP6647931B2 (ja) * 2016-03-16 2020-02-14 株式会社Kelk 半導体ウェーハの温度制御装置、および半導体ウェーハの温度制御方法
US10124750B2 (en) 2016-04-26 2018-11-13 Honeywell International Inc. Vehicle security module system
US10036338B2 (en) 2016-04-26 2018-07-31 Honeywell International Inc. Condition-based powertrain control system
CN106294126B (zh) * 2016-07-22 2019-01-04 上海华力微电子有限公司 Sen离子注入机台的自动化程式正确性管理方法及装置
US11199120B2 (en) 2016-11-29 2021-12-14 Garrett Transportation I, Inc. Inferential flow sensor
US10868857B2 (en) 2017-04-21 2020-12-15 Johnson Controls Technology Company Building management system with distributed data collection and gateway services
US10739028B2 (en) 2017-06-09 2020-08-11 Johnson Controls Technology Company Thermostat with efficient wireless data transmission
US10333810B2 (en) 2017-06-09 2019-06-25 Johnson Controls Technology Company Control system with asynchronous wireless data transmission
CN107546998B (zh) * 2017-07-25 2019-12-10 华南理工大学 一种基于双环预测控制的切换型控制方法
US11397171B2 (en) 2017-09-18 2022-07-26 Ecolab Usa Inc. Adaptive range flow titration systems and methods with sample conditioning
US11057213B2 (en) 2017-10-13 2021-07-06 Garrett Transportation I, Inc. Authentication system for electronic control unit on a bus
JP7035457B2 (ja) * 2017-11-01 2022-03-15 ブラザー工業株式会社 パラメータ更新方法、パラメータ更新システム、及びプログラム
CN108008695A (zh) * 2017-12-05 2018-05-08 鄂州职业大学 一种智能模具制造的数控加工方法及控制系统
CN110246775B (zh) * 2018-03-09 2022-05-03 联华电子股份有限公司 控制机台操作的装置与方法
US11113168B2 (en) * 2018-03-09 2021-09-07 Toyota Motor Engineering & Manufacturing North America, Inc. Distributed architecture for fault monitoring
BR112020020717B1 (pt) 2018-04-09 2023-10-24 Ecolab Usa Inc Sistema de titulação automatizado, e, método para quantificar uma concentração de analito alvo em uma corrente de amostra
US11397170B2 (en) * 2018-04-16 2022-07-26 Ecolab Usa Inc. Repetition time interval adjustment in adaptive range titration systems and methods
EP3611570A1 (en) * 2018-08-16 2020-02-19 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
US10700605B1 (en) 2018-12-12 2020-06-30 Infineon Technologies Austria Ag Electrical power converter with predictor
WO2020261383A1 (ja) 2019-06-25 2020-12-30 東芝三菱電機産業システム株式会社 データ収集装置、プラント監視システムおよびデータ収集方法
WO2021087053A1 (en) * 2019-11-01 2021-05-06 Mattson Technology, Inc. Control system for adaptive control of a thermal processing system
US11429091B2 (en) 2020-10-29 2022-08-30 Kla Corporation Method of manufacturing a semiconductor device and process control system for a semiconductor manufacturing assembly

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3777128A (en) * 1972-03-31 1973-12-04 Kearney & Trecker Corp Input data sampling scheme for computer controlled machine tools
US5740033A (en) * 1992-10-13 1998-04-14 The Dow Chemical Company Model predictive controller
JP3293680B2 (ja) * 1993-03-08 2002-06-17 中部電力株式会社 火力発電用ボイラの離散時間モデル規範形適応蒸気温度制御装置
US5519605A (en) * 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
US5896294A (en) * 1997-03-11 1999-04-20 Advanced Micro Devices, Inc. Method and apparatus for inspecting manufactured products for defects in response to in-situ monitoring
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
JPH11272305A (ja) * 1998-03-23 1999-10-08 Toshiba Corp プラント制御装置
US6248602B1 (en) * 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6337217B1 (en) * 2000-02-14 2002-01-08 Advanced Micro Devices, Inc. Method and apparatus for improved focus in optical processing
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI503763B (zh) * 2012-10-09 2015-10-11 Taiwan Semiconductor Mfg Co Ltd 半導體處理控制方法和電腦可讀取紀錄媒體
US9158867B2 (en) 2012-10-09 2015-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. 2D/3D analysis for abnormal tools and stages diagnosis
TWI728576B (zh) * 2019-11-27 2021-05-21 台灣積體電路製造股份有限公司 半導體結構的製造方法及電腦可讀取記錄媒體

Also Published As

Publication number Publication date
KR20030036791A (ko) 2003-05-09
AU2001288856A1 (en) 2002-03-26
JP2004509407A (ja) 2004-03-25
CN1459052A (zh) 2003-11-26
EP1317694A2 (en) 2003-06-11
EP1317694B1 (en) 2004-08-04
US6988017B2 (en) 2006-01-17
WO2002023289A3 (en) 2002-08-29
CN1186700C (zh) 2005-01-26
DE60104705T2 (de) 2005-09-15
US20050221514A1 (en) 2005-10-06
KR100824443B1 (ko) 2008-04-23
DE60104705D1 (de) 2004-09-09
WO2002023289A2 (en) 2002-03-21

Similar Documents

Publication Publication Date Title
TW563218B (en) Adaptive sampling method for improved control in semiconductor manufacturing
US11940740B2 (en) Methods and apparatus for obtaining diagnostic information relating to an industrial process
Khan et al. An approach for factory-wide control utilizing virtual metrology
He et al. Statistical process monitoring as a big data analytics tool for smart manufacturing
Khan et al. Virtual metrology and feedback control for semiconductor manufacturing processes using recursive partial least squares
US20140031968A1 (en) Run-to-Run Control Utilizing Virtual Metrology in Semiconductor Manufacturing
US9122261B2 (en) Apparatus and method for real-time sequential quadratic programming in industrial process control systems
US20200050180A1 (en) Methods & apparatus for controlling an industrial process
TWI729334B (zh) 用於判定器件之控制方案的方法、電腦程式和系統及用於判定多個器件處理之基板的方法
Zeng et al. Virtual metrology modeling for plasma etch operations
JP2016224947A (ja) サンプリングレート決定機構付きの測定サンプル抽出方法及びそのコンピュータプログラム製品
CN113330463A (zh) 在半导体设备工具中利用神经网络进行腔室匹配
Ringwood et al. Estimation and control in semiconductor etch: Practice and possibilities
Kao et al. Run-to-run control utilizing virtual metrology with reliance index
Lee et al. Prediction of wafer state after plasma processing using real-time tool data
Wan et al. Gaussian process regression for virtual metrology-enabled run-to-run control in semiconductor manufacturing
Melhem et al. Regression methods for predicting the product’s quality in the semiconductor manufacturing process
TWI825209B (zh) 微電子元件製造用的系統和方法
US6907369B1 (en) Method and apparatus for modifying design constraints based on observed performance
Cheng et al. Intelligent sampling decision scheme based on the AVM system
KR20050065663A (ko) 첫 번째-원칙 피드-포워드 제조 제어를 제공하기 위한 방법및 장치
Moyne Making the move to fab-wide APC.
Gill Development of virtual metrology in semiconductor manufacturing
Vanli et al. Model context selection for run-to-run control
Kim et al. Computational metrology: enabling full-lot high-density fingerprint information without adding wafer metrology budget, and driving improved monitoring and process control

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent