DE60104705T2 - Verbesserte regelung mit adaptives abtastverfahren zur halbleiterherstellung - Google Patents

Verbesserte regelung mit adaptives abtastverfahren zur halbleiterherstellung Download PDF

Info

Publication number
DE60104705T2
DE60104705T2 DE60104705T DE60104705T DE60104705T2 DE 60104705 T2 DE60104705 T2 DE 60104705T2 DE 60104705 T DE60104705 T DE 60104705T DE 60104705 T DE60104705 T DE 60104705T DE 60104705 T2 DE60104705 T2 DE 60104705T2
Authority
DE
Germany
Prior art keywords
control
model
matrix
plant
parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60104705T
Other languages
English (en)
Other versions
DE60104705D1 (de
Inventor
James Alexander PASADYN
John Anthony TOPRAC
Lee Michael MILLER
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of DE60104705D1 publication Critical patent/DE60104705D1/de
Application granted granted Critical
Publication of DE60104705T2 publication Critical patent/DE60104705T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B21/00Systems involving sampling of the variable controlled
    • G05B21/02Systems involving sampling of the variable controlled electric
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B11/00Automatic controllers
    • G05B11/01Automatic controllers electric
    • G05B11/36Automatic controllers electric with provision for obtaining particular characteristics, e.g. proportional, integral, differential
    • G05B11/42Automatic controllers electric with provision for obtaining particular characteristics, e.g. proportional, integral, differential for obtaining a characteristic which is both proportional and time-dependent, e.g. P. I., P. I. D.
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B17/00Systems involving the use of models or simulators of said systems
    • G05B17/02Systems involving the use of models or simulators of said systems electric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Software Systems (AREA)
  • Evolutionary Computation (AREA)
  • Health & Medical Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Feedback Control In General (AREA)
  • Drying Of Semiconductors (AREA)
  • General Factory Administration (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

  • TECHNISCHES GEBIET
  • Die Erfindung betrifft im Allgemeinen die Halbleiterherstellungstechnologie und betrifft insbesondere ein Verfahren für die Steuerung und Optimierung der Halbleiterherstellung.
  • HINTERGRUND
  • US-A-3 777 128 offenbart eine Vorrichtung und ein Verfahren zur Gewinnung von Eingangsdaten für einen Computer, der die Vorgänge einer Maschinenanlage steuert. Die Daten werden von Rückkopplungsaufnehmern, die funktionsmäßig mit Maschinengleitschienen verbunden sind, zu dem Computer zurückgespeist. Wenn die Geschwindigkeit der Maschinengleitschienen sich ändert, werden die Rückkopplungsdaten mit unterschiedlicher Geschwindigkeit von dem Computer abgetastet.
  • US-A-5 926 690 offenbart einen Einzeldurchlaufsteuerungsprozess zum Steuern kritischer Abmessungen. Eine Steuerung basiert auf einem Prozessmodell, das von Durchlauf zu Durchlauf angewendet wird.
  • Es gibt ein stetiges Bestreben in der Halbleiterindustrie, die Qualität, Zuverlässigkeit und den Durchsatz von integrierten Schaltungsbauelementen, beispielsweise Mikroprozessoren, Speicherbauelementen und dergleichen zu erhöhen. Dieses Bestreben wird durch die Nachfrage von Verbrauchern für Computer und elektronische Geräte mit höherer Qualität, die zuverlässiger arbeiten noch bestärkt. Diese Anforderungen führten zu einer ständigen Verbesserung bei der Herstellung von Halbleiterbauelementen, beispielsweise Transistoren sowie bei der Herstellung integrierter Schaltungen, in denen derartige Transistoren eingebaut sind. Ferner führt das Verringern der Defekte bei der Herstellung der Komponenten eines typischen Transistors auch dazu, die Gesamtkosten pro Transistor sowie die Kosten für integrierte Schaltungen, in denen derartige Transistoren verwendet sind, zu senken.
  • Die Technologien, auf denen die Halbleiterprozessanlagen beruhen, haben in den letzten Jahren beträchtliche Aufmerksamkeit erfahren, wodurch sich wesentliche Verbesserungen ergaben. Trotz der Fortschritte, die auf diesem Bereich erzielt werden, weisen viele Prozessanlagen, die gegenwärtig kommerziell verfügbar sind, gewisse Nachteile auf. Insbesondere mangelt es derartigen Anlagen häufig an Möglichkeiten für die Prozessdatenüberwachung, etwa die Möglichkeit, historische Parameterdaten in einem anwenderfreundlichen Format bereitzustellen, sowie für das Registrieren von Ereignissen, die graphische Echtzeitdarstellung sowohl aktueller Prozessparameter als auch der Prozessparameter des gesamten Durchlaufs und eine Fernüberwachung, d. h. Vorort und weltweit. Diese Nachteile können eine nicht optimale Steuerung kritischer Prozessparameter nach sich ziehen, etwa dem Durchsatz, der Genauigkeit, der Stabilität und Wiederholbarkeit, von Prozesstemperaturen, mechanischen Anlagenparametern und dergleichen. Diese Fluktuation zeigt sich als Schwankungen innerhalb eines Durchlaufes, als Schwankungen von Durchlauf zu Durchlauf und als Schwankungen von Anlage zu Anlage, die sich zu Abweichungen in der Produktqualität und dem Leistungsverhalten weiterentwickeln können, wohingegen ein verbessertes Überwachungs- und Diagnosesystem für derartige Anlagen Mittel zur Überwachung dieser Fluktuation sowie Mittel zur Optimierung der Steuerung kritischer Parameter bereit stellen könnten.
  • Eine Steuerung von Durchlauf zu Durchlauf, wie sie bei der Halbleitermassenherstellung basierend auf einer Vielzahl von Produkten durchgeführt wird, ist nicht einfach in die Bedingungen traditioneller Vorgehensweisen für die Prozesssteuerung einzuführen. Gemäß einem typischen Ansatz wird ein Prozessmodell mit einem vorgegebenen Satz an Zuständen, Eingangsgrößen und Ausgangsgrößen definiert. In einigen Fällen ist das Modell statisch und in anderen Fällen ändert sich das Modell im Laufe der Zeit. Zu jedem Zeitpunkt beeinflussen Eingangsgrößen und Störungen die Zustände und es werden Ausgangsgrößen gemessen. Danach führt die Steuerung eine Aktualisierung durch und der Prozess wird wiederholt. Ein Grund, warum dieser Ansatz nicht immer anwendbar ist, besteht dann, dass häufig mehrere Prozessanlagen sowie mehrere Produkte vorhanden sind. Ferner werden von allen Messungen, die für einen Prozess wichtig sind, lediglich einige im Allgemeinen bei jedem Durchlauf ermittelt. Zu Bestimmen, wie die Steuerung in dieser Situation Werte aktualisiert, kann eine herausfordernde Aufgabe sein.
  • Eine Einzeldurchlaufsteuerung hängt davon ab, dass ein Prozessmodel vorhanden ist, das von Durchlauf zu Durchlauf konsistent korrekt ist. Wenn die diversen Prozesse, die auf der Anlage ausgeführt werden, deutlich unterschiedlich sind, kann sich die Steuerung in unerwarteter Weise verhalten, da eine Änderung in einem neuen Prozess als eine große Störung in Erscheinung treten kann. Ferner kann es einige aufeinanderfolgende Durchläufe für einen gegebenen Prozess erfordern, bis sich die Steuerung stabilisiert, wobei Herstellungsgrenzen dies verhindern können. Es ist wünschenswert, dass die Steuerung optimale Einstellungen für alle Prozesse, die auf der Anlage auszuführen sind, bestimmt, unabhängig von der Reihenfolge, in der diese auftreten.
  • Ein Beispiel eines Systems, das dieses Verhalten zeigt, ist die chemisch-mechanische Einebnung (CMP) von Zwischenschichtdielektrika (ILD). Auf Grund von Unterschieden in der Strukturdichte und der Verarbeitungsgeschichte ergibt sich für jede Schicht/Produktkombination eine unterschiedliche Bearbeitungsgeschwindigkeit. Da ferner jedes Produkt so qualifiziert ist, um mit diversen Anlageneinstellungen bearbeitet zu werden, gibt es ferner systematische Schwankungen, die durch Unterschiede zwischen den Anlagen hervorgerufen werden. Somit besteht eines der vielen Steuerungsprobleme darin, die optimalen Einstellungen für jede Kombination aus Produkt/Schicht/Anlage, die sich ergibt, zu bestimmen. Ferner werden die Messwerte, die der Steuerung die Informationen zuführen (etwa Messungen der Abtragsrate von Produktscheiben und/oder Testscheiben während Qualifizierungsereignissen), zu unterschiedlichen Intervallen auf der Grundlage von Betriebsregeln ohne Rücksicht auf die Steuerungsprobleme ermittelt.
  • Andere Parameter, die günstigerweise zu beobachten und zu steuern sind, sind Prozessparameter, die mit dem schnellen thermischen Verarbeiten (RTP) zusammenhängen. Zu Beispielen derartiger Prozessparameter gehören die Temperatur und die Leuchtenleistungspegel, denen Siliziumscheiben und/oder Werkstücke während der schnellen thermischen Bearbeitung (RTP) ausgesetzt sind, und die beispielsweise zum Aktivieren von Dotierimplantationsstoffen angewendet werden. Das Verhalten der schnellen thermischen Bearbeitung (RTP) verschlechtert sich typischerweise bei zunehmender Anzahl von Prozessdurchläufen teilweise auf Grund der Abweichung entsprechender Einstellungen der Anlage für die schnelle thermische Bearbeitung (RTP) und/oder der Sensoren der schnellen thermischen Bearbeitung (RTP). Dies kann Unterschiede bei der Scheibenbearbeitung zwischen aufeinanderfolgenden Durchläufen oder Stapeln oder Losen von Scheiben bewirken, wo durch ein abnehmender Durchsatz für beanstandungsfreie Scheiben, eine reduzierte Zuverlässigkeit, eine verringerte Genauigkeit und eine reduzierte Präzision bei dem Halbleiterherstellungsprozess hervorgerufen wird.
  • Jedoch sind traditionelle statistische Prozesssteuerungs- (SPC) Techniken häufig nicht adäquat, um in präziser Weise Prozessparameter zu steuern, die mit der schnellen thermischen Bearbeitung (RTP) in der Halbleiter- und mikroelektronischen Bauelementeherstellung in Beziehung stehen, um damit das Bauteilverhalten und die Ausbeute zu optimieren. Typischerweise wird in statistischen Prozesssteuerungs- (SPC) Techniken ein Sollwert und eine Streuung um den Sollwert für die mit der schnellen thermischen Bearbeitung (RTP) in Beziehung stehenden Prozessparametern festgelegt. Die statistischen Prozesssteuerungs(SPC) Techniken versuchen dann, die Abweichung von dem Sollwert zu minimieren, ohne automatisch die entsprechenden Sollwerte zur Optimierung des Halbleiterbauelementverhaltens und/oder zur Optimierung der Halbleiterbauelementausbeute und Durchsatzes automatisch einzustellen und anzupassen. Ferner kann das blinde Minimieren von nicht adaptiven Prozessfluktuationen um entsprechende Sollwerte unter Umständen nicht zu einer Erhöhung der Prozessausbeute und des Durchsatzes führen.
  • Herkömmliche Steuerungstechniken sind häufig wenig wirksam beim Reduzieren von Bearbeitungen abseits des Sollwertes und beim Verbessern der Ausbeute für gewisse Sorten. Beispielsweise werden elektrische Scheibentestmessungen (WET) typischerweise nicht auf prozessierten Scheiben ausgeführt, bis nicht eine relativ lange Zeit nach der Bearbeitung der Scheiben verstrichen ist, was manchmal bis zu einigen Wochen betragen kann. Wenn ein oder mehrere Prozessschritte entsprechende Scheiben erzeugen, die die elektrischen Scheibentestmessungen (WET) als nicht akzeptabel auszeichnen, so dass die resultierenden Scheiben entsorgt werden müssen, so verläuft diese fehlerhafte Verarbeitung zunächst unerkannt und unkorrigiert für eine gewisse Zeitdauer, die häufig Wochen betragen kann, woraus viele fehlerhafte Scheiben, eine Menge vergeudetes Material und ein insgesamt reduzierter Durchsatz resultiert.
  • Messtechnische Vorgänge erfordern einen beträchtlichen Aufwand an Kapital und beanspruchen einen großen Anteil der Umlaufzeit bei der Halbleiterherstellung. Das Optimieren der Messtechnik kann daher deutlich die Investitionskosten der Fabrik und die Betriebskosten verringern. Jedoch sind herkömmliche Verfahren zum Optimieren häufig auf adhoc- Entscheidungen und/oder in einigen Fällen auf einer sorgfältigen statistischen Analyse beruhend, um eine „beste" Abtastrate für einen gegebenen Prozess/Operation zu bestimmen, wodurch die Verbesserungen bei der Steuerung, die mit der erhöhten Abtastrate einhergehen, gegen die höheren Kosten einer derartigen erhöhten Abtastung abgewogen werden.
  • Die vorliegende Erfindung zielt darauf ab, die Auswirkungen eines oder mehrerer der zuvor genannten Probleme zumindest zu reduzieren oder die Probleme zu lösen.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • In einem Aspekt der vorliegenden Erfindung wird ein Verfahren bereitgestellt, wobei das Verfahren umfasst: Abtasten zumindest eines Parameters, der für die Bearbeitung kennzeichnend ist, die an einen Werkstück in mindestens einem Prozessschritt durch durchgeführt wird, und Modellieren des mindestens einen charakteristischen abgetasteten Parameters unter Anwendung eines adaptiven Abtastprozessmodells, wobei das Abtasten als ein integrierter Bestandteil einer dynamischen Steuerungsumgebung behandelt wird, das Abtasten auf der Grundlage situationsbezogener Informationen und/oder vorgeschalteter Ereignisse und/oder Erfordernisse von Einzeldurchlaufsteuerungen variiert wird. Das Verfahren umfasst ferner das Anwenden des adaptiven Abtastprozessmodells, um die Bearbeitung, die in dem mindestens einen Prozessschritt ausgeführt wird, zu modifizieren. In einem weiteren Aspekt der vorliegenden Erfindung wird ein System bereitgestellt, wobei das System umfasst: eine Anlage zum Abtasten mindestens eines Parameters, der für eine Bearbeitung charakteristisch ist, die an einem Werkstück in mindestens einem Prozessschritt durchgeführt wird, und einen Computer zum Modellieren des mindestens einen charakteristischen, abgetasteten Parameters unter Anwendung eines adaptiven Abtastprozessmodells, zum Behandeln des Abtastens als einen integrierten Bestandteil einer dynamischen Steuerungsumgebung, zum Variieren der Abtastung auf der Grundlage einer situationsbedingten Information und/oder vorgelagerter Ereignisse und/oder Anforderungen für Einzeldurchlaufsteuerungen. Das System umfasst ferner eine Steuerung zum Anwenden des adaptiven Abtastprozessmodells, um die Bearbeitung zu modifizieren, die in dem mindestens einen Prozessschritt ausgeführt wird.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die Erfindung kann unter Bezugnahme auf die folgende Beschreibung im Zusammenwirken mit den begleitenden Zeichnungen verstanden werden, in denen die linke signifikante Stelle bzw. Stellen in den Bezugszeichen die erste Figur kennzeichnen, in der das entsprechende Bezugszeichen auftritt, und in denen:
  • 1 bis 30 schematisch diverse Ausführungsformen eines Herstellungsverfahrens gemäß der vorliegenden Erfindung zeigen; und insbesondere:
  • 1 und 3 bis 10 schematisch ein Flussdiagramm für diverse anschauliche Ausführungsformen eines Verfahrens gemäß der vorliegenden Erfindung darstellen;
  • 2 schematisch im Querschnitt eine AST SHS 2800-Anlage für die schnelle thermische Bearbeitung (RTP) zeigt, die repräsentativ für derartige Anlagen in den diversen anschaulichen Ausführungsformen der vorliegenden Erfindung verwendet ist;
  • 11 schematisch ein Verfahren zum Herstellen eines Halbleiterbauelements darstellt, das gemäß der vorliegenden Erfindung ausgeführt wird;
  • 12 schematisch Werkstücke zeigt, die unter Anwendung einer Prozessanlage bearbeitet werden, wobei mehrere Steuereingangssignale gemäß der vorliegenden Erfindung verwendet werden;
  • 13 bis 14 schematisch eine spezielle Ausführungsform des Prozesses und der Anlage aus 12 zeigen;
  • 15 schematisch eine spezielle Ausführungsform des Verfahrens aus 11 darstellt, das mit dem Prozess und der Anlage aus den 13 bis 14 ausgeführt werden kann;
  • 16 und 17 schematisch die erste und die zweite wesentliche Komponente für entsprechende Datensätze für die schnelle thermische Bearbeitung zeigen;
  • 18 und 19 schematisch geometrisch die Hauptkomponentenanalyse für entsprechende Datensätze der schnellen thermischen Bearbeitung darstellen; und
  • 20 bis 23 schematisch geometrisch die Anpassung mit den Polynomen der kleinsten Quadrate gemäß der vorliegenden Erfindung zeigen. Die prozentuale Abweichung vom Sollwert: für den hypothetisch besten Fall;
  • 24 schematisch Simulation eines Produktwechsels zeigt;
  • 25 schematisch die prozentuale Abweichung vom Sollwert zeigt: hypothetischer bester Fall.
  • 26 schematisch die prozentuale Abweichung vom Sollwert zeigt: Fall mit „festgelegten Ausgangsgrößen";
  • 27 schematisch die prozentuale Abweichung vom Sollwert zeigt: Fall mit „vorhergesagten Ausgangsgrößen";
  • 28 schematisch die prozentuale Abweichung vom Sollwert zeigt: Fall mit „vorhergesagten Ausgangsgrößen" mit zusätzlichen Qualifizierungen;
  • 29 schematisch die prozentuale Abweichung vom Sollwert zeigt: großvolumiges System;
  • 30 eine vereinfachte Blockansicht eines Herstellungssystems gemäß diverser anschaulicher Ausführungsformen der vorliegenden Erfindung ist.
  • Obwohl die Erfindung diversen Modifizierungen und alternativen Formen unterliegen kann, sind spezielle Ausführungsformen davon in beispielhafter Weise in den Zeichnungen dargestellt und hierin detailliert beschrieben. Es sollte jedoch selbstverständlich sein, dass die Beschreibung spezieller Ausführungsformen nicht beabsichtigt ist, um die Erfindung auf die speziellen offenbarten Formen einzuschränken, sondern die Erfindung soll vielmehr alle Modifizierungen, Äquivalente und Alternativen abdecken, die innerhalb des Grundgedankens und Schutzbereich der Erfindung liegen, wie sie durch die angefügten Patentansprüche definiert ist.
  • ART UND WEISE ZUM AUSFÜHREN DER ERFINDUNG
  • Im Folgenden sind anschauliche Ausführungsformen der Erfindung beschrieben. Der Einfachheit halber sind nicht alle Merkmale einer tatsächlichen Implementierung in dieser Beschreibung dargestellt. Es sollte jedoch beachtet werden, dass bei der Entwicklung einer derartigen tatsächlichen Ausführungsform zahlreiche implementationsspezifische Entscheidungen getroffen werden müssen, um die speziellen Ziele der Entwickler zu erreichen, etwa die Kompatibilität mit systembezogenen und geschäftsbezogenen Rahmenbedingungen, die sich von einer Implementierung zu einer anderen unterscheiden können. Ferner ist es offenkundig, dass ein derartiger Entwicklungsaufwand komplex und zeitaufwendig sein kann, dass dieser aber nichtsdestotrotz eine Routinemaßnahme für den Fachmann ist, wenn er im Besitz dieser Offenbarung ist.
  • Anschauliche Ausführungsformen eines erfindungsgemäßen Verfahrens sind in den 1 bis 30 gezeigt. Wie in 1 gezeigt ist, wird ein Werkstück 100, etwa ein halbleitendes Substrat oder Scheibe mit keiner, einer oder mehreren Prozessschichten und/oder Halbleiterbauelementen, etwa einem Metalloxidhalbleiter-(MOS) Transistor, der darauf angeordnet ist, einer Prozessanlage 105 zugeführt. In der Prozessanlage 105 kann eine schnelle thermische Bearbeitung, beispielsweise als ein schnelles thermisches Ausheizen, mit dem Werkstück 100 durchgeführt werden.
  • 2 zeigt schematisch im Querschnitt eine Anlage 200 für die schnelle thermische Ausheizung (RTA), beispielsweise eine Anlage für das schnelle thermische Ausheizen (RTA) mit der Bezeichnung AST SHS2 2800, die als die Anlage für das schnelle thermische Berarbeiten (RTP) 105 in diversen anschaulichen Ausführungsformen gemäß der vorliegenden Erfindung benutzt werden kann. In diversen alternativen anschaulichen Ausführungsformen der vorliegenden Erfindung können Anlagen für die schnelle thermische Ausheizung (RTA) (etwa Centure (RTP), die von Applied Materials (AMAT) hergestellt wird), die sehr unterschiedlich in der Form, Anwendung und den gemessenen Parametern sind, die aber dennoch als die Anlage 105 für das schnelle thermische Bearbeiten (RTP) verwendet werden können. In noch anderen alternativen anschaulichen Ausführungsformen der vorliegenden Erfindung kann eine Ätzanlage und/oder eine Polieranlage und/oder eine Abscheideanlage und dergleichen als die Prozessanlage 105 verwendet werden.
  • Wie in 2 gezeigt ist, kann die anschauliche Anlage 200 für die rasche thermische Ausheizung (RTA) ein Werkstück 100, etwa eine Halbleitersiliziumscheibe mit keiner, einer oder mehreren darauf ausgebildeten Prozessschichten erwärmen, indem ein Feld von Halogenleuchten 210 verwendet wird, die über oder unter dem Werkstück 100 angeordnet sind. Das Werkstück 100 kann auf Quarzstiften und einer Scheibenhalterung 215 mit einer Quarzröhre 220 angeordnet sein, die von dem Feld aus Halogenleuchten 210 erhitzt wird. Die Scheibenhalterung 215 kann andere Komponenten aufweisen, etwa einen AST Hot Liner. Die Temperatur der Quarzröhre 220 kann durch ein Thermoelement und/oder ein Pyrometer 230 gemessen werden, das die Temperatur der AST Hot Liner-Komponente der Scheibenhalterung 215 misst und/oder es kann ein separates Pyrometer (nicht gezeigt) verwendet werden. Die Quarzröhre 220 kann ein Quarzfenster 225 aufweisen, das darin unter der Scheibenhalterung 215 angeordnet ist. Die Temperatur der AST Hot Liner-Komponente der Scheibenhalterung 215 und indirekt das Werkstück 100 können durch das Quarzfenster 225 mittels des unter dem Quarzfenster 225 angeordneten Pyrometers 230 gemessen werden. Alternativ kann das unter dem Quarzfenster 225 angeordnete Pyrometer 230 direkt die Temperatur des Werkstücks 100 messen. Die Leuchtenleistung der Halogenleuchten 210 kann ebenfalls überwacht und gesteuert werden.
  • Wie in 3 gezeigt ist, kann die Prozessanlage 105 mit einem Überwachungsschritt 110 oder anderen Prozessschritten 140 über bidirektionale Verbindungen durch einen Systemkommunikationsbus 160 kommunizieren. Wie in 3 gezeigt ist, stellt der Systemkommunikationsbus 160 ferner eine Verbindung zwischen der Prozessanlage 105, dem Überwachungsschritt 110 und anderen Prozessschritten 140 und einem fortschrittlichen Prozesssteuerungs-(APC) System 120, das später vollständiger beschrieben ist, bereit.
  • Wie in 4 gezeigt ist, wird das Werkstück 100 von der Prozessanlage 105 zu dem Überwachungsschritt 110 gesendet. In dem Überwachungsschritt 110 können ein oder mehrere Prozessanlagenvariablen und/oder ein oder mehrere Prozessparameter während eines oder mehrerer Prozessdurchläufe überwacht und/oder gemessen werden. Derartige Anlagenvariablen und/oder Prozessparameter können ein oder mehrere Pyrometermesswerte, ein oder mehrere Messwerte für die Leuchtenleistung, ein oder mehrere Messwerte für die Röhrentemperatur, ein oder mehrere Strommessungen, ein oder mehrere Infrarot-(IR) Signalmessungen, ein oder mehrere Messungen des optischen Emissionsspektrums, ein oder mehrere Prozessgastemperaturmesswerte, ein oder mehrere Druckmesswerte für das Pro zessgas, ein oder mehrere Messwerte für die Durchflussrate des Prozessgases, ein oder mehrere Ätztiefen, ein oder mehrere Prozessschichtdicken, ein oder mehrere Widerstandsmesswerte und dergleichen umfassen. Wie in 4 gezeigt ist, kann der Überwachungsschritt 110 mit der Prozessanlage 105 mittels dem Systemkommunikationsbus 160 in Verbindung treten. Wie in 4 gezeigt ist, stellt der Systemkommunikationsbus 160 auch eine Verbindung zwischen der Prozessanlage 105, dem Überwachungsschritt 110 und dem fortschrittlichen Prozesssteuerungs- (APC) System 120, das später detaillierter beschrieben ist, bereit.
  • Wie in 5 gezeigt ist, geht das Werkstück 100 von dem Überwachungsschritt 110 zu den anderen Prozessschritten 140 weiter. In den anderen Prozessschritten 140 kann eine weitere Bearbeitung an dem Werkstück 100 durchgeführt werden, um das fertiggestellte Werkstück 100 herzustellen. In alternativen anschaulichen Ausführungsformen kann das von dem Überwachungsschritt 110 weitergegebene Werkstück 100 das fertiggestellte Werkstück 100 sein, so dass in diesem Falle keine weiteren Prozessschritte 140 vorhanden sind. Wie in 5 gezeigt ist, können die anderen Prozessschritte 140 mit dem Überwachungsschritt 110 mittels dem Systemkommunikationsbus 160 in Verbindung treten. Wie in 5 gezeigt ist, stellt der Systemkommunikationsbus 160 ferner eine Verbindung zwischen dem Überwachungsschritt 110, den anderen Prozessschritten 140 und dem fortschrittlichen Prozesssteuerungs- (APC) System 120, das später vollständiger beschrieben ist, bereit.
  • Wie in 6 gezeigt ist, werden überwachte Sensordaten 115 von dem Überwachungsschritt 110 zu dem fortschrittlichen Prozesssteuerungs- (APC) System 120 gesendet. Wie in 6 gezeigt ist, kann das fortschrittliche Prozesssteuerungs- (APC) System 120 mit dem Überwachungsschritt 110 über den Systemkommunikationsbus 160 in Verbindung treten. Das Zuführen der überwachten Sensordaten 115 zu dem fortschrittlichen Prozessteuerungs- (APC) System 120 erzeugt ein Ausgangssignal 125.
  • Wie in 7 gezeigt ist, wird das Ausgangssignal 125 von dem fortschrittlichen Prozesssteuerungs- (APC) System 120 zu einem adaptiven Abtastprozessmodell mit einer modellvorhersagenden Steuerung (MPC) oder einem proportional-integral-differenzial-(PID) Einstellschritt 130 gesendet. Bei dem adaptiven Modellieren des Abtastprozessierens mittels einer modellvorhersagenden Steuerung MPC oder einem proportional-integral-differenzial-(PID) Einstellschritt 130 können die überwachten Sensordaten 115 in einem adaptiven Modell für die Abtastbearbeitung verwendet werden, das für die Bearbeitung geeignet ist, die an dem Werkstück 100 in der Prozessanlage 105 durchgeführt wird. In diversen alternativen anschaulichen Ausführungsformen der vorliegenden Erfindung kann ein Modellierungsschritt 130 für eine adaptive Abtastbearbeitung vorgesehen werden, ohne Einstellung mittels einer modellvorhersagenden Steuerung (NPC) oder einer proportionalintegral-differenzial-(PID) Einstellung.
  • Beispielsweise können derartige adaptive Abtastprozessmodelle eine deutliche Verbesserung beim Abtastverhalten liefern, indem das Abtasten als ein integraler Bestandteil der dynamischen Steuerungsumgebung von fortschrittlichen Prozesssteuerungs-(APC) Systemen behandelt wird. Anstelle einer statischen „optimalen" Abtastrate zu verwenden, wird das Abtasten als eine dynamische Variable behandelt, die auf der Grundlage von (1) situationsbedingter Information, etwa die Menge und/oder Rate der Änderung in der Streubreite aktueller Daten, (2) Ereignissen, etwa Wartungsarbeiten und/oder Änderungen in dem Prozess, der dem Vorgang vorgeschaltet ist, und/oder (3) Erfordernissen für Einzeldurchlaufsteuerungen mit geschlossener Regelschleife in den entsprechenden Schemata entsprechend verringert oder erhöht, um Steuerungsmodellparameter zu erkennen. Die Anwendung der überwachten Sensordaten 115 in einem adaptiven Abtastprozessmodell erzeugt eine oder mehrere Prozessrezepteinstellungen 145.
  • In diversen anschaulichen Ausführungsformen kann ein adaptives Abtastprozessmodell durch diverse anschauliche Techniken erstellt werden, wie sie im Weiteren detaillierter beschrieben sind. Ein derartiges adaptives Abtastprozessmodell kann auch gebildet werden, indem ein oder mehrere Prozessanlagenvariablen und/oder ein oder mehrere Prozessparameter während eines oder mehrerer Prozessdurchläufe überwacht werden. Wie zuvor beschrieben ist, umfassen Beispiele derartiger Prozessanlagenvariablen und/oder Prozessparameter einen oder mehrere Pyrometermesswerte, einen oder mehrere Leuchtenleistungsmesswerte, einen oder mehrere Röhrentemperaturmesswerte, einen oder mehrere Strommesswerte, eine oder mehrere Infrat- (IR) Signalmesswerte, einen oder mehrere Messwerte für das optische Emissionsspektrum, einen oder mehrere Prozessgastemperaturmesswerte, einen oder mehrere Druckmesswerte für das Prozessgas, einen oder mehrere Messwerte für die Prozessgasdurchflussrate, eine oder mehrere Ätztiefen, eine oder mehrere Prozessschichtendicken, einen oder mehrere Widerstandsmesswerte und dergleichen. In diesen diversen anschaulichen Ausführungsformen kann das Erstellen der adapti ven Abtastprozessmodelle das Fitten gesammelter Prozessdaten umfassen, wobei mindestens eines der folgenden Verfahren verwendet wird: Kurvenanpassung durch Polynome, das Verfahren der kleinsten Quadrate, Polynomanpassung mit dem Verfahren der kleinsten Quadrate, Anpassung mit den kleinsten Quadraten ohne Polynome, gewichtete Anpassung der kleinsten Quadrate, Anpassung mit gewichteten Polynomen der kleinsten Quadrate, Anpassen mit gewichteten kleinsten Quadraten ohne Polynome, das Verfahren der teilweise kleinsten Quadrate (PLS) und die Hauptkomponentenanalyse (PCA), die im Folgenden detaillierter beschrieben sind.
  • In den diversen anschaulichen Ausführungsformen kann das adaptive Abtastprozessmodell mindestens eine Steuerung mit modellvorhersagender Steuerung (MPC) aufweisen, oder mindestens eine Steuerung mit proportional-integral-differenzial (PID) Verhalten mit mindestens einem Einstellparameter aufweisen. In diversen Ausführungsformen dieser anschaulichen Formen kann das adaptive Abtastprozessmodell, das für die Verarbeitung geeignet ist, mindestens eine Steuerung mit modellvorhersagender Steuerung (MPC) mit geschlossener Schleife oder mindestens eine Steuerung mit proportional-integral-differenzial-(PID) Verhalten mit geschlossener Schleife mit mindestens einem Einstellparameter aufweisen. Der oder die Einstellparameter der Steuerung mit modellvorhersagender Steuerung (MPC) oder proportional-integral-differenzial- (PID) Steuerung können optimiert werden auf der Grundlage einer Objektfunktion, die unerwünschte Prozessbedingungen in dem Prozessablauf minimiert, der an dem Werkstück 100 in der Prozessanlage 105 ausgeführt wird.
  • Ein optimales Steuerungsproblem besteht darin, den Satz an Eingangsgrößen zu bestimmen, die eine Objektfunktion extremal machen (minimieren oder maximieren), während die Nebenbedingungen des Systemmodells und zusätzliche Prozesserfordernisse erfüllt sind. Mathematisch kann dies durch min f (x, u, t) beschrieben werden, wobei dies den Nebenbedingungen unterliegt, dass g; (x, u, t) ≥ 0 ist, wobei x die Systemzustandsvariablen, etwa Abweichungen von Sollwerten, Unsicherheiten in Parameterabschätzungen, Kosten von benötigten Materialien und dergleichen, u die änderbare Eingangsgröße oder Größen, t die Zeit und i die Nebenbedingung bzw. -bedingungen repräsentieren. Diese mathematischen Beziehungen können als äußerst einfach erscheinen, weil diese sehr allgemein sind und nicht auf die Beschreibung einfacher Systeme beschränkt sind. Die Gleichungen für die Nebenbedingungen können Differenzialgleichungen und/oder Differenzengleichungen beinhalten, die den bzw. die Prozesse) sowie die Betriebsgrenzen, die der bzw. den Prozesseingangsgrößen und Zuständen bzw. Zustand auferlegt sind, bestimmen. Beispielsweise kann eine Steuerung mit modellvorhersagender Steuerung (MPC) oder eine Steuerung mit proportional-integral-differenzial (PID) Verhalten so gestaltet sein, um ein Ausgangssignal zu erzeugen, das einen an dem an den Werkstück 100 in der Prozessanlage 105 ausgeübten Prozess anzuwendenden Korrekturaufwand hervorruft, um eine oder mehrere messbare Prozessanlagenvariablen und/oder einen oder mehrere Prozessparameter in Richtung auf einen entsprechenden gewünschten Wert bringt, der als Sollwert bekannt ist. Die Steuerung mit modellvorhersagender Steuerung (MPC) oder die Steuerung mit proportional-integral-differenzial (PID) Verhalten kann das Ausgangssignal erzeugen, das den Korrekturaufwand bewirkt, indem der Fehler zwischen dem Sollwert und einem Messwert der entsprechenden Prozessanlagenvariable oder-variablen und/oder Prozessparameter überwacht und/oder gemessen und/oder beobachtet wird.
  • Beispielsweise kann eine Steuerung mit proportional-integral-differenzial- (PID) Verhalten auf den momentanen Wert des Fehlers e(t), das Integral des Fehlers e(t) über ein abgelaufenes Zeitintervall und auf den aktuellen Wert der Ableitung des Fehlers e(t) in Bezug auf die Zeit „schauert", um zu bestimmen, wie groß eine Korrektur ist und für wie lang diese anzuwenden ist. Das Multiplizieren jedes dieser Tenne mit einer entsprechenden Einstellkonstanten und Aufaddieren dieser Tenne erzeugt den aktuellen Ausgangswert CO(t) der Steuerung mit proportional-integral-differenzial- (PID) Verhalten, der durch den Ausdruck
    Figure 00130001
    gegeben ist wobei P die Proportionaleinstellkonstante, I die Integraleinstellkonstante, D die Differenzialeinstellkonstante und der Fehler e(t) die Differenz zwischen dem Sollwert SP(t) und der Prozessvariablen PV(t) zum Zeitpunkt t ist, d. h. e(t) = SP(t)-PV(t). Wenn der aktuelle Fehler e(t) groß und/oder der Fehler e(t) eine längere Zeitdauer groß war und/oder der aktuelle Fehler e(t) sich rasch ändert, kann der aktuelle Steuerungsausgangswert CO(t) ebenso groß sein. Wenn jedoch der aktuelle Fehler e(t) klein ist, der Fehler e(t) eine längere Zeitdauer klein war und sich der aktuelle Fehler e(t) langsam verändert, kann auch der aktuelle Steuerungsausgangswert CO(t) klein sein.
  • In diversen alternativen anschaulichen Ausführungsformen kann der aktuelle Ausgangswert CO(t) der proportional-integral-differenzial- (PID) Steuerung durch den alternativen Ausdruck gegeben sein:
    Figure 00140001
    wobei P eine Gesamteinstellkonstante, TI die integrale Zeiteinstellkonstante, TD die differenzielle Zeiteinstellkonstante und der Fehler e(t) die Differenz zwischen dem Sollwert SP(t) und der Prozessvariablen PV(t) zum Zeitpunkt t ist, d. h. e(t) = SP(t)-PV(t). In diesen alternativen anschaulichen Ausführungsformen gibt es weniger abrupte Änderungen bei dem aktuellen Ausgangswert CO(t) der proportional-integral-differenzial- (PID) Steuerung, wenn es eine Änderung an dem Sollwert SP(t) auf Grund der Abhängigkeit der Zeitableitung der Prozessvariablen PV(t) anstelle der Zeitableitung des Fehlers e(t) = SP(t)-PV(t) gibt.
  • Die Einstellkonstanten P, I und D und/oder P, TI, und TD des aktuellen Ausgabewerts CO(t) der proportional-integral-differenzial- (PID) Steuerung können in geeigneter Weise eingestellt werden. Unter Verwendung von aggressiv großen Werten für die Einstellkonstanten P, I und D und/oder P, TI und TD kann der Fehler e(t) verstärkt werden und zu einer Überkompensierung und zu einem Überschwingen in Bezug auf den bzw. die Sollwerte führen. Die Verwendung konservativ kleiner Werte für die Einstellkonstante P, I und D und/oder P, TI und TD kann den Fehler e(t) zu langsam verringern und zu einem Unterkompensieren und Unterschwingen in Bezug auf den bzw. die Sollwerte führen. Geeignet eingestellte Einstellkonstanten P, I und D und/oder P, TI und TD des aktuellen Ausgabewerts CO(t) für die proportional-integral-differenzial- (PID) Steuerung können zwischen diesen beiden Extremen liegen. Die Einstellkonstanten P, I und D und/oder P, TI und TD für den aktuellen Ausgabewert CO(t) der proportional-integral-differenzial- (PID) Steuerung können in geeigneter Weise eingestellt werden, indem eine Versuch- und Irrtumoptimierung angewendet wird, indem ein rigoroserer analytischer Ansatz mit einer mathematischen Modellierung, wie dies detaillierter im Folgenden beschrieben ist, und/oder indem Techniken, etwa die Ziegler-Nichols-„offene Schleife" und „geschlossene Schleife" Einstellverfahren angewendet werden.
  • Das adaptive Abtastprozessmodell der überwachten Sensordaten 115 in dem adaptiven Abtastprozessmodell mit einer modellvorhersagenden Steuerung (MPC) oder dem Einstellschritt 130 mit proportional-integral-differenzial- (PID) Verhalten kann angewendet werden, um einen Ingenieur über die Notwendigkeit zu informieren, die Bearbeitung zu justieren, die in einem beliebigen Prozessschritt, etwa in der Prozessanlage 105 und/oder dem Prozessschritten 140 ausgeführt werden. Der Ingenieur kann ferner beispielsweise die Sollwerte für den Prozessablauf ändern und/oder einstellen, der in der Prozessanlage 105 durchgeführt wird, und/oder kann die Prozessanlagenvariable bzw. -variablen und/oder Prozessparameter ändern und/oder einstellen, die in dem Überwachungsschritt 110 überwacht und/oder gemessen wurden.
  • Wie in 8 gezeigt ist, kann ein Rückkopplungssteuersignal 135 von dem adaptiven Abtastprozessmodell mit modellvorhersagender Steuerung (MPC) oder dem proportional-integral-differenzial- (PID) Einstellschritt 130 an die Prozessanlage 105 gesendet werden, um den in der Prozessanlage 105 ausgeführten Prozess einzustellen. In diversen alternativen anschaulichen Ausführungsformen kann das Rückkopplungssteuerungssignal 135 von dem adaptiven Abtastprozessmodell mit modellvorhersagender Steuerung (MPC) oder dem proportional-integral-differenzial- (PID) Einstellschritt 130 zu einem beliebigen der anderen Prozessschritte 140 zur Einstellung des in einem der anderen Prozessschritte 140 ausgeführten Ablaufs beispielsweise über den Systemkommunikationsbus 160 gesendet werden, der eine Verbindung zwischen der Prozessanlage 105, dem Überwachungsschritt 110, den anderen Prozessschritten 140 und dem fortschrittlichen Prozesssteuerungs-(APC) System 120, das im Folgenden detaillierter beschrieben ist, bereitstellt.
  • Wie in 9 gezeigt ist, kann zusätzlich oder alternativ das Rückkopplungssteuerungssignal 135, das eine oder die mehreren Prozessrezepteinstellungen 145 und/oder ein gesamtes geeignetes Rezept auf der Grundlage dieser Analyse von dem Einstellschritt 130 mit dem adaptiven Abtastprozessmodell mit modellvorhersagender Steuerung (MPC) oder proportional-integral-differenzial- (PID) Verhalten zu einem Prozessänderungs- und Steuerschritt 150 gesendet werden. In dem Prozessänderungs- und Steuerschritt 150 können die eine oder die mehreren Prozessrezepteinstellungen 145 in einer Überwachungssteuerschleife auf hoher Ebene verwendet werden. Daher kann, wie in 10 gezeigt ist, ein Rückkopplungssteuersignal 145 von dem Prozessänderungs- und Steuerschritt 150 zu der Prozessanlage 105 gesendet werden, um die in der Prozessanlage 105 ablaufende Prozessierung einzustellen. In diversen alternativen anschaulichen Ausführungsformen kann das Rückkopplungssteuersignal 155 von dem Prozessänderungs- und Steuerschritt 150 zu einem der anderen Prozessschritte zur Einstellung der Prozessierung in einem der anderen Prozessschritte 140 beispielsweise über den Systemkommunikationsbus 160 gesendet werden, der eine Verbindung zwischen der Prozessanlage 105, dem Überwachungsschritt 110, den anderen Prozessschritten 140 und dem fortschrittlichen Prozesssteuerungs-(APC) System 120 bereitstellt, das im Folgenden detaillierter beschrieben ist.
  • In diversen anschaulichen Ausführungsformen kann dem Ingenieur eine verbesserte Prozessdatenüberwachungsmöglichkeit, etwa die Fähigkeit, historische parametrische Daten in einem anwenderfreundlichen Format, sowie eine Ereignisaufzeichnung, eine graphische Echtzeitdarstellung sowohl aktueller Prozessparameter als auch Prozessparameter des gesamten Durchlaufs, und eine Fernüberwachung, d. h. Vorort und weltweit, geboten werden. Diese Fähigkeiten erlauben eine optimalere Steuerung kritischer Prozessparameter, etwa Durchsatzgenauigkeit, Stabilität und Wiederholbarkeit, die Prozesstemperaturen, mechanische Anlagenparameter und dergleichen. Diese optimalere Steuerung kritischer Prozessparameter verringert die Schwankungsbreite. Diese Verringerung der Schwankungsbreite zeigt sich in geringeren Schwankungen in einem Durchlauf, in geringeren Schwankungen von Durchlauf zu Durchlauf und in geringeren Schwankungen zwischen den einzelnen Anlagen. Diese Verringerung in der Anzahl dieser Fluktuationen, die sich dann ausbreiten können, bedeutet geringere Abweichungen in der Produktqualität und in dem Leistungsverhalten. In einer derartigen anschaulichen Ausführungsform eines Herstellungs- bzw. Fertigungsverfahrens gemäß der vorliegenden Erfindung kann ein Überwachungs- und Diagnosesystem vorgesehen sein, das diese Schwankungsbreite überwacht und die Steuerung kritischer Parameter optimiert.
  • 11 zeigt eine spezielle Ausführungsform eines Verfahrens 1100, das gemäß der vorliegenden Erfindung angewendet wird. 12 zeigt eine spezielle Vorrichtung 1200, mit welcher das Verfahren 1100 ausgeführt werden kann. Der Einfachheit halber und um das Verständnis der Erfindung zu fördern, wird das Verfahren 1100 in Zusammenhang mit der Vorrichtung 1200 dargestellt. Die Erfindung ist jedoch nicht darauf eingeschränkt und ermöglicht viele Varianten, wie dies später erläutert ist.
  • Es sei nun auf 11 und 12 verwiesen; eine Menge oder ein Los aus Werkstücken oder Scheiben 1205 wird mittels einer Prozessanlage 1210 bearbeitet. Die Prozessanlage 1210 kann eine beliebige Prozessanlage sein, die im Stand der Technik bekannt ist, insbesondere wenn diese die erforderlichen Steuerungseigenschaften aufweist. Die Prozessanlage 1210 umfasst eine Prozessanlagensteuerung 1215 für diesen Steuerungszweck. Die Art und Funktion der Prozessanlagensteuerung 1215 ist implementierungsspezifisch.
  • Beispielsweise kann die Prozessanlagensteuerung 1215 Prozesssteuerungseingangsparameter, etwa ein Steuerungseingangsparameter für ein Prozessrezept und/oder Sollwerte steuern. In 12 sind vier Werkstücke 1205 dargestellt, wobei das Los aus Werkstücken oder Scheiben, d. h. das „Scheibenlos" aus einer beliebigen praktikablen Anzahl an Scheiben von 1 bis zu einer beliebigen endlichen Zahl aufweisen kann.
  • Das Verfahren 1100 beginnt, wie dies im Feld 1120 dargelegt ist, durch Abtasten eines oder mehrerer Parameter, die kennzeichnend sind für die an den Werkstück 1205 in der Prozessanlage 1210 durchgeführten Bearbeitung. Das Wesen, die Art und die Messung charakteristischen Parameter ist großenteils implemantationsspezifisch oder gar anlagenspezifisch. Beispielsweise variieren die Möglichkeiten zur Überwachung von Prozessparametern zu einem gewissen Grade von Anlage zu Anlage. Bessere Abtastmöglichkeiten erlauben eine größere Bandbreite für die charakteristischen Parameter, die erkannt und gemessen, und in der Art und Weise, wie dies gemacht wird. Andererseits können geringere Erfassungsmöglichkeiten diese Bandbreite beschränken. Andererseits können die Prozesssteuerungseingangsparameter, etwa die Steuerungseingangsparameter für das Prozessrezept und/oder die Sollwerte für die Werkstückstemperatur und/oder die Leuchtenleistung und/oder die Ausheizzeit und/oder die Prozessgastemperatur und/oder den Prozessgasdruck und/oder die Prozessgasdurchflussrate und/oder die Radiofrequenz- (RF) Leistung und/oder die Ätzzeit und/oder die Vorspannung und/oder die Abscheidezeit und dergleichen direkt die effektive Ausbeute für brauchbare Halbleiterbauelemente aus dem Werkstück 205 beeinflussen.
  • Gemäß 12 werden in dieser speziellen Ausführungsform die charakteristischen Prozessparameter durch anlageninterne Sensoren (nicht gezeigt) gemessen und/oder überwacht. Die Ausgangssignale dieser anlageninternen Sensoren werden einem Computersystem 1230 über eine Leitung 1220 übermittelt. Das Computersystem 1230 analysiert diese Sensorausgangssignale, um die charakteristischen Parameter zu identifizieren.
  • Es sei auf 11 verwiesen; sobald der charakteristische Parameter identifiziert und gemessen ist, geht das Verfahren 1100 weiter, indem der bzw. die gemessenen und identifi zierten charakteristischen Parameter unter Anwendung eines adaptiven Abtastprozessmodells (das nachfolgend näher erläutert ist) modelliert werden, wie dies im Feld 1130 dargestellt ist. Das Computersystem 1230 in 12 ist in dieser speziellen Ausführungsform so programmiert, um die bzw. den charakteristischen Parameter zu modellieren. Die Art und Weise, wie diese Modellierung stattfindet, ist implementationsspezifisch.
  • In der Ausführungsform aus 12 speichert eine Datenbank 1235 mehrere Modelle, die möglicherweise angewendet werden können, abhängig davon, welcher charakteristische Parameter gemessen wird. Diese spezielle Ausführungsform erfordert daher eine a priori Kenntnis der charakteristischen Parameter, die gemessen werden könnten. Das Computersystem 1230 ruft dann ein geeignetes Modell aus der Datenbank 1235 aus möglichen Modellen ab, um dieses auf die gemessenen charakteristischen Parameter anzuwenden. Wenn die Datenbank 1235 kein geeignetes Modell enthält, dann kann der charakteristische Parameter ignoriert werden, oder das Computersystem 1230 kann versuchen, ein entsprechendes Modell zu entwickeln, falls es entsprechend programmiert ist. Die Datenbank 1235 kann in einer beliebigen Art eines computerlesbaren Programmspeichermediums, etwa auf einer optischen Diskette 1240, einer Floppy-Diskette 1245 oder einem Festplattenlaufwerk (nicht gezeigt) des Computersystems 1230 gespeichert sein. Die Datenbank 1235 kann auch auf einem separaten Computersystem (nicht gezeigt) gespeichert sein, das mit dem Computersystem 1230 verbunden ist.
  • Das Modellieren des gemessenen charakteristischen Parameters kann in alternativen Ausführungsformen unterschiedlich eingerichtet sein. Beispielsweise kann das Computersystem 1230 programmiert sein, wobei eine gewisse Form einer künstlichen Intelligenz eingesetzt ist, um Sensorausgangssignale und Steuerungseingangswerte zu analysieren, um ein Modell in einer Echtzeitimplementierung zu entwickeln. Dieser Ansatz kann nützlich sein für die Ausführungsform, wie dies in 12 dargestellt ist und oben erläutert ist, wobei charakteristische Parameter gemessen und identifiziert werden, für die die Datenbank 1235 kein geeignetes Modell aufweist.
  • Das Verfahren 1100 aus 11 geht dann weiter, indem das Modell angewendet wird, um mindestens einen Prozesssteuerungseingangsparameter zu modifizieren, wie dies im Feld 1140 gezeigt ist. Abhängig von der Implementierung kann das Anwenden des Modells entweder einen neuen Wert für einen Prozesssteuerungseingangsparameter oder eine Korrek tur eines bestehenden Prozesssteuerungseingangsparameters ergeben. In diversen anschaulichen Ausführungsformen kann eine Vielzahl von Steuerungseingangsrezepten gespeichert sein und es kann ein geeignetes davon auf der Grundlage eines oder mehrerer der bestimmten Parameter ausgewählt werden. Der neue Prozesssteuerungseingangswert wird dann aus dem Wert ermittelt, der sich aus dem Modell ergibt und wird der Prozessanlagensteuerung 1215 über die Leitung 1220 zugeleitet. Die Prozessanlagensteuerung 1250 steuert darauf nachfolgende Prozessschritte in Übereinstimmung mit den neuen Prozesssteuerungseingangswerten.
  • In einigen alternativen Ausführungsformen kann eine Form der Rückkopplung angewendet werden, um das Modellieren charakteristischer Parameter zu verbessern. Die Implementierung dieser Rückkopplung hängt von diversen ungleichen Faktoren ab, zu denen die Detektionsfähigkeiten der Anlage wirtschaftliche Grund gehören. Eine Technik, um diese auszuführen besteht darin, mindestens eine Auswirkung der Implementierung des Modells zu überwachen und das Modell auf der Grundlage der überwachten Auswirkung oder Auswirkungen zu aktualisieren. Die Aktualisierung kann von dem Modell abhängen. Beispielsweise kann ein lineares Modell eine andere Aktualisierung als ein nicht lineares Modell erfordern, während alle anderen Faktoren die gleichen sind.
  • Wie aus der obigen Erläuterung hervorgeht, können einige Merkmale der vorliegenden Erfindung als Software eingerichtet sein. Beispielsweise sind die Operationen, die in den Feldern 1120 bis 1140 in 11 dargestellt sind, in der dargestellten Ausführungsform teilweise oder insgesamt in Form einer Software eingerichtet. Somit sind einige Merkmale der vorliegenden Erfindung als Anweisungen eingerichtet, die als Codierung auf einem computerlesbaren Programmspeichermedium vorliegen. Das Programmspeichermedium kann ein beliebiges Medium sein, das für die spezielle Implementierung geeignet ist. Das Programmspeichermedium ist jedoch typischerweise magnetisch, etwa wie die Floppy-Diskette 1245 oder die Festplatte des Computers 1230 (nicht gezeigt), oder optisch, etwa wie die optische Diskette 1240. Wenn diese Anweisungen von einem Computer ausgeführt werden, vollführen sie die beschriebenen Funktionen. Der Computer kann ein Tischrechner sein, etwa wie der Computer 1230. Der Computer kann alternativ ein in die Prozessanlage 1210 eingebetteter Prozessor sein. Der Computer kann auch ein tragbares Gerät, ein Arbeitsplatzrechner oder ein Großrechner in diversen anderen Ausführungsformen sein. Der Schutzbereich der Erfindung ist nicht auf den Typ oder die Art des Programmspeichermedi ums oder des Computers beschränkt, die in den Ausführungsformen der Erfindung vorgesehen sind.
  • Es können einige Teile der detaillierten Beschreibung hierin in Begriffen von Algorithmen, Funktionen, Techniken und/oder Prozessen dargestellt sein oder sind in dieser Weise dargestellt. Diese Begriffe ermöglichen es dem Fachmann in höchst effizienter Weise, den Inhalt seiner Arbeit anderen Fachleuten zu vermitteln. Diese Begriffe werden hierin und im Allgemeinen so verstanden, dass sie eine selbst konsistente Folge von Schritten bezeichnen, die zu einen gewünschten Ergebnis führen. Diese Schritte sind solche, die physikalische Manipulationen an physikalischen Größen erfordern. Typischerweise, ohne dass dies notwendig ist, nehmen diese Größen die Form elektromagnetischer Signale an, die man speichern, übertragen, kombinieren, vergleichen und anderweitig manipulieren kann.
  • Es hat sich gelegentlich als bequem erweisen, insbesondere aus Gründen der allgemeinen Anwendung, diese Signale als Bits, Werte, Elemente, Symbole, Zeichen, Terme, Zahlen und dergleichen zu bezeichnen. Alle diese und weitere ähnliche Begriffe sind im Zusammenhang mit den geeigneten physikalischen Größen zu sehen und sind lediglich bequeme Namen, die diesen Größen und Operationen gegeben sind. Sofern dies nicht explizit anders dargestellt ist, oder dies aus der Erläuterung deutlich wird, bezeichnen Begriffe, etwa bearbeiten", „Berechnen", „Ausrechnen", „Bestimmen", „Darstellen" und dergleichen, wie sie hierin verwendet sind, die Aktion bzw. Aktionen und Prozesse eines Computersystems oder einer ähnlichen elektronischen und/oder mechanischen Recheneinrichtung, die Daten, die als physikalische (elektromagnetische Größen) innerhalb der Register des Computersystems und/oder der Speicher repräsentiert sind, in andere Daten durch Manipulation und Trans- fonnation überführen, die in ähnlicher Weise als physikalische Größen innerhalb der Speicher und/oder Register und/oder anderer derartiger Informationsspeichereinrichtungen, Übertragungs- und/oder Anzeigeeinrichtungen des Computersystems repräsentiert sind.
  • Aufbau einer anschaulichen Vorrichtung. Eine beispielhafte Ausführungsform 1300 der Vorrichtung 1200 aus 12 ist in den 13 bis 14 gezeigt, wobei die Vorrichtung 1300 einen Teil eines fortschrittlichen Prozesssteuerungs-(APC) Systems umfasst. 13 und 14 sind konzeptionelle strukturelle und funktionelle Blockansichten der Vorrichtung 1300. Eine Reihe von Prozessschritten wird an einem Los aus Werkstücken 1305 in einer Prozessanlage 1310 ausgeführt. Da die Vorrichtung 1300 ein Teil eines fortschrittlichen Prozesssteue rungs-(APC) Systems ist, werden die Werkstücke 1305 auf Basis einer Einzeldurchlaufsteuerung prozessiert. Die Prozesseinstellungen werden für die Dauer eines Durchlaufes vorgenommen und konstant gehalten auf der Grundlage von Messungen oder Durchschnittswerten auf der Ebene eines Durchlaufs. Ein „Durchlauf" kann ein Los, eine Reihe von Losen oder sogar eine einzelne Scheibe sein.
  • In dieser speziellen Ausführungsform werden die Werkstücke 1305 durch die Prozessanlage 1310 bearbeitet und diverse Operationen in dem Prozess werden durch eine Vielzahl von Prozesssteuerungseingangssignalen auf einer Leitung 1320 zwischen der Prozessanlage 1310 und einem Arbeitsplatzrechner 1330 gesteuert. Beispielhafte Prozesssteuerungseingangswerte für diese Ausführungsform können jene für die Sollwerte für die Werkstücktemperatur, die Leuchtenleistung, die Ausheizzeit, die Prozessgastemperatur, den Prozessgasdruck, die Prozessgasdurchflussrate, die Radiofrequenz- (RF) Leistung, die Ätzzeit, die Vorspannung, die Abscheidezeit und dergleichen miteinschließen.
  • Wenn ein Prozessschritt in der Prozessanlage 1310 ausgeführt wird, werden in der Prozessanlage 1310 zu bearbeitenden Halbleiterstücke 1305 in einer Inspektionsstation 1317 untersucht. Die Inspektionsstation 1317 muss nicht ein Teil der Prozessanlage 1310 sein, sondern kann beispielsweise eine separate Anlage und/oder Station sein. Die Prozesssteuerungseingangswerte beeinflussen im Allgemeinen die charakteristischen Parameter der Halbleiterwerkstücke 1305, die in der Inspektionsstation 1317 gemessen werden und damit auch die Schwankungen und Eigenschaften der Aktionen, die von der Prozessanlage 1310 an den Werkstücken 1305 vorgenommen werden. Sobald Fehler durch die Untersuchung nach dem Durchlauf eines Loses von Werkstücken 1305 bestimmt sind, werden die Prozessteuerungseingangswerte auf der Leitung 1320 für einen nachfolgenden Durchlauf von einem Los aus Werkstücken 1305 modifiziert. Das Modifizieren der Steuerungssignale auf der Leitung 1320 ist so gestaltet, um den nächsten von der Prozessanlage 1310 ausgeführten Prozess zu verbessern. Die Modifizierung wird gemäß einer speziellen Ausführungsform des Verfahrens 1100, das in 11 dargestellt ist, ausgeführt und ist im Folgenden detailliert beschrieben. Sobald die relevanten Prozesssteuerungseingangssignale für die Prozessanlage 1310 aktualisiert sind, werden die Prozesssteuerungseingangssignale mit neuen Einstellungen für einen nachfolgenden Durchlauf von Halbleiterbauelementen angewendet.
  • Es sei auf die 13 und 14 verwiesen; die Prozessanlage 1310 kommuniziert mit einer Fertigungsumgebung, die ein Netzwerk aus Prozessmodulen aufweist. Ein derartiges Modul ist eine fortschrittliche Prozesssteuerungs- (APC) Systemverwaltung 1440, die in dem Computer 1340 installiert ist. Dieses Netzwerk an Prozessmodulen bildet das fortschrittliche Prozesssteuerungs- (APC) System. Die Prozessanlage 1310 umfasst im Allgemeinen eine Anlagenschnittstelle 1410 und eine Sensorschnittstelle 1415. Eine Maschinenschnittstelle 1430 ist in dem Arbeitsplatzrechner 1330 vorgesehen. Die Maschinenschnittstelle 1430 überbrückt die Lücke zwischen der fortschrittlichen Prozesssteuerungs-(APC) Umgebung, beispielsweise der fortschrittlichen Prozesssteuerungs-(APC) Systemverwaltung 1440, und der Anlagenschnittstelle 1410. D. h., die Maschinenschnittstelle 1430 verbindet die Prozessanlage 1310 mit der fortschrittlichen Prozesssteuerungs-(APC) Umgebung und unterstützt die Maschineninitialisierung, die Aktivierung, die Überwachung und die Datennahme. Die Sensorschnittstelle 1415 stellt die geeignete Schnittstellenumgebung bereit, um mit externen Sensoren, etwa LabView oder anderen Datennahme- Softwareprogrammen auf Busbasis zu kommunizieren. Sowohl die Maschinenschnittstelle 1430 als auch die Sensorschnittstelle 1415 verbinden eine Reihe von Funktionen (etwa einen Kommunikationsstandard), um zu verwendende Daten aufzunehmen. Die Anlagenschnittstelle 1410 und die Sensorschnittstelle 1415 kommunizieren über die Leitung 1320 mit der Maschinenschnittstelle 1430, die in dem Arbeitsplatzrechner 1330 vorgesehen ist.
  • Genauer gesagt, die Maschinenschnittstelle 1430 empfängt Befehle, Statusereignisse und gesammelte Daten von der Anlagenschnittstelle 1410 und leitet diese nach Bedarf zu anderen fortschrittlichen Prozesssteuerungs-(APC) Komponenten und Ereigniskanälen weiter. Andererseits werden Antworten von den fortschrittlichen Prozesssteuerungs-(APC) Komponenten von der Maschinenschnittstelle 1430 empfangen und zu der Anlagenschnittstelle 1410 weitergeleitet. Die Maschinenschnittstelle 1430 führt eine Reformatierung und Rekonstruktion von Nachrichten und Daten nach Bedarf durch. Die Maschinenschnittstelle 1430 unterstützt die Anlauf/Abschalt-Prozeduren innerhalb der fortschrittlichen Prozesssteuerungs-(APC) Systemverwaltung 1440. Sie dient ferner als ein Datenkollektor für die fortschrittliche Prozesssteuerung (APC), wobei Daten, die von der Anlagenschnittstelle 1410 erhalten werden, zwischengespeichert und geeignete Datennahmesignale ausgesendet werden.
  • In der speziellen dargestellten Ausführungsform ist das fortschrittliche Prozesssteuerungs-(APC) System ein fabrikumspannendes Softwaresystem, wobei dies für die Durchführung der Erfindung nicht notwendig ist. Die Steuerungsstrategien, die durch die vorliegende Erfindung vermittelt werden, können auf nahezu jede beliebige Halbleiterprozessanlage in einer Fabrik angewendet werden. In der Tat kann die vorliegende Erfindung gleichzeitig für mehrere Prozessanlagen in der gleichen Fabrik oder in dem gleichen Herstellungsprozess angewendet werden. Die fortschrittliche Prozesssteuerungs-(APC) Umgebung ermöglicht einen Fernzugriff und die Fernüberwachung des Prozessverhaltens. Ferner kann durch die Verwendung der fortschrittlichen Prozesssteuerungs-(APC)-Umgebung die Datenspeicherung bequem flexibler und kostengünstiger gestaltet werden, als eine Datenspeicherung in lokalen Laufwerken. Jedoch kann die vorliegende Erfindung in einigen alternativen Ausführungsformen in Verbindung mit lokalen Laufwerken angewendet werden.
  • Die dargestellte Ausführungsform wendet die vorliegende Erfindung auf die fortschrittliche Prozesssteuerungs-(APC) Umgebung an, in der eine Reihe von Softwarekomponenten verwendet sind. Zusätzlich zu den Komponenten innerhalb der fortschrittlichen Prozesssteuerung-(APC) Umgebung wird ein Computerskript für jede Halbleiterprozessanlage, die an dem Steuerungssystem beteiligt ist, beschrieben. Wenn eine Halbleiterprozessanlage in dem Steuerungssystem in der Halbleiterfertigungsfabrik gestartet wird, ruft die Halbleiterprozessanlage im Allgemeinen ein Skript auf, um die Aktionen zu initiieren, die für die Prozessanlagensteuerung erforderlich sind. Die Steuerungsverfahren sind im Allgemeinen auf der Grundlage dieser Skripten definiert und werden unter Anwendung derselben ausgeführt. Die Entwicklung derartiger Skripten kann einen wesentlichen Teil der Entwicklungsarbeit eines Steuerungssystems umfassen.
  • In dieser speziellen Ausführungsform gibt es einige separate Softwareskripten, die die beim Steuern des Prozessvorgangs beteiligten Aufgaben ausführen. Es gibt ein Skript für die Prozessanlage 1310, einschließlich der Inspektionsstation 1317 und der Prozessanlagensteuerung 1315. Ferner gibt es ein Skript, um die eigentliche Datennahme aus der Inspektionsstation 1317 zu handhaben und ein weiteres Skript, das gemeinsame Prozeduren enthält, die von jedem der anderen Skripten aufgerufen werden können. Des weiteren gibt es ein Skript für die fortschrittliche Prozesssteuerungs-(APC) Systemverwaltung 1440. Die genaue Anzahl an Skripten ist jedoch implementationsspezifisch und in alternativen Ausführungsformen kann eine andere Anzahl an Skripten verwendet werden.
  • Betrieb einer anschaulichen Vorrichtung.
  • 15 zeigt eine spezielle Ausführungsform 1500 des Verfahrens 1100 aus 11. Das Verfahren 1500 kann mit der Vorrichtung 1300, die in den 1314 gezeigt ist, ausgeführt werden, wobei die Erfindung jedoch nicht darauf beschränkt ist. Das Verfahren 1500 kann mit einer beliebigen Vorrichtung verwirklicht werden, die die in 15 dargestellten Funktionen ausführen kann. Ferner kann das Verfahren 1100 aus 11 in einigen Ausführungsformen alternativ zu den Verfahren 1500 aus 15 ausgeführt werden.
  • Es sei nun auf alle 13 bis 15 verwiesen; das Verfahren 1500 beginnt mit der Bearbeitung eines Loses aus Werkstücken 1305 mittels einer Prozessanlage, etwa der Prozessanlage 1310, wie dies im Feld 1510 dargestellt ist. In dieser speziellen Ausführungsform wird die Prozessanlage 1310 durch die fortschrittliche Prozesssteuerungs-(APC) Systemverwaltung 1440 über die Maschinenschnittstelle 1430 und die Anlagenschnittstelle 1410 initialisiert. In dieser speziellen Ausführungsform wird vor dem Anfahren der Prozessanlage 1310 das Skript der fortschrittlichen Prozesssteuerungs-(APC) Systemverwaltung aufgerufen, um die Prozessanlage 1310 zu initialisieren. Bei diesem Schritt zeichnet das Skript die Identifizierungsnummer der Prozessanlage 1310 und die Losnummer der Werkstücke 1305 auf. Die Identifizierungsnummer wird dann im Zusammenhang mit der Losnummer in einem Datenspeicher 1360 gespeichert. Der Rest des Skripts, etwa der APC-Datenaufruf und die Initialisierungs- und Anlaufmaschinenaufrufe sind ohne oder mit Ersatzdaten versehen, um die Maschine zu zwingen, Ersatzeinstellungen anzuwenden.
  • Als Teil dieser Initialisierung werden die anfänglichen Sollwerte für die Prozesssteuerung der Prozessanlagensteuerung 1315 über die Leitung 1320 zur Verfügung gestellt. Diese anfänglichen Sollwerte können in einer beliebigen bekannten Art und Weise bestimmt und eingerichtet werden. In diesem Falle wurden ein oder mehrere Scheibenlose im Wesentlichen in der gleichen oder ähnlichen Umgebung oder Bedingungen, wie das aktuelle Scheibenlos bearbeitet und wurden ebenso im Hinblick auf Prozessfehler unter Nutzung der Inspektionsstation 1317 vermessen. Wenn diese Informationen vorhanden sind, können Zustandabschätzungen, die aus den gemessenen Fehlern und/oder systematischen Abweichungen gewonnen wurden, aus dem Datenspeicher 1360 abgerufen werden. Die Prozesssteuerungseingangssignaleinstellungen, die aus den Zustandsabschätzungen berechnet werden, werden dann in die Prozessanlage 1310 eingeladen.
  • Die Werkstücke 1305 werden in der Prozessanlage 1310 bearbeitet. Dies beinhaltet in der dargestellten Ausführungsform, dass die Werkstücke 1305 einem schnellen thermischen Ausheizen unterzogen werden. Die Werkstücke 1305 werden in der Inspektionsstation 1317 nach ihrer Bearbeitung in der Prozessanlage 1310 gemessen. Die Inspektionsstation 1317 untersucht die Werkstücke 1305 nach deren Bearbeitung auf eine Reihe von Fehlern hin, etwa Abweichungen von Sollwerten, etwa Filmdicken, Ätztiefen und dergleichen. Die von den Instrumenten der Inspektionsstation 1317 erzeugten Daten werden über die Sensorschnittstelle 1415 und die Leitung 1320 zu der Maschinenschnittstelle 1430 weitergeleitet. Das Inspektionsstationsskript beginnt mit einer Reihe von fortschrittlichen Prozesssteuerungs-(APC) Befehlen für die Datenentnahme. Die Inspektionsstation bringt sich selbst in Position und aktiviert ein „Daten verfügbar" Skript. Dieses Skript ermöglicht den tatsächlichen Transfer der Daten von der Inspektionsstation 1317 zu der fortschrittlichen Prozesssteuerungs-(APC) Umgebung. Wenn der Transfer abgeschlossen wird, wird das Skript beendet und koppelt das Inspektionsstationsskript ab. Die Wechselwirkung mit der Inspektionsstation 1317 ist dann im Allgemeinen abgeschlossen. Wie der Fachmann unter Beachtung der technischen Lehre hierin erkennt, sollten die von der Inspektionsstation 1317 erzeugten Daten für die weitere Verwendung vorbearbeitet werden.
  • Die Inspektionsstationen, etwa die KLA-Inspektionsstationen, stellen die Steuerungsalgorithmen zum Messen der Steuerungsfehler bereit. Jeder gemessene Fehler in dieser speziellen Ausführungsform entspricht einem der Prozesssteuerungseingangssignale auf der Leitung 1390 in direkter Weise. Bevor der Fehler benutzt werden kann, um das Prozesssteuerungseingangssignal zu korrigieren, wird im Allgemeinen zunächst ein gewisses Maß an Vorverarbeitung ausgeführt.
  • Beispielsweise kann die Vorverarbeitung das Ausschließen von Messwertausreißern beinhalten. Das Ausschließen von Ausreißern ist eine grobe Fehlerüberprüfung, die sicherstellt, dass die empfangenen Daten im Hinblick auf das historische Verhalten des Prozesses vernünftig sind. Diese Prozedur beinhaltet das Vergleichen jedes Prozessfehlers mit seinem entsprechenden vorbestimmten Grenzparameter. In einer Ausführungsform werden die Fehlerdaten des gesamten Halbleiterscheibenloses im Wesentlichen zurückgewiesen, wenn nur eine der vorbestimmten Grenzen überschritten wird.
  • Um die Grenzen für den Ausschluss von Ausreißern zu bestimmen, können Tausende tatsächlicher Halbleiterfertigungsfabrik-(„fab") Datenpunkte gesammelt werden. Die Standardabweichung für jeden Fehlerparameter in dieser Datensammlung kann dann berechnet werden. In einer Ausführungsform wird für einen Ausschluss von Ausreißern die neunfache Standardabweichung (sowohl positiv als auch negativ) im Allgemeinen als die vorbestimmte Grenze ausgewählt. Dies wurde in erster Linie gemacht, um sicherzustellen, dass nur die Punkte zurückgewiesen werden, die deutlich außerhalb der normalen Betriebsbedingungen des Prozesses liegen.
  • Die Vorverarbeitung kann auch eine Datenglättung sein, das auch als Filterung bekannt ist. Eine Filterung ist wichtig, da die Fehlermesswerte einem gewissen Maß an Zufälligkeit unterliegen, so dass die Werte der Fehler deutlich abweichen können. Das Filtern der Inspektionsstationsdaten führt zu einer genaueren Einschätzung des Fehlers bei den Prozesssteuerungseingangssignaleinstellungen. In einer Ausführungsform wird in dem Prozesssteuerungsschema eine Filterprozedur angewendet, die als Filter mit expotentiell gewichtetem gleitenden Durchschnitt („EWMA") bekannt ist, obwohl in diesem Zusammenhang auch andere Filterprozeduren eingesetzt werden können.
  • Eine Ausführungsform für den EWMA-Filter wird durch die Gleichung (1) präsentiert: AVGN = W * MC + (1-W) * AVGPwobei
    AVGN der neue EWMA-Durchschnittswert ist;
    W = ein Gewicht für den neuen Durchschnittswert (AVGN);
    MC der aktuelle Messwert; und
    AVGP der vorhergehende EWMA-Durchschnittswert ist.
  • Das Gewicht ist ein einstellbarer Parameter, der verwendet werden kann, um das Maß an Filterung einzustellen und liegt im Allgemeinen zwischen 0 und 1. Das Gewicht repräsentiert das Vertrauen in die Genauigkeit des aktuellen Datenpunkts. Wenn die Messung als genau erachtet wird, sollte das Gewicht nahe bei 1 liegen. Wenn es ein deutliches Maß an Fluktuationen in dem Prozess gibt, dann ist eine Zahl näher bei 0 geeignet.
  • In einer Ausführungsform gibt es mindestens zwei Techniken zum Verwenden des EWMA-Filterprozesses. In der ersten Technik wird der frühere Durchschnittswert, das Gewicht und der aktuelle Messwert verwendet, wie dies oben beschrieben ist. Zu den Vorteilen der Anwendung dieser ersten Implementierung gehört die Einfachheit der Anwendung und ein minimaler Datenspeicher. Einer der Nachteile der Verwendung der ersten Implementierung besteht dann, dass dieses Verfahren im Allgemeinen nicht sehr viel Prozessinformation bewahrt. Ferner wird der vorhergehende Durchschnittswert, der auf diese Weise berechnet wird, für jeden vorhergehenden Datenpunkt bestimmt, was unerwünscht sein kann. Die zweite Technik bewahrt lediglich einige der Daten und berechnet den Durchschnittswert jedes mal aus den Rohdaten.
  • Die Herstellungsumgebung in der Halbleiterherstellungsfabrik birgt einige einzigartige Herausforderungen. Die Reihenfolge, in der die Halbleiterscheibenlose in einer Prozessanlage bearbeitet werden, stimmt nicht notwendigerweise mit der Reihenfolge überein, in der diese in der Inspektionsstation bearbeitet werden. Das kann zu Datenpunkten führen, die dem EWMA-Durchschnitt hinzugefügt werden, die außerhalb der Reihenfolge liegen. Halbleiterscheibenlose können mehr als ein mal analysiert werden, um die Fehlermesswerte zu verifizieren. Ohne Datenbewahrung würden beide Messwerte für den EWMA-Durchschnittswert beitragen, was eine ungewünschte Eigenschaft ist. Ferner können einige der Steuerungsunterroutinen eine geringe Größe aufweisen, so dass der vorhergehende Durchschnittswert zu einem Überlauf führt, so dass dieser nicht mehr in genauer Weise den Fehler in den Prozesssteuerungseingangssignaleinstellungen repräsentiert.
  • Die Prozessanlagensteuerung 1315 verwendet in dieser speziellen Ausführungsform eine eingeschränkte Datenspeicherung, um den EWMA gefilterten Fehler zu berechnen, d. h. es wird die erste Technik angewandt. Scheibenlosdaten einschließlich der Losnummer, dem Zeitpunkt, an dem das Los bearbeitet wurde, und die mehreren Fehlerabschätzungen werden in dem Datenspeicher 1360 und dem Namen der Steuerungsunterroutine bzw. Thread gespeichert. Wenn ein neuer Datensatz genommen wird, wird der Datenstapel aus dem Datenspeicher 1316 abgerufen und analysiert. Die Losnummer des aktuellen bearbeiteten Loses wird mit jenem in dem Stapel verglichen. Wenn die Losnummer mit dort vorhandenen Daten übereinstimmt, werden die Fehlermessungen ersetzt. Ansonsten wird der Datenpunkt dem aktuellen Stapel in chronologischer Ordnung entsprechend den Zeitpunkten, an denen die Lose prozessiert wurden, hinzugefügt. In einer Ausführungsform wird jeder Datenpunkt innerhalb des Stapels, der älter als 128 Stunden ist, verworfen. Wenn die zuvor beschriebenen Schritte abgeschlossen sind, wird der neue Filterdurchschnittswert berechnet und im Datenspeicher 1360 abgelegt.
  • Somit werden die Daten gewonnen und vorverarbeitet und anschließend prozessiert, um eine Abschätzung der aktuellen Fehler in dem Prozesssteuerungseingangssignaleinstellungen zu erzeugen. Zuerst werden die Daten einem komplierten Matlab-Programmeinschub zugeleitet, der den Ausschluss von Messwertausreißern entsprechend den oben beschriebenen Kriterien ausführt. Die Eingaben für eine Einschubschnittstelle sind die mehreren Fehlermessungen und ein Feld, das Grenzwerte enthält. Die Rückgabe von der Einschubschnittstelle ist eine einzelne binäre Variable. Ein Rückgabewert von nicht 0 zeigt an, dass die Ausschlusskriterien nicht erfüllt sind, ansonsten gibt die Variable den Ersatzwert 0 zurück und das Skript arbeitet weiter.
  • Nachdem der Ausschluss der Ausreißer abgeschlossen ist, werden die Daten zu der EWMA-Filterprozedur weitergeleitet. Die Steuerungsdaten für den Namen der Steuerungsunterroutine, die mit dem Los verknüpft ist, wird abgerufen und alle relevanten Operationen werden dem Stapel aus Losdaten ausgeführt. Dazu gehört das Ersetzen redundanter Daten oder das Verwerfen alter Daten. Wenn der Datenstapel in geeigneter Weise vorbereitet ist, wird dieser in ansteigender zeitlicher Ordnung in Felder umgewandelt, die den Fehlerwerten entsprechen. Diese Felder werden dann dem EWMA-Einschub zusammen mit einem Feld aus Parametern, die für die Ausführung erforderlich sind, eingespeist. In einer Ausführungsform umfasst der Rückgabewert von dem Programmeinschub die sechs gefilterten Fehlerwerte.
  • Wie mit Bezug zu 15 gezeigt ist, umfasst die Datenverarbeitung das Überwachen und/oder Abtasten der Parameter des Werkstücks 1305, der bzw. die charakteristisch für die Variablen der Prozessanlage 1310 sind, wie dies im Feld 1520 dargestellt ist. Bekannte mögliche charakteristische Parameter können durch charakteristische Datenmuster erkannt werden, oder können als bekannte Konsequenzen von Modifizierungen an der Prozesssteuerung identifiziert werden. Andererseits können die Prozesssteuerungseingangsparameter, etwa die Prozessrezeptsteuerungseingangsparameter und/oder die Sollwerte für die Werkstückstemperatur und/oder die Leuchtenleistung und/oder die Ausheizzeit und/oder die Prozessgastemperatur und/oder der Prozessgasdruck und/oder die Prozessgasdurch flussrate und/oder die Radiofrequenz-(RF) Leistung und/oder die Ätzzeit und/oder die Vorspannung und/oder die Abscheidezeit und dergleichen direkt die effektive Ausbeute an nutzbaren Halbleiterbauelementen aus dem Werkstück 1305 beeinflussen.
  • Der nächste Schritt in dem Steuerungsprozess besteht darin, die neuen Einstellungen für die Prozessanlagensteuerung 1315 der Prozessanlage 1310 zu berechnen. Die vorhergehenden Einstellung für die Steuerungsroutine, die dem aktuellen Scheibenlos entspricht, werden aus dem Datenspeicher 1360 abgerufen. Diese Daten werden mit dem aktuellen Satz an Prozessfehlern verknüpft. Die neuen Einstellungen werden durch Aufrufen eines kompilierten Matlab-Einschubs berechnet. Diese Anwendung beinhaltet eine Reihe von Eingaben, führt Berechnungen in einer separaten Ausführungskomponente aus und gibt eine Reihe von Ausgabewerten an das Hauptskript zurück. Im Allgemeinen sind die Eingabewerte für den Matlab-Einschub die Prozesssteuerungseingangssignaleinstellungen, die Fehlerwerte aus der Inspektionsstation 1317, ein Feld an Parametern, die für den Steuerungsalgorithmus erforderlich sind, und eine aktuell nicht benutzte Fehlermarke. Die Ausgabewerte des Matlab-Einschubs sind die neuen Steuerungseinstellungen, die in dem Einschub entsprechend dem oben beschriebenen Steuerungsalgorithmus berechnet werden.
  • Ein Prozessingenieur oder ein Steuerungsingenieur, die im Allgemeinen die eigentliche Form und das Ausmaß der Steuerungsaktivität bestimmen, können die Parameter festlegen. Dazu gehören die Schwellwerte, maximale Schrittweiten, Steuerungsgewichtungen und Sollwerte. Sobald die neuen Parametereinstellungen berechnet sind, speichert das Skript die Einstellung in dem Datenspeicher 1360 so, dass die Prozessanlage 1310 diese für das nächste zu prozessierende Scheibenlos abrufen kann. Die Prinzipien, die durch die vorliegende Erfindung gelehrt werden, können auch in anderen Arten von Herstellungsumgebungen eingesetzt werden.
  • Es sei wieder auf 15 verwiesen; das Berechnen der neuen Einstellungen umfasst, wie im Feld 1530 dargestellt ist, das Modellieren des charakteristischen Parameters bzw. der Parameter unter Verwendung eines adaptiven Abtastprozessmodells. Dieses Modellieren kann durch einen Matlab-Einschub ausgeführt werden. In dieser speziellen Ausführungsform werden lediglich bekannte, mögliche charakteristische Parameter modelliert und die Modelle sind in einer Datenbank 1335, auf die von der Maschinenschnittstelle 1430 zugegriffen wird, gespeichert. Die Datenbank 1335 kann in dem Arbeitsplatzrechner 1330 instal liert sein, wie dies gezeigt ist, oder in einem anderen Teil der fortschrittlichen Prozesssteuerungs-(APC) Umgebung. Beispielsweise können die Modelle in dem Datenspeicher 1360 gespeichert sein, der in alternativen Ausführungsformen von der fortschrittlichen Prozesssteuerungs-(APC) Systemverwaltung 1440 verwaltet wird. Das Modell ist im Allgemeinen ein mathematisches Modell, d. h. eine Gleichung, die beschreibt, wie die Änderung bzw. Änderungen in der Prozessrezeptsteuerung das Prozessverhalten und dergleichen beeinflusst. Die Modelle, die zuvor in diversen anschaulichen Ausführungsformen beschrieben sind, und die im Weiteren detaillierter beschrieben sind, sind Beispiele derartiger Modelle.
  • Das speziell verwendete Modell ist in der Regel implementationsspezifisch, abhängig von der speziellen Prozessanlage 1310 und dem speziellen charakteristischen Parameter bzw. Parametern, die modelliert werden. Ob die Abhängigkeit in den Modell linear oder nicht linear ist, hängt von den speziellen beteiligten Parametern bzw. Parameter ab.
  • Die neuen Einstellungen werden dann der Prozessanlagensteuerung 1315 zugeleitet und von dieser angewendet. Es sei nun wieder auf 15 verwiesen; sobald somit die bzw. der charakteristische Parameter modelliert ist, wird das Modell angewendet, um zumindest einen Prozessrezeptsteuerungseingangsparameter zu modifizieren, wobei zumindest eine Steuerung mit Modellvorhersagen der Steuerung (MPC) oder zumindest eine Steuerung mit proportional-integral-differenzial-(PID) Verhalten verendet wird, wie sie zuvor detaillierter beschrieben sind und wie sie in dem Feld 1540 dargestellt sind. In dieser speziellen Ausführungsform ruft die Maschinenschnittstelle 1430 das Modell aus der Datenbank 1335 ab, setzt den bzw. die entsprechenden Werte ein und bestimmt die notwendige Änderung in den Prozessrezeptsteuerungseingangsparametern bzw. Parameter. Die Änderung wird dann von der Maschinenschnittstelle 1430 über die Leitung 1320 zu der Anlagenschnittstelle 1410 weitergeleitet.
  • Die vorliegende Ausführungsform sorgt ferner dafür, dass die Modelle aktualisiert werden. Dies umfasst, wie in den Feldern 1550 bis 1560 aus 15 gezeigt ist, das Überwachen zumindest einer Auswirkung des Modifizierens der Prozessrezeptsteuerungseingangsparameter (Feld 1550) und das Aktualisieren des angewendeten Modells (Feld 1560) auf der Grundlage der überwachten Auswirkung bzw. Auswirkungen. Beispielsweise ändern sich diverse Aspekte des Betriebs der Prozessanlage 1310 mit zunehmender Betriebszeit der Anlage 1310. Durch Überwachen der Auswirkung der Prozessrezeptänderung, die als Ergebnis der charakteristischen Parametermessung ergibt, kann der erforderliche Wert so aktualisiert werden, um ein verbessertes Leistungsverhalten zu erzielen.
  • Wie zuvor dargelegt ist, ist in dieser speziellen Ausführungsform ein fortschrittliches Prozesssteuerungs-(APC) System eingerichtet. Somit ergeben sich Änderungen „zwischen" Losen. Die Aktivitäten, die in den Feldern 1520 bis 1560 dargestellt sind, werden vorgenommen, nachdem das aktuelle Los bearbeitet ist und bevor das zweite Los prozessiert wird, wie dies im Feld 1517 aus 15 gezeigt ist. Jedoch ist die Erfindung nicht darauf beschränkt. Wie ferner zuvor dargelegt ist, kann ein Los eine beliebige praktikable Anzahl an Scheiben von 1 bis mehreren 1000 (oder praktisch eine beliebig beschränkte Zahl) aufweisen. Was ein „Los" ausmacht ist implementationsspezifisch und somit hängt der Punkt für die Aktualisierung des Herstellungsprozesses von der Implementierung ab.
  • Wie zuvor beschrieben ist, kann in diversen anschaulichen Ausführungsformen der vorliegenden Erfindung ein adaptives Abtastprozessmodell angewendet werden, um die in einem Prozessschritt vorgenommene Bearbeitung zu modifizieren. Beispielsweise kann ein adaptives Abtastprozessmodell durch Überwachen einer oder mehrerer Anlagenvariablen und/oder eines oder mehrerer Prozessparameter während eines oder mehrerer Prozessdurchläufe erstellt werden. Zu Beispielen derartiger Anlagenvariablen und/oder Prozessparameter können ein oder mehrere Pyrometermesswerte, ein oder mehrere Leuchtenleistungsmesswerte, ein oder mehrere Röhrentemperaturmesswerte, ein oder mehrere Strommesswerte, ein oder mehrere Infrarot-(IR) Signalmesswerte, ein oder mehrere Messwerte für das optische Emissionsspektrum, ein oder mehrere Prozessgastemperaturmesswerte, ein oder mehrere Prozessgasdruckmesswerte, ein oder mehrere Prozessgasdurchflussratenmesswerte, eine oder mehrere Ätztiefen, eine oder mehrere Prozessschichtdicken, ein oder mehrere Widerstandsmesswerte und dergleichen gehören.
  • Mathematisch ausgedrückt kann ein Satz aus m Prozessdurchläufen, die für n Prozessanlagenvariablen und/oder Prozessparameter gemessen und/oder überwacht werden, als eine n×m-Matrix X ausgedrückt werden. Mit anderen Worten, die rechteckige n×m-Matrix X kann aus einer bis n-Zeilen (jede Zeile entspricht einer separaten Prozessanlagenvariablen oder Prozessparameter) und 1 bis m-Spalten (jede Spalte entspricht einem separaten Prozessdurchlauf) aufeisen. Die Werte der rechteckigen n×m-Matrix X können tatsächlich ge messene Wert für die Prozessanlagenvariablen und/oder Prozessparameter, Verhältnisse von tatsächlich gemessenen Werten (normiert in Bezug auf entsprechende Referenzsollwerte), oder Logarithmen derartiger Verhältnisse, um nur einige Beispiele zu nennen, sein. Die rechteckige n×m-Matrix X weist einen Rang r auf, wobei r ≤ min (m, n) die maximale Anzahl der unabhängigen Variablen in der Matrix X ist. Die rechteckige n×m-Matrix X kann unter Anwendung beispielsweise der Hauptkomponentenanalyse (PCA) untersucht werden. Die Anwendung der PCA erzeugt beispielsweise einen Satz von Hauptkomponenten P (deren „Gewichte" oder Komponenten die Beiträge der diversen Prozessanlagenvariablen und/oder Prozessparameter repräsentieren) als eine Eigenmatrix (eine Matrix, deren Spalten Eigenvektoren sind) für die Gleichung ((X-M)(X-M)TP=Δ2P, wobei M eine rechteckige n×m-Matrix der Mittelwerte der Spalten aus X (die Spalten aus M sind jeweils der gemittelte Spaltenvektor un×1 von Xn×m), Δ2 die n×n Diagonalmatrix der Quadrate der Eigenwerte λi, i=1,2,...., r der auf den Mittelwert skalierten Matrix X-M und einer Gewichtungsmatrix T mit X-M = PTT und (X-M)T = (PTT)T = TT)TPT=TPT ist, so dass ((X-M)(X-M)T)P=(PTT)(TPT))P und ((PTT)(TPT))P=(P(TTT)PT)P=P(TTT)=Δ2P ist. Die rechteckige n×m-Matrix X, die als Xn×m bezeichnet ist, weist Elemente xij auf, wobei i=1,2,...., n und j=1, 2,..., n ist, und die rechteckige m×n-Matrix XT, d. h. die Transponierte der rechteckigen n×m-Matrix X, die auch als (XT)m×n bezeichnet ist, besitzt die Elemente iji, wobei i=1, 2,..., n und j=1, 2,..., m ist. Die n×m-Matrix (X-M)(X-M)T ist (m-1) mal die Kovarianz-Matrix Sn×n mit den Elementen sij, wobei i=1, 2,...., n und j= 1, 2,..., n ist, die so definiert ist, dass:
    Figure 00320001
    was der rechteckigen n×m-Matrix Xn×m entspricht.
  • Obwohl andere Verfahren existieren können, sind vier Verfahren zum Berechnen der Hauptkomponenten wie folgt:
    • 1. Eigenvektoranalyse (EIG);
    • 2. Zerlegung in singuläre Werte (SVD);
    • 3. nicht lineares iteratives Teilverfahren für die kleinsten Quadrate (NIPALS); und
    • 4. Potenzverfahren.
  • Jedes der ersten beiden Verfahren, EIG und SVD, berechnet gleichzeitig alle möglichen Hauptkomponenten, während das NIPALS-Verfahren die Berechnung jeweils einer Hauptkomponente ermöglicht. Jedoch ist das Potenzverfahren, das im Weiteren detaillierter beschrieben ist, ein iteratives Vorgehen, um Eigenwerte und Eigenvektoren zu ermitteln, und das ferner die Berechnung jeweils einer Hauptkomponente ermöglicht. Es gibt so viele Hauptkomponenten wie es Kanäle (oder Variablenwerte) gibt. Das Potenzverfahren geht in effizienter Weise mit der Rechenzeit um.
  • Es sei beispielsweise die 3×2 Matrix A, dessen transponierte 2×3-Matrix AT, deren 2×2 Matrixprodukt ATA und deren 3×3 Matrixprodukt AAT betrachtet:
  • Figure 00330001
  • EIG zeigt, dass die Eigenwerte λ des Matrixprodukts ATA 3 und 2 sind. Die Eigenvektoren des Matrixprodukts ATA sind Lösungen t der Gleichung (ATA) t = λt und können durch Messung als t 1 T = (1,0) und t 2 T = (0, 1) erkannt werden, die zu den Eigenwerten λ1 = 3 bzw. λ2 = 2 gehören.
  • Das Potenzverfahren kann beispielsweise angewendet werden, um die Eigenwerte λ und die Eigenvektoren des Matrixprodukts AAT zu ermitteln, wobei die Eigenwerte λ und die Eigenvektoren p Lösungen der Gleichung (AAT)p = λp sind. Ein Versuchseigenvektor p T(1, 1, 1,) kann verwendet werden:
  • Figure 00340001
  • Dies zeigt an, dass der Versuchseigenvektor p T =(1, 1, 1) gerade dem Eigenvektor p 1 T =(1,1,1) entspricht, der zu dem Eigenwert λ1=3 gehört. Das Potenzverfahren geht dann weiter, indem das äußere Matrixprodukt p 1 p 1 T von dem Matrixprodukt AAT subtrahiert wird, um eine Restmatrix R1 zu bilden:
  • Figure 00340002
  • Es kann ein weiterer Versuchseigenvektor p T = (1, 0, –1) verwendet werden:
  • Figure 00340003
  • Dies zeigt an, dass der Versuchseigenvektor pT = (1, 0, –1) gerade dem Eigenvektor pT = (1, 0, –1) entspricht, der zu dem Eigenwert λ2 = 2 gehört. Das Potenzverfahren geht dann weiter, indem die Matrix für das Äußere Produkt p 2 p 2 T von der Restmatrix R1 abgezogen wird, um eine zweite Restmatrix R2 zu bilden:
  • Figure 00340004
  • Die Tatsache, dass die zweite Restmatrix R2 verschwindet, zeigt an, dass der Eigenwert λ3 = 0 ist und dass der Eigenvektor p 3 vollkommen willkürlich ist. Der Eigenvektor p 3 kann geeigneter Weise so gewählt werden, dass dieser senkrecht zu den Eigenvektoren p 1 T = (1,1,1) und p 2 T = (1, 0,–1) ist, so dass der Eigenvektor p 3 T = (1, –2, 1) ist. Tatsächlich kann man leicht verifizieren, dass:
  • Figure 00350001
  • In ähnlicher Weise zeigt SVD von A, dass A = PTT, wobei P die Hauptkomponentenmatrix und T die Gewichtsmatrix ist:
  • Figure 00350002
  • SVD bestätigt, dass die singulären Werte von A √3 und √2 sind, d. h. die positiven Quadratwurzeln der Eigenwerte λ1 = 3 und λ2 = 2 des Matrixprodukts ATA. Zu beachten ist, dass die Spalten der Hauptkomponentenmatrix P orthonormierte Eigenvektoren der Produktmatrix AAT sind.
  • Gleichermaßen zeigt SVD von AT, das AT = TPT ist:
  • Figure 00350003
  • SVD zeigt, dass die (ungleich 0) singulären Werte von AT √3 und √2 sind, d. h. die positiven Quadratwurzeln der Eigenwerte λ1 = 3 und λ2 = 2 der Produktmatrix AAT. Zu beachten ist, dass die Spalten der Hauptkomponenten Matrix P (die Zeilen der Hauptkomponentenmatrix PT) die orthonormierten Eigenvektoren der Produktmatrix AAT sind. Ferner ist zu beachten, dass die Elemente ungleich 0 der Gewichtsmatrix T die positiven Quadratwurzeln √3 und √2 der Eigenvektoren λ1 = 3 und λ2 = 2 (die nicht 0 sind) sowohl der Produktmatrix ATA und AAT sind.
  • Es sei ein weiteres Beispiel verwendet und man betrachte die 4×3 Matrix B, deren Transponierte, die 3×4 Matrix BT, deren 3×3 Produktmatrix BTB und deren 4×4 Produktmatrix BBT:
  • Figure 00360001
  • EIG zeigt, dass die Eigenwerte des Matrixprodukts BTB 4, 2 und 2 sind. Die Eigenvektoren des Matrixprodukt BTB sind Lösungen t der Gleichung (BTB)tt und können durch Beobachtung als t 2 T = (1, 0, 0), t 2 T = (0,1,0) und t 3= (0,0,1) erkannt werden, die zu den Eigenwerten λ1=4, λ2=2 und λ3=2 gehören.
  • Das Potenzverfahren kann beispielsweise angewendet werden, um die Eigenwerte λ und Eigenvektoren p des Matrixprodukts BBT zu bestimmen, wobei die Eigenwerte λ und die Eigenvektoren p Lösungen p der Gleichung (BBT)p = λp sind. Es kann ein Versuchseigenvektor p T (1,1,1,1) angewendet werden:
  • Figure 00360002
  • Dies zeigt an, dass der Versuchseigenvektor p T = (1,1,1,1) gerade dem Eigenvektor p 1 T= (1,1,1,1) entspricht, der zu dem Eigenwert λ1= 4 gehört. Das Potenzverfahren geht dann weiter, indem die äußere Produktmatrix p 1 p 1 T von dem Matrixprodukt BBT subtrahiert wird, um eine Restmatrix R1 zu bilden:
  • Figure 00370001
  • Ein weiterer Versuchseigenvektor p 1 T=(1,0,0,–1) kann verwendet werden:
  • Figure 00370002
  • Dies zeigt, dass der Versuchseigenvektor p T=(1,0,0,–1) gerade dem Eigenvektor p 2 T =(1,0,0,–1) entspricht, der zu dem Eigenwert λ2 = 2 gehört. Das Potenzverfahren geht dann weiter, indem die äußere Produktmatrix p 2 p 2 T von der Restmatrix R1 subtrahiert wird, um eine zweite Restmatrix R2 zu bilden:
  • Figure 00370003
  • Es kann ein weiterer Versuchsvektor p T = (0,1,–1,0) verwendet werden:
  • Figure 00370004
  • Dies zeigt, dass der Versuchsvektor p T = (0,1,–1,0) gerade dem Eigenvektor p 3 T= (0,1,–1,0) entspricht, der zu dem Eigenwert λ3 = 2 gehört. Das Potenzverfahren geht dann weiter, indem die äußere Produktmatrix p 3 p 3 T von der zweiten Restmatrix R2 abgezogen wird, um eine dritte Restmatrix R3 zu bilden:
  • Figure 00380001
  • Die Tatsache, dass die dritte Restmatrix R3 verschwindet, zeigt, dass der Eigenwert λ4 = 0 ist und dass der Eigenvektor p 4 beliebig ist. Der Eigenvektor p 4 kann geeigneter Weise so gewählt werden, dass dieser senkrecht zu den Eigenvektoren p 1 T = (1,1,1,1), p 2 T = (1,0,0, –1) und p 3 T = (0,1,–1,0) ist, so dass der Eigenvektor p 4 T = (1,–1,–1,1) ist. Tatsächlich kann man verifizieren, dass:
  • Figure 00380002
  • Da in diesem Falle die Eigenwerte λ2 = 2 und λ3 = 2 gleich und damit degeneriert sind, gehören die Eigenvektoren p 2 T = (1,0,0,–1) und p 3 T = (0,1,–1,0) zu den degenerierten Eigenwerten λ2 = 2 = λ3 und können somit geeigneter Weise als orthonormiert gewählt werden. Ein Gram-Schmidtsches Orthonormierungsverfahren kann dazu beispielsweise angewendet werden.
  • In ähnlicher Weise zeigt SVD von B, das B = PTT ist, wobei P die Hauptkomponentenmatrix und T die Gewichtsmatrix ist:
  • Figure 00390001
  • SVD bestätigt, dass die einzelnen Werte von B 2, √2 und √2 sind, d. h. die positiven Quadratwurzeln der Eigenwerte λ1 = 4, λ2 = 2 und λ3 = 2 der Produktmatrix BTB.
  • Gleichermaßen zeigt SVD von BT, dass:
  • Figure 00390002
  • SVD bestätigt, dass die einzelnen Werte (ungleich 0) von BT 2, √2 und √2 sind, d.h. die positiven Quadratwurzeln der Eigenwerte λ1=4, λ2=2 und λ3=2 der Produktmatrix AAT. Zu beachten ist, dass die Spalten der Hauptkomponentenmatrix P (die Zeilen der Hauptkomponentenmatrix PT) die orthonormierten Eigenvektoren der Produktmatrix BBT sind. Ferner ist zu beachten, dass die Elemente der Gewichtsmatrix T, die nicht 0 sind, die positiven Quadratwurzeln 2, √2 und √2 der Eigenwerte (die nicht 0 sind) λ1=4, λ2=2 und λ3=2 sowohl der Produktmatrix BTB und BBT sind.
  • Die Matrizen A und B, die zuvor erläutert sind, wurden zum Zwecke der Vereinfachung der Darstellung des PCA-Verfahrens und des Potenzverfahrens angewendet und sind wesentlich kleiner als die Matrizen, die in den anschaulichen Ausführungsformen der vorliegenden Erfindung vorliegen. Beispielsweise können in diversen anschaulichen Ausführungsformen ungefähr n = 100–600 Prozessdurchläufe gemessen und/oder überwacht werden für n = 10 bis 60 Prozessanlagenvariablen und/oder Prozessparameter. Eine brachiale Modellierung, wobei eine Regression aller m = 100–600 Durchläufe für n = 10–60 Variablen stattfindet, kann zu einem schlecht konditionierten Regressionsproblem führen. Techniken, etwas das PCA und/oder das Verfahren der teilweise kleinsten Quadrate (PLS, das auch als Projektion auf latente Strukturen bekannt ist) verringern die Komplexität in diesen Fällen, indem die hierarchische Ordnung der Daten auf Grundlage von Ebenen mit abnehmender Variabilität offengelegt wird. In dem PCA-Verfahren beinhaltet dies, dass sukzessive Hauptkomponenten ermittelt werden. In den PLS-Techniken, etwa NIPALS erfordert dies, dass sukzessive latente Vektoren ermittelt werden.
  • Wie in 16 gezeigt ist, kann eine Punktwolkendarstellung 1610 von Datenpunkten 1610 in einem n-dimensionalen Variablenraum (n=3 in 16) dargestellt werden. Der mittlere Vektor 1620 kann beim Mittelpunkt eines p-dimensionalen Hauptkomponentenellipsoids 1630 (p=2 in 16) liegen. Der mittlere Vektor 26 kann bestimmt werden, indem der Durchschnitt der Spalten der Matrix X der Gesamtdaten ermittelt wird. Das Hauptkomponentenellipsoid 1630 kann eine erste Hauptkomponente 1640 (Hauptachse in 16) aufweisen mit einer Länge, die gleich dem größten Eigenwert der auf den Mittelwert skalierten Datenmatrix X-M und eine zweite Hauptkomponente 1650 (Nebenachse in 16) mit einer Länge, die gleich dem nächsten großen Eigenwert der auf den Mittelwert skalierten Datenmatrix X-M ist, aufweisen.
  • Beispielsweise kann die 3×4 Matrix BT, die oben dargestellt ist, als die Matrix X für die Gesamtdaten (wiederum der Einfachheit halber) verwendet werden, was vier Durchläufen oder drei Variablen entspricht. Wie in 17 gezeigt ist, kann eine Punktwolkendarstellung 1700 von Datenpunkten 1710 in einem dreidimensionalen Variablenraum dargestellt werden. Der mittlere Vektor 1720 μ kann an dem Mittelpunkt eines zweidimensionalen Hauptkomponentenellipsoids 1730 liegen (tatsächlich ein Kreis, d. h. ein degeneriertes Ellipsoid). Der mittlere Vektor 1720 μ kann bestimmt werden, indem der Durchschnitt der Spalten der 3×4 Matrix BT für die Gesamtdaten ermittelt wird. Das Hauptkomponentenellipsoid 1730 kann eine ers te Hauptkomponente 1740 („Hauptachse" in 17) und eine zweite Hauptkomponente 1750 („Nebenachse") in 17 aufweisen. Hierbei sind die Eigenwerte der auf den Mittelwert skalierten Datenmatrix BT-M gleich und degeneriert, so dass die Längen der Hauptachse und Nebenachse in 17 gleich sind. Wie in 17 gezeigt ist, ist der mittlere Vektor 1720 μ gegeben durch:
    Figure 00410001
    und die Matrix M besitzt den mittleren Vektor 1720 μ für alle Spalten.
  • Die Hauptkomponentenanalyse (PCA) kann geometrisch dargestellt werden. Beispielsweise kann die 3×2 Matrix C (ähnlich zu der 3×2 Matrix A, die oben vorgegeben ist)
    Figure 00410002
    als die Gesamtdatenmatrix X verwendet werden (wiederum der Einfachheit halber), was zwei Durchläufen mit drei Variablen entspricht. Wie in 18 gezeigt ist, kann eine Datenpunktfolge 1800 von Datenpunkten 1810 und 1820 mit jeweils Koordinaten (1,1,1) und (–1,0,1) in einem dreidimensionalen Variablenraum dargestellt werden, wobei die Variablen entsprechende Werte für die Anlage zum schnellen thermischen Bearbeiten und/oder Parametenwerte für jede der drei Variablen sind. Der mittlere Vektor 1830 μ kann an dem Mittelpunkt eines eindimensionalen Hauptkomponentenellipsoids 1840 (tatsächlich eine Linie, d. h. ein stark generiertes Ellipsoid) liegen. Der mittlere Vektor 1830 μ kann bestimmt werden, indem der Durchschnitt der Spalten der 3×2 Matrix C der Gesamtdaten genommen wird. Das Hauptkomponentenellipsoid 1840 kann eine erste Hauptkomponente 1850 (die Hauptachse in 18 mit einer Länge √5 entlang einer ersten Hauptkomponentenachse 1860) und keine zweite oder dritte Hauptkomponente, die entlang einer zweiten oder einer dritten Hauptkomponentenachse 1870 und 1880 liegen, aufweisen. Hier sind zwei der Eigenwerte der auf den Mittelwert skalierten Datenmatrix C-M gleich Null, so dass die Längen der „Nebenachsen" in 18 beide gleich Null sind. Wie in 18 gezeigt ist, ist der mittlere Vektor 1830 μ gegeben durch:
    Figure 00420001
    und die Matrix M besitzt den mittleren
  • Vektor 1830 μ für beide Spalten. Wie in 18 gezeigt ist, ist das PCA nichts anderes als eine Drehung der Hauptachsen der ursprünglichen Variablenachsen (in diesem Falle die entsprechenden Werte für die Anlage zur schnellen thermischen Bearbeitung und/oder die Parameterwerte für jede der drei Variablen), um den Endpunkt des mittleren Vektors 1830 μ mit den Koordinaten (0,½, 1) in Bezug auf die ursprünglichen Koordinatenachsen und Koordinaten (0,0,0) in Bezug auf die neuen Hauptkomponentenachsen 1860, 1870 und 1880 zu bestimmen. Die Gewichtswerte sind lediglich die Richtungskosinusse der neuen Hauptkomponentenachsen 1860, 1870 und 1880 in Bezug auf die ursprünglichen Variablenachsen. Die Gewichte sind einfach die Koordinaten der Datenpunkte 1810 und 1820 (50,5/2, 0, 0) und (–50,5/2,0,0) in Bezug auf die neuen Hauptkomponentenachsen 1860, 1870 und 1880.
  • Die auf den Mittelwert skalierte 3×2-Datenmatrix C-M, deren Transponierte, die 2×3 Matrix (C-M)T, deren 2×2 Matrixprodukte (C-M)T(C-M) und deren 3×3 Matrixprodukt (C-M)(C-M)T sind gegeben durch:
  • Figure 00420002
  • Die 3×3 Matrix (C-M)(C-M)T ist die kovariante Matrix S3×3 mit Elementen sij, wobei i=1,2,3 und j=1,2,3 so definiert ist, dass
    Figure 00430001
    entsprechend zu der rechteckigen 3×2 Matrix C3×2.
  • EIG zeigt, dass die Eigenwerte λ des Matrixprodukts (C-M)T(C-M) beispielsweise 5/2 und 0 sind, indem Lösungen für die säkulare Gleichung gefunden werden:
  • Figure 00430002
  • Die Eigenvektoren des Matrixprodukts (C-M)T(C-M) sind Lösungen t der Gleichung (C-M)T(C-M)t = λt, was auch umgeschrieben werden kann ((C-M)T(C-M)-λ)t =0. Für den Eigenwert λ1= 5/2 kann man den Eigenvektor t 1 bestimmen aus:
    Figure 00430003
    so dass t T= (1,–1) ist. Für den Eigenwert λ1= 0 kann man den Eigenvektor t 2 ermitteln durch:
    Figure 00430004
    so dass t 2 T=(1,1,) ist.
  • Das Potenzverfahren kann beispielsweise angewendet werden, um die Eigenwerte λ und Eigenvektoren p des Matrixprodukts (C-M)(C-M)T zu ermitteln, wobei die Eigenwerte λ und die Eigenvektoren p Lösungen p der Gleichung ((C-M)(C-M)T p = λp sind. Es kann ein Versuchseigenvektor p T=(1,1,1) benutzt werden:
  • Figure 00430005
  • Dies zeigt, dass der Versuchseigenvektor p T = (1,1,1) durch den besseren Versuchseigenvektor g T =(1,½, 0) ersetzt werden kann, der gerade dem Eigenvektor p 1 T (1,½,0) entspricht, der zu dem Eigenwert λ1 = 5/2 gehören. Das Potenzverfahren geht dann weiter, indem die äußere Produktmatrix p 1 p 1 T von dem Matrixprodukt (C-M)(C-M)T subtrahiert wird, um eine Restmatrix R1 zu bilden:
  • Figure 00440001
  • Ein weiterer Versuchseigenvektor p T = (–1, 2, 0), der senkrecht zu dem Eigenvektor p 1 T= (1,½,0) ist, kann verwendet werden:
  • Figure 00440002
  • Dies zeigt, dass der Versuchseigenvektor p 1 T = (–1, 2, 0) gerade dem Eigenvektor p 2 T = (–1,2,0) entspricht, der zu dem Eigenwert λ2 = 0 gehört. Das Potenzverfahren geht dann weiter, indem die äußere Produktmatrix p 2 p 2 T von der Restmatrix R1 abgezogen wird, um eine zweite Restmatrix R2 zu bilden:
  • Figure 00440003
  • Ein weiterer Versuchseigenvektor p T = (0,0,1), der zu den Eigenvektoren p 1 T = (1,½,0) und p 2 T = (–1,2,0) senkrecht liegt, kann verwendet werden:
  • Figure 00450001
  • Dies zeigt, dass der Versuchseigenvektor p T= (0,0,1) gerade dem Eigenvektor p 3 T = (0,0,1) entspricht, der zum Eigenwert λ3 = 0 gehört. In der Tat kann man leicht Verifizieren, dass:
  • Figure 00450002
  • In ähnlicher Weise zeigt SVD von C-M, dass C-M = PTT ist, wobei P die Hauptkomponentematrix (deren Spalten orthonormierte Eigenvektoren proportional zu p 1, p 1, p 3 sind und deren Elemente die Gewichte der Richtungskosinusse der neuen Hauptkomponentenachsen 1860, 1870 und 1880 in Bezug auf die ursprünglichen Variablenachsen sind) und wobei T die Gewichtsmatrix ist (deren Zeilen die Koordinaten der Datenpunkte 1810 und 1820 in Bezug auf die neuen Hauptkomponentenachsen 1860, 1870 und 1880 sind):
  • Figure 00450003
  • Die transponierte der Gewichtsmatrix (TT) ist gegeben durch das Produkt der Matrix aus Eigenwerten von C-M mit einer Matrix, deren Zeilen orthonormierte Eigenvektoren proportional zu t 1 und t 2 sind. Wie in 18 gezeigt ist, ist der Richtungskosinus (Gewichte bzw. Skalierung) der ersten Hauptkomponentenachse 1860 in Bezug auf die Variable der Werteachse 1 gegeben durch cosΘ11=2/√5 und der Richtungskosinus (Gewicht) der ersten Hauptkomponentenachse 1860 in Bezug auf die Achse der Variablen 2 ist gegeben durch cosΘ21=1/√5. In ähnlicher Weise ist der Richtungskosinus (Gewicht) der ersten Hauptkomponentenachse 1860 in Bezug auf die Werteachse der Variablen 3 gegeben durch cosΘ31=cos(π/2) = 0. Der Richtungskosinus (Gewichtung) der zweiten Hauptkomponentenachse 1870 in Bezug auf die Achse der Variablen 1 ist gegeben durch cosΘ12=–1/√5, der Richtungskosinus (Gewichtung) der zweiten Hauptkomponentenachse 78 in Bezug auf die Achse der Variable 2 ist gegeben durch cosΘ22=2/√5 und der Richtungskosinus (Gewichtung) der zweiten Hauptkomponentenachse 1870 in Bezug auf die Achse der Variablen 3 ist gegeben durch cosΘ32=cos(π/2)=0. Schließlich ist der Richtungskosinus (Gewichtung) der dritten Hauptkomponentenachse 1880 in Bezug auf die Achse der Variablen 1 gegeben durch cosΘ13=cos(π/2) = 0, der Richtungskosinus (Gewichtung) der dritten Hauptkomponenteachse 1880 in Bezug auf die Achse der Variablen 2 durch cosΘ23=cos(π/2) = 0 und der Richtungskosinus (Gewichtung) der dritten Hauptkomponentenachse 1880 in Bezug auf die Achse der Variablen 3 durch cosΘ33=cos(0) = 1.
  • SVD bestätigt, dass die einzelnen Werte von C-M √5/√2 und 0, d. h. die nicht negativen Quadratwurzeln der Eigenwerte λ1= 5/2 und λ2= 0 des Matrixprodukts (C-M)T(C-M) sind. Zu beachten ist, dass die Spalten der Hauptkomponentenmatrix P die orthonormierten Eigenvektoren des Matrixprodukts (C-M)(C-M)T sind.
  • Es sei ein weiteres Beispiel einer 3×4 Matrix D (identisch zu der 3×4 Matrix BT, die zuvor gezeigt wurde):
    Figure 00460001
    als die Gesamtdatenmatrix X verwendet (wiederum der Einfachheit halber), das vier Durchläufen über drei Variablen entspricht. Wie in 19 gezeigt ist, kann eine Punktfolge 1900 aus Datenpunkten mit Koordinaten (1,1,0), (1,0,1), (1,0,–1) und (1,–1,0) in einem dreidimensionalen Variablenraum dargestellt werden, wobei die Variablen entsprechende Werte für die Anlage zum schnellen thermischen Bearbeiten und/oder Parameter für jeden der drei Variablen sind. Der mittlere Vektor 1920 μ kann an dem Mittelpunkt eines zweidimensionalen Hauptkomponentenellipsoids 1930 (tatsächlich ein Kreis, d. h. ein etwas degeneriertes Ellipsoid) liegen. Der mittlere Vektor 1920 μ kann bestimmt werden, indem der Mittelwert der Spalten der 3×4 Matrix D der Gesamtdaten ermittelt wird. Das Hauptkomponentenellipsoid 1930 kann eine erste Hauptkomponente 1940 (die „Hauptachse" in 19 mit einer Länge 2, die sich entlang einer ersten Hauptkomponentenachse 1950 erstreckt) und eine zweite Hauptkomponente 1960 (die „Nebenachs" in 19 ebenso mit einer Länge 2, die sich entlang einer zweiten Hauptkomponentenachse 1970 erstreckt) und keine dritte Hauptkomponente, die entlang einer dritten Hauptkomponentenachse 1980 liegt, aufweisen. Hier sind zwei der Eigenwerte der auf den Mittelwert skalierten Datenmatrix D-M gleich, so dass die Längen der „Hauptachse" und der „Nebenachse" des Hauptkomponentenellipsoids 1930 in 19 gleich sind, und der verbleibende Eigenwert ist gleich 0, so dass die Länge der anderen „Nebenachse" des Hauptkomponentenelliposids 1930 in 19 gleich 0 ist. Wie in 19 gezeigt ist, ist der mittlere Vektor 1920 μ gegeben durch:
    Figure 00470001
    und die Matrix M besitzt den mittleren Vektor 1920 μ für alle vier Spalten. Wie in 19 gezeigt ist, ist die PCA nicht mehr als eine Drehung der Hauptachsen der ursprünglichen Variablenachsen (hier die entsprechenden Werte für die Anlage zum Waschen thermischen Bearbeiten und/oder Parameterwerte für jede der drei Variablen) um den Endpunkt des mittleren Vektors 1920 μ mit Koordinaten (1,0,0) in Bezug auf die ursprünglichen Koordinatenachsen und Koordinaten (0,0,0) in Bezug auf die neuen Hauptkomponentenachsen 1950, 1970 und 1980 ist. Die Gewichte sind lediglich die Richtungskosinusse der neuen Hauptkomponentenachsen 1950, 1979 und 1980 in Bezug auf die ursprünglichen Variablenachsen. Die Gewichtungen sind einfach die Koordinaten der Datenpunkte (1,0,0), (0,1,0), (0,–1,0) und (–1,0,0) in Bezug auf die neuen Hauptkomponentenachsen 1950, 1970 und 1980.
  • Die 3×3 Matrixprodukt (D-M)(D-M)T ist gegeben durch:
    Figure 00480001
    Die 3×3 Matrix (D-M)(D-M)T ist das dreifache der kovarianten Matrix S3×3 mit den Elementen sij, wobei i=1,2,3 und j=1,2,3 ist so definiert ist, dass:
    Figure 00480002
    entsprechend der rechteckigen 3×4 Matrix D3×4.
  • EIG zeigt, dass die Eigenwerte des Matrixprodukts (D-M)(D-M)T 0,2 und 2 sind. Die Eigenvektoren des Matrixprodukts (D-M)(D-M)T sind Lösungen p der Gleichung ((D-M)(D-M)T pp und können durch Beobachtung als p 1 T= (0,1,0), p 2 T=(0,0,1) und p 3=(1,0,0) ermittelt werden, die zu den Eigenwerten λ1= 2, λ2= 2 und λ3= 0 gehören (wobei der Konvention gefolgt wird, dass der größte Eigenwert zuerst genannt wird).
  • Wie man aus 19 erkennen kann, ist der Richtungskosinus (Gewichtung) der ersten Hauptkomponentenachse 1950 in Bezug auf die Achse der Variablen 1 gegeben durch cosΘ11=cos(π/2) = 0, der Richtungskosinus (Gewichtung) der ersten Hautkomponentenachse 1970 in Bezug auf die Achse der Variablen 2 durch cosΘ21=cos(0) = 1 und der Richtungskosinus (Gewichtung) der ersten Hauptkomponentenachse 1960 in Bezug auf die Achse der Variablen 3 durch cosΘ31=cos(π/2) = 0. Ebenso ist der Richtungskosinus (Gewichtung) der zweiten Hauptkomponentenachse 1970 in Bezug auf die Achse der Variablen 1 gegeben durch cosΘ12=cos(π/2) = 0, der Richtungskosinus (Gewichtung) der zweiten Hauptkomponentenachse 1970 in Bezug auf die Achse der Variablen 2 durch cosΘ22=cos(π/2) = 0 und der Richtungskosinus (Gewichtung) der zweiten Hauptkomponentenachse 1970 in Bezug auf die Achse der Variablen 3 durch cosΘ32=cos(0) = 1 gegeben. Schließlich ist der Richtungskosinus (Gewichtung) der dritten Hauptkomponentenachse 1980 in Bezug auf die Achse der Variablen 1 durch cosΘ13=cos(0) = 1, der Richtungskosinus (Gewichtung) der dritten Hauptkomponentenachse 1980 in Bezug auf die Achse der Variablen 2 durch cosΘ23=cos(π/2) = 0 und der Richtungskosinus (Gewichtung) der dritten Hauptkomponentenachse 1980 in Bezug auf die Achse der Variablen 3 durch cosΘ33=cos(π/2) = 0 gegeben.
  • Die transponierte der Gewichtungsmatrix TT kann einfach durch Multiplizieren der auf den Mittelwert skalierten Datenmatrix D-M von links mit der transponierten der Hauptkomponentenmatrix P erhalten werden, deren Spalten p 1, p 2, p 3 sind, d. h. die orthonormierten Eigenvektoren des Matrixprodukts (D-M)(D-M)T:
  • Figure 00490001
  • Die Spalten der Transponierten der Gewichtungsmatrix TT (oder Äquivalente dazu die Zeilen der Gewichtungsmatrix T) sind tatsächlich Koordinaten der Datenpunkte (1,0,0), (0,1,0), (0,–1,0) und (–1,0,0) in Bezug auf die neuen Hauptkomponentenachsen 1950, 1970 und 1980.
  • Die zuvor erläuterten Matrizen C und D wurden für die Einfachheit der Darstellung des PCA und des Potenzverfahrens verwendet und sind wesentlich kleiner als die Datenmatrizen, die in anschaulichen Ausführungsformen der vorliegenden Erfindung angetroffen werden. Beispielsweise können in diversen anschaulichen Ausführungsformen ungefähr m = 100-600 Prozessdurchläufe über n = 10-60 Prozessanlagenvariablen und/oder Prozessparameter gemessen und/oder überwacht werden. Eine brachiale Modellierung mit einer Regression von n = 100 bis 600 Durchläufe über n = 10-60 Variablen kann zu einem schlecht konditionierten Regressionsproblem führen. Techniken, wie das PCA und/oder der teilweise kleinsten Quadrate (PLS, das auch als Projektion auf latente Strukturen bekannt ist) verringern die Komplexität in derartigen Fällen, indem die hierarchische Ordnung der Daten auf der Grundlage von abnehmender Variabilität offengelegt wird. In dem PCA-Verfahren heißt das, das sukzessive Hauptkomponenten ermittelt werden. In den PLS-Techniken, etwa NIPALS, heißt dies, dass sukzessive latente Vektoren ermittelt werden. In diversen anschaulichen Ausführungsformen kann das Abdriften der Anlage und/oder der Sensoren während ungefähr n = 100 bis 600 Prozessdurchläufe, die für n = 10 – 60 Prozessanlagenvariablen und/oder Prozessparameter gemessen und/oder überwacht wurden, auf ein äquivalentes Problem des dynamischen Ablaufs von ungefähr n = 100 bis 600 Punkten (die die n = 100 bis 600 Prozessdurchläufe repräsentieren) in einem n-dimensionalen Raum (der die 10 bis 60 Variablen repräsentiert) abgebildet werden. Das PCA-Verfahren kann beispielsweise verwendet werden, um die schnelle thermische Bearbeitung zu korrigieren, indem eine geeignete mehrdimensionale „Drehung" an den Prozessanlagenvariablen und/oder Prozessparametern ermittelt wird, um Abweichungen der Anlage und/oder der Sensoren von den entsprechenden Sollwertpunkten zu kompensieren.
  • In diversen alternativen anschaulichen Ausführungsformen können adaptive Abtastprozessmodelle durch alternative Möglichkeiten hergestellt werden. Derartige adaptive Abtastprozessmodelle können auch gebildet werden, indem eine oder mehrere Anlagenvariablen und/oder ein oder mehrere Prozessparameter während eines oder mehrerer Prozessdurchläufe überwacht werden. Zu Beispielen derartiger Anlagenvariablen und/oder Prozessparameter gehören ein oder mehrere Pyrometermesswerte, ein oder mehrere Leuchtenleistungsmesswerte, ein oder mehrere höhere Temperaturmesswerte, ein oder mehrere Strommesswerte, ein oder mehrere Infrarot-(IR) Signalmesswerte, ein oder mehrere Messwerte von optischen Emissionsspektren, ein oder mehrere Prozessgastemperaturmesswerte, ein oder mehrere Prozessgasdruckmesswerte, ein oder mehrere Prozessgasdurchflussratenmesswerte, eine oder mehrere Ätztiefen, eine oder mehrere Prozessschichtdicken, ein oder mehrere Widerstandsmesswerte und dergleichen. In diesen diversen alternativen anschaulichen Ausführungsformen kann das Erstellen der adaptiven Abtastprozessmodelle das Fitten der gesammelten Prozessdaten umfassen, wobei mindestens eines der folgenden Verfahren verwendet wird: Polynomkurvenanpassung, Anpassung mit den kleinsten Quadraten, Anpassung mit den kleinsten Quadraten in Polynomform, Anpassung mit den kleinsten Quadraten ohne Polynome, gewichtete Anpassung mit kleinsten Quadraten, Gewichtete Anpassung mit kleinsten Quadraten in Polynomform und gewichtete Anpassung mit kleinsten Quadraten ohne Polynome, wobei diese zusätzlich oder als Alternative zu dem Verfahren mit den teilweise kleinsten Quadraten (PLS) und/oder der Hauptkomponentenanalyse (PCA), die zuvor beschrieben sind, angewendet werden können.
  • In diversen anschaulichen Ausführungsformen können Messwerte für N+1 Datenpunkte (xi, yi) genommen werden, wobei i=1, 2,..., N, N+1 und a den Koeffizienten der nten Potenz präsentiert,
    Figure 00500001
    und dies kann an die N+1 Datenpunkte (xi, yi) angepasst werden. Z. B. können 100 Zeitdatenpunkte (N=99) in Bezug auf die Pyrometermesswerte p, die Leuchtenleistungsmesswerte f und/oder die Röhrentemperaturmesswerte T während eines Prozessschrittes für die effektive Ausbeute t an Werkstücken, die sich aus den Prozessschritten ergeben, genommen werden, woraus sich entsprechende Datensätze aus N+1 Datenpunkten (pi, ti), (fi, ti) und/oder (Ti, ti) ergeben. Die Werte können die tatsächlich gemessenen Werte der Prozessanlagenvariablen und/oder Prozessparameter, Verhältnisse von tatsächlich gemessenen Werten (normiert auf entsprechende Referenzsollwerte) oder Logarithmen von derartigen Verhältnisse sein, um einige Beispiele zu nennen. Die Polynominterpolation ist beispielsweise in numerischen Verfahren für Wissenschaftlicher und Ingenieure, R.W. Hamming, Dover Publications, New York, 1986 in den Seiten 230 bis 235 beschrieben. Die Anforderung, dass das Polynom PN (x) durch N+1 Datenpunkte (xi, yi) verläuft, ist:
    Figure 00510001
    für i=1, 2,..., N, N+1 und ergibt einen Satz an N+1 Bedingungen. Diese N+1 Bedingungen bestimmen dann vollständig die N+1 Koeffizienten ak für k = 0, 1,..., N.
  • Die Determinante der Koeffizienten der unbekannten Koeffizienten ak ist die Vandermonde Determinante:
    Figure 00510002
    wobei i= 1, 2,..., N+1 und k=0, 1,... N.
  • Die Vandermonde Determinante VN+1 ist, wenn diese als eine Funktion der Variablen X1 betrachtet wird, VN+1=VN+1(x1,x2,...,xN,xN+1) und ist klarerweise ein Polynom mit der Variablen xi, wie man aus einer Entwicklung der Determinante erkennen kann und die Anzahl der Exponenten zeigt, dass der Grad des Polynoms ist:
    Figure 00520001
    (beispielsweise ist der Diagonaltem der Vandermonde Determinante
  • Figure 00520002
  • Wenn nun xN+1=xj für j=1, 2.,... N ist, dann ist die Vandermonde Determinante VN+1=0, da eine beliebige Determinante mit zwei identischen Zeilen verschwindet, so dass die Vandermonde Determinante VN+1 die Faktoren (XN+1-xj), für j=1, 2, ...., N entsprechend zu den
  • Faktoren
    Figure 00520003
    aufweist. Wenn xN = xj für j=1, 2, ..., N, N-1 ist dann ist die Vandermonde Determinante VN+1= 0, so dass die Vandermonde Determinante VN+1 ebenso die Faktoren (xN-xj) aufweisen muss für j=1,2,... N-1 entsprechend den Faktoren
  • Figure 00520004
  • Allgemein gilt, wenn xm = xj für j< m, wobei m = 2,..., N, N+1 ist, dann ist die Vandermonde Determinante VN+1 = 0, so dass die Vandermonde Determinante VN+1 alle Faktoren (xm-xj) für j< m aufweisen muss, wobei m = 2,..., N, N+1 ist, entsprechend den Faktoren
    Figure 00520005
  • Obwohl dies ein Polynom der Stufe
    Figure 00520006
    repräsentiert, da wenn m=N+1 beispielsweise ist, kann j einen beliebigen der N Werte, j=1,2,...N annehmen und wenn m =N, kann j einen beliebigen Wert der N-1 Werte j=1, 2,... N-1 annehmen usw. ( wenn beispielsweise m =3 ist, kann j lediglich die zwei Werte j=1, 2 annehmen und m = 2 ist, so kann j nur den Wert j=1 annehmen), was bedeutet, dass alle Faktoren berücksichtigt sind und dass es nur gilt, eine multiplikative Konstante zu ermitteln, um die sich die beiden Darstellungen der Vandermonde Determinante VN+1 unterscheiden können. Wie zuvor dargelegt ist, ist der Diagonalterm der Vandermonde Determinante VN+1 gleich
    Figure 00530001
    und dieser kann mit dem Term der linken Seiten der Produkte der Faktoren
    Figure 00530002
    verglichen werden, der identisch ist, so dass die multiplikative Konstante gleich eins ist und die Vandermonde Determinante VN+1 gleich
    Figure 00530003
    ist.
  • Diese Faktorisierung der Vandermonde Determinante VN+1 zeigt, dass wenn xi ≠ xj für i ≠ j ist, die Vandermond Determinante VN+1 nicht Null sein kann, da es bedeutet, dass es immer möglich ist, die unbekannten Koeffizienten ak zu ermitteln, da die Vandermonde Determinante VN+1 die Determinante der Koeffizienten der unbekannten Koeffizienten ak ist. Das Auflösen nach den unbekannten Koeffizienten ak unter beispielsweise Anwendung von Determinanten, das Einsetzen der Ergebnisse in das Polynom mit der Ordnung N
    Figure 00530004
    das geeignete Umschreiben ergibt die Determinantengleichung
    Figure 00540001
    was die Lösung des Polynomfits ist. Dies kann man direkt in folgender Weise erkennen. Das Entwickeln dieser Determinante entsprechend den Elementen der obersten Zeile ist klarerweise ein Polynom der Stufe N. Der Koeffizient des Elements y kann in der ersten Zeile der Entwicklung dieser Determinante nach den Elementen der obersten Zeile nichts anderes als die Vandermonde Determinante VN+1 sein. Mit anderen Worten, der Kofaktor des Elements y in der ersten Zeile ist in der Tat die Vandermonde Determinante VN+1. Der Kofaktor des n'ten Elements in der ersten Zeile, wobei n = 2,... N+2 ist, ist das Produkt des Koeffizienten an-2 in der Polynomentwicklung
    Figure 00540002
    mit der Vandermonde Determinante VN+1. Wenn ferner x und y beliebige Abtastwerte xi und y; für i = 1, 2,...., N, N+1 annehmen, dann sind die Zeilen der Determinante die gleichen und die Determinante muss verschwinden. Somit ist die Anforderung, dass das Polynom y = PN (x) durch die N+1 Datenpunkte (x1, y1) verläuft, d. h.
    Figure 00540003
    für i= 1, 2,..., N, N+1 erfüllt.
  • Zum Beispiel kann eine quadratische Kurve, die durch die durch Abtastdatensatz (–1, a), (0, b) und (1, c) verläuft, ermittelt werden. Die drei Gleichungen sind P2 (–1) = a = a0-a1 + a2, P2 (0)b = a0 und P2(1) = c = a0 + a1 + a2, wodurch impliziert wird, dass b = a0, c-a = 2a1 und c+1-2b = 2a2 ist, so dass
    Figure 00550001
    was auch das Ergebnis der Entwicklung
    Figure 00550002
    ist, wobei der Koeffizient y die entsprechende Vandermonde Determinante V3 = 2 ist.
  • In ähnlicher Weise kann eine Kurve vierter Ordnung ermittelt werden, die durch den Abtastdatensatz (-2,a), (-1,b), (0,c), (1,b) und (2,a) verläuft. Die fünf Gleichungen sind P4(–2)=a=a0-2a1+4a2-8a3+16a4, P4(–1)=b=a0-a1+a2-a3+a4, P4(0)=c=a0, P4(1)=b=a0+a1+a2a3+a4 und P4(2)=a=a0+2a1+4a2+8a3+16a4, was impliziert, dass c=a0, 0=a1=a3 (was auch aus der Symmetrie des Datensatzes folgt), (a-c)-16(b-c) =–12a2 und (a-c)4(b-c)=12a4, so dass
  • Figure 00550003
  • In diversen alternativen anschaulichen Ausführungsformen können Abtastwerte für M Datenpunkte (xi, yi) gesammelt werden, wobei i = 1, 2,.... M ist, und ein Polynom erster Ord nung (eine Gerade)
    Figure 00550004
    an die M Datenpunkte (xi, yi) angepasst wird (im Sinne der kleinsten Quadrate). Beispielsweise können 100 Zeitdatenpunkte (M = 100) gewonnen werden, die ein Pyrometermesswert p, den Leuchtenleistungsmesswert f und/oder den Röhrentemperaturmesswert T während eines Prozessschrittes mit der effektiven Ausbeute t an Werkstücken, die sich aus diesem Prozessschritt ergeben, in Beziehung setzen, woraus sich M Datenpunkte (pi, ti), (fi, ti) und/oder (Ti, ti) ergeben. Die Werte können tatsächlich gemessene Werte der Prozessanlagenvariablen und/oder Prozessparameter, Verhältnisse von tatsächlich gemessenen Werten (normiert in Bezug auf Referenzwerte) oder Logarithmen derartiger Verhältnisse sein, um nur einige Beispiele zu nennen. Die Anpassung mit den kleinsten Quadraten ist beispielsweise in „Numerische Verfahren für Wissenschaftler und Ingenieure" von R. W. Hamming, Dover Publications, New York, 1986, auf den Seiten 427 bis 443 beschrieben.
  • Das Kriterium der kleinsten Quadrate kann in Situationen angewendet werden, wenn wesentlich mehr Daten verfügbar sind als Parameter, so dass eine exakte Anpassung (innerhalb des Rundungsfehlers) nicht in Frage kommt. Polynome werden sehr oft bei der Anpassung mit den kleinsten Quadraten angewendet, obwohl beliebige lineare Familien geeigneter Funktionen ebenso funktionieren können. Es sei angenommen, dass eine Größe x gemessen wird, indem M Messungen xi für i = 1, 2,..., M durchgeführt werden, und es sei weiter angenommen, dass die Messungen xi zu der „wahren" Größe x die Relation xi = x+ε1= 1, 2,....M in Beziehung stehen, wobei die Reste ε1 als Rauschen betrachtet werden. Das Prinzip der kleinsten Quadrate sagt, dass die beste Abschätzung ξ für den wahren Wert x die zahl ist, die die Summe der Quadrate der Abweichungen der Daten von ihren Schätz-werten minimiert
    Figure 00560001
    was äquivalent zu der Annahme ist, dass das durchschnittliche xa, wobei
    Figure 00560002
    die beste Abschätzung ξ für den wahren Wert x ist. Diese Äquivalenz kann wie folgt gezeigt werden. Zunächst führt das Prinzip der kleinsten Quadrate zu dem Durchschnittswert xa. Hinsichtlich
    Figure 00560003
    als eine Funktion der besten Abschätzung ξ kann eine Minimierung der besten Abschätzung ξ durch Differentiation erfolgen.
    Figure 00560004
    was impliziert, dass
    Figure 00560005
    so dass
    Figure 00570001
    anders ausgedrückt, dass die Wahl xa = ξ die Summe der Quadrate der Reste εi minimiert. Hierbei ist ferner zu beachten, dass
    Figure 00570002
    dass Kriterium für ein Minimum ermittelt wird.
  • Wenn andererseits der Durchschnittswert xa als die beste Wahl xa = ξ ausgesucht wird, kann gezeigt werden, dass diese Wahl tatsächlich die Summe der Quadrate der Reste εi minimiert. Es sei
  • Figure 00570003
  • Wenn ein beliebiger anderer Wert xb gewählt wird, dann ergibt ein Einsetzen dieses anderen Wertes xb in f(x)
  • Figure 00570004
  • Subtrahieren von f(xa) von f(xb) ergibt
    Figure 00570005
    so dass f(xb)>f(xa) eine Gleichheit ergibt, nur dann, wenn xb = xa ist. Anders ausgedrückt, der Durchschnittswert xa minimiert in der Tat die Quadrate der Reste εi. Somit ist gezeigt, dass das Prinzip der kleinsten Quadrate und die Wahl des Durchschnittswerts als die beste Abschätzung äquivalent sind.
  • Es kann andere Auslösverfahren neben der Auswahl durch die kleinsten Quadrate geben. Es sei wieder angenommen, dass die Größe x durch M Messungen xi für i = 1, 2,..., M ge geben ist und es sei angenommen, dass die Messungen xi mit der „wahren" Größe x durch die Beziehung xi = x + εi für i = 1, 2,.... M in Beziehung steht, wobei die Reste εi als Rauschen betrachtet werden. Als Alternative zu der Wahl der kleinsten Quadrate kann eine andere Abschätzung χ für den wahren Wert x gewählt sein, die die Summe der Absolutwerte der Abweichungen der Daten von ihrer Abschätzung minimiert, d. h.
    Figure 00580001
    was äquivalent zu der Annahme ist, dass der in der Mitte liegende Wert xm der Messungen xi für i = 1, 2,...., M (wenn M gerade ist, dann sind die beiden mittleren Werte zu mitteln) die andere Abschätzung x des wahren Werts x ist. Es sei angenommen, dass es eine ungerade Anzahl M = 2k+1 von Messungen xi für i= 1, 2,..., M gibt und es sei der mittlere Wert xm als der Abschnitt x für den wahren Wert x gewählt, der die Summe der Absolutwerte der Reste εi minimiert. Eine Aufwärtsverschiebung dieses Wertes xm würde die k Tenne |xi-x| vergrößern, für die die xi unter xm liegen und würde die k Terme |xi-x| verkleinern, für die xi jeweils um den gleichen Betrag über xm liegt. Jedoch würde die Aufwärtsverschiebung für diesen Wert xm auch den Term |xm-x| vergrößern und damit die Summe der Absolutwerte aller Reste εi vergrößern. Eine noch weitere Auswahl an Stelle der Minimierung der Summe der Quadrate der Reste εi wäre die Wahl, die maximale Abweichung zu minimieren, was zu
    Figure 00580002
    führt, d. h. eine Mittenbereichabschätzung des besten Wertes.
  • Es sei wieder auf diverse alternative anschauliche Ausführungsformen verwiesen, in denen Abtastpunkte für M Datenpunkte (xi, yi) gesammelt werden, wobei i=1, 2,...., M und ein Polynom erster Ordnung (eine Gerade)
    Figure 00580003
    kann an die M Datenpunkte (xi, yi) (im Sinne der kleinsten Quadrate) angepasst werden; hierbei gibt es zwei Parameter a0 und a1 und eine Funktion F(a0, a1), die wie folgt minimiert werden muss. Die Funktion F(a0, a1) ist gegeben durch
    Figure 00580004
    und das Setzen der partialen Ableitungen von F(a0, a1) in Bezug auf a0 und a1 auf Null ergibt
    Figure 00590001
    Figure 00590002
    und
    Figure 00590003
    Das Vereinfachen und Umgruppieren ergibt
    Figure 00590004
    wobei es zwei Gleichungen für die zwei unbekannten Parameter a0 und a1 gibt, die einfach gelöst werden können.
  • Wie in 20 gezeigt ist, kann beispielsweise ein Polynom ersten Grades (eine Gerade)
    Figure 00590005
    an die M = 5 Datenpunkte (1,0), (2,2), (3,2), (4,5) und (5,4) angepasst werden (im Sinne der kleinsten Quadrate). Die Reste εi für i = 1, 2,..., 5 sind schematisch in 20 dargestellt. Die Gleichungen für die zwei Parameter a0 und a1 sind 5a0 + 15a1 = 13 und 15a0 + 55a1 = 50, so dass bei Multiplizieren der ersten Gleichung mit 3 und Subtrahieren der Gleichung von der zweiten Gleichung, wodurch a0 herausfällt, wird die Lösung für den Parameter a1 und a1 = 11/10 und diese impliziert, dass die Lösung für den Parameter a0 zu a0 = –7/10 wird. Das Polynom ersten Grades (die Gerade), die die beste Anpassung im Sinne der kleinsten Quadrate liefert ist
    Figure 00590006
    wie in 20 gezeigt ist.
  • Wie in 21 gezeigt ist, kann beispielsweise ein Polynom ersten Grades (eine Gerade)
    Figure 00590007
    an die M = 7 Datenpunkte (–3, 4), (–2, 4), (–1,2), (0,2), (1,1), (2,0) und (3,0) angepasst werden (im Sinne der kleinsten Quadrate). Die Reste εi = 1, 2,..., 7 sind schematisch in 21 dargestellt. Die Gleichungen für die beiden Parameter a0 und a1 sind
    Figure 00600001
    und
    Figure 00600002
    die 7a0=13 und 28a1 = –21 ergeben. Mit anderen Worten, a0 = 13/7 und a1 = –3/4, so dass das Polynom ersten Grades (die Gerade), die im Sinne der kleinsten Quadrate die beste Anpassung liefert,
    Figure 00600003
    wie in 21 gezeigt ist.
  • In diversen anderen alternativen anschaulichen Ausführungsformen können Messwerte für M Datenpunkte (xi, yi) gesammelt werden, wobei i = 1, 2,..., N und ein Polynom N'ten Grades
    Figure 00600004
    an die M Datenpunkte (xi, yi) angepasst werden (im Sinne der kleinsten Quadrate). Beispielsweise können 100 Zeitdatenpunkte (M = 100) genommen werden, die die Pyrometermessung p, die Leuchtenleistungsmessung f und/oder die Röhrentemperaturmessung T während eines Prozessschrittes mit der effektiven Ausbeute t an Werkstücken, die sich aus dem Prozessschritt ergeben, in Beziehung setzen, woraus M Datenpunkte (pi, ti), (fi, ti) und/oder (Ti, ti) resultieren. Die Werte können tatsächlich gemessene Werte der Prozessanlagenvariablen und/oder Prozessparameter, Verhältnis von tatsächlich gemessenen Werten (normiert in Bezug auf Referenzsollwerte) oder Logarithmen derartiger Verhältnisse sein, um einige Beispiele zu nennen. In einer anschaulichen Ausführungsform ist der Grad N des Polynoms mindestens 10 x größer als M.
  • Die Funktion F(a0, a1,.... an) kann wie folgt minimiert werden. Die Funktion F(a0, a1,.... aN) ist gegeben durch
    Figure 00610001
    und das Setzen der partiellen Ableitungen von F(a0, a1,.... aN) in Bezug auf die aj für j= 0, 1, ..., N gleich Null ergibt
    Figure 00610002
    für j = 0, 1,...., N, da (xi) der Koeffizient von aj in dem Polynom
    Figure 00610003
    ist. Vereinfachen und Umstellen ergibt
    Figure 00610004
    für j = 0, 1,..., N, wobei
  • Figure 00610005
  • Es gibt N+1 Gleichungen
    Figure 00610006
    für j= 0, 1,..., N, die auch als Normalgleichungen für die N+1 unbekannten Parameter ak für k = 0, 1,... N, bekannt sind, die in einfacher Weise eine Lösung ermöglichen, vorausgesetzt, dass die Determinante der Normalgleichung nicht Null ist. Dies kann gesehen werden, indem gezeigt wird, dass die homogenen Gleichungen
    Figure 00610007
    lediglich die triviale Lösung ak = 0 für K = 0, 1,..., N besitzen, was auch wie folgt gezeigt werden kann. Man multipliziert die j'te homogene Gleichung mit aj und summiere alle j von j = 0 bis j = N
    Figure 00620001
    was implizieren würde, dass PN(xi) = 0 und damit ak = 0 für k = 0, 1,..., N, die triviale Lösung. Daher ist die Determinante der Normalgleichungen nicht Null und die Normalgleichungen können für die N+1 Parameter ak für k = 0, 1,..., N gelöst werden, d. h. für die Koeffizienten des Polynoms der kleinsten Quadrate des Grades N
    Figure 00620002
    die an die M Daten (xi, yi) angepasst werden können.
  • Das Auffinden des Polynoms der kleinsten Quadrate des Grades N
    Figure 00620003
    das an die M Datenpunkte (xi, yi) angepasst werden kann, kann unter Umständen nicht einfach sein, wenn der Grad N des Polynoms der kleinsten Quadrate sehr groß ist. Die N+1 Normalgleichungen
    Figure 00620004
    für j = 0, 1,..., N für N+1 unbekannte Parameter ak für k = 0, 1,..., N sind gegebenenfalls nicht einfach zu lösen, wenn beispielsweise der Grad N des Polynoms der kleinsten Quadrate viel größer als ungefähr 10 ist. Dies kann wie folgt gezeigt werden. Es sei angenommen, dass die M Datenpunkte (xi, yi) mehr oder weniger gleichförmig in dem Intervall 0 ≤ x ≤ 1 verteilt sind, so dass
    Figure 00620005
  • Die resultierende Determinante der Normalgleichungen ist dann ungefähr gegeben durch
    Figure 00630001
    für j, k = 0, 1,...., N, wobei HN für j, k = 0, 1,..., N-1 die Hilbert-Determinante der Ordnung N ist, die den Wert annimmt
    Figure 00630002
    der sich rasch 0 annähert. Zum Beispiel:
    Figure 00630003
    und
    Figure 00630004
    wobei
  • Figure 00630005
  • Dies deutet darauf hin, dass das System der Normalgleichungen schlecht konditioniert ist und damit schwierig zu lösen ist, wenn der Grad N des Polynoms der kleinsten Quadrate sehr groß ist. Sätze aus orthogonalen Polynomen tendieren dazu, sich besser zu verhalten.
  • Wie in 22 gezeigt ist, kann ein Polynom zweiten Grades (a ist quadratisch)
    Figure 00640001
    an die M = 7 Datenpunkte (–3,4), (–2,2), (–1,3), (0,0), (1,–1), (2,–2) und (3,–5) angepasst werden (im Sinne der kleinsten Quadrate). Die Reste εi für i= 1, 2,..., 7 sind schematisch in 22 dargestellt. Die drei Normalgleichungen sind
    Figure 00640002
    für j=0, 1, 2, wobei
    Figure 00640003
    für die drei Parameter a0, a1 und a2. Dies ergibt
    Figure 00640004
    Figure 00640005
    so dass die Normalgleichungen zu zwei Gleichungen mit Komma getrennt und
    Figure 00640006
    und
    Figure 00640007
    was impliziert (beim Multiplizieren der ersten Normalgleichung mit 7 und dann durch Subtrahieren dieser Gleichung von dritten Normalgleichung), dass –14 = –21a0, dass 28a1 = –39 (aus der zweiten Normalgleichung) ist, und (durch Multiplizieren der ersten Normalgleichung mit vier und subtrahieren der Gleichung von der dritten Normalgleichung), dass –11=84a2 ist, woraus sich 3a0 = 2,28a1 = –39 und 84a2 = –11 ergibt. Mit anderen Worten, a0 = 2/3, a1 = –39/28 und a2 = –11/84, so dass das Polynom (das quadratische) zweiten Grades, das die beste Anpassung im Sinne der kleinsten Quadrate liefert, gegeben ist durch
    Figure 00650001
    wie in 22 gezeigt ist.
  • Wie in 23 gezeigt ist, kann beispielsweise ein Polynom zweiter Stufe (a quadratisch)
    Figure 00650002
    an die sechs Datenpunkte (0, 4), (1,7), (2,10), (3,13), (4,16) und (5,19) (im Sinne der kleinsten Quadrate) angepasst werden. Die Reste εi für i = 1, 2,..., 6 sind schematisch in 23 gezeigt. Die drei Normalgleichungen sind
    Figure 00650003
    für j = 0, 1, 2, wobei
    Figure 00650004
    für die drei Parameter a0, a1 und a2. Dies ergibt und
    Figure 00650005
    wobei
    Figure 00660001
    und
    Figure 00660002
    so dass die Normalgleichungen werden zu
    Figure 00660003
    und
    Figure 00660004
    was impliziert (durch Multiplizieren der zweiten Normalgleichung mit vier und dann durch Subtrahieren der Gleichung von der ersten Normalgleichung, die mit 10 multipliziert ist), dass –210 = –70a1-350a2 und (durch Multiplizieren der zweiten Normalgleichung mit 11 und Subtrahieren der Gleichung von der dritten Normalgleichung, die mit 3 multipliziert ist), dass 210 = 70a1 + 66a2 ist. Das Aufaddieren dieser beiden letzten Resultate zeigt jedoch, dass 0 = a2 ist. Ferner ist 3 = a1. Unter Ausnutzung der Tatsache, dass 3 = a1 und 0 = a2 ist, werden die Normalgleichungen zu
    Figure 00660005
    und
    Figure 00670001
    was impliziert, dass 4 = a0 ist. Mit anderen Worten, a0 = 4, a1 = 3 und a2 = 0, so dass das Polynom zweiten Grades (quadratisch), was im Sinne der kleinsten Quadrate die beste Anpassung liefert, gegeben ist durch P2(x) = 4 + 3x + 0x2 = 4 + 3x, was tatsächlich eine Gerade ist, wie in 23 gezeigt ist. Die Reste εi für i = 1, 2,..., 6 verschwinden in diesem Falle alle identisch, wie schematisch in 23 gezeigt ist.
  • In diversen alternativen anschaulichen Ausführungsformen können Messwerte für M Datenpunkte (xi, yi) mit i = 1, 2,... M gesammelt werden und ein linear unabhängiger Satz an N+1 Funktionen fj(x) für j = 0, 1, 2,...., N
    Figure 00670002
    kann an die M Datenpunkte (xi, yi) im Sinne der kleinsten Quadrate ohne Polynome angepasst werden. Beispielsweise können 100 Zeitdatenpunkte (M = 100) genommen werden, die die Pyrometermessung p, die Leuchtenleistungsmessung f und/oder die Röhrentemperaturmessung T während eines Prozessschrittes mit der effektiven Ausbeute t an Werkstücken, die sich aus dem Prozessschritt ergeben in Beziehung setzen, woraus M Datenpunkte (pi, ti), (fi, ti) und/oder (Ti, ti) resultieren. Die Werte können tatsächlich gemessene Werte von Prozessanlagenvariablen und/oder Prozessparameter, oder können Verhältnisse von tatsächlichen gemessenen Werten (normiert auf entsprechende Referenzsollwerte) oder Logarithmen derartiger Verhältnisse sein, um nur einige Beispiele zu nennen. In einer anschaulichen Ausführungsform ist die Zahl N+1 der linear unabhängigen Menge von Basisfunktionen fj(x) mindestens 10 x größer als M.
  • Die Funktion f(a0, a1, ... a2) kann wie folgt minimiert werden. Die Funktion f(a0, a1, ..., aN) ist gegeben durch
    Figure 00670003
    und das Setzen der partialen Ableitungen von f(a0, a1, ..., aN) in Bezug auf die aj für j = 0, 1, ..., N gleich Null ergibt
    Figure 00680001
    für j = 0, 1,...., N, da fj(xi) der Koeffizient aj in der Darstellung
    Figure 00680002
    ist.
  • Eine Vereinfachung ergibt
    Figure 00680003
    für j = 0, 1,..., N, wobei
    Figure 00680004
    und
  • Figure 00680005
  • Es gibt N+1 Gleichungen
    Figure 00680006
    für j = 0, 1,..., N, die auch als Normalgleichungen für die N+1 unbekannten Parameter ak für k = 0, 1,... N bekannt sind, da sich einfach Lösungen ergeben, vorausgesetzt, dass die Determinante der Normalgleichungen nicht Null ist. Dies kann gezeigt werden, indem gezeigt wird, dass die homogenen Gleichungen
    Figure 00690001
    lediglich die triviale Lösung ak = 0 für k = 0, 1,..., N besitzen, was wie folgt gesehen werden kann. Es werde die j'te homogene Gleichung mit aj multipliziert und es werde über alle j summiert
    Figure 00690002
    was impliziert, dass y(xi) = 0 und damit ak = 0, 1,...., N ist, d. h. die triviale Lösung. Daher ist die Determinante der Normalgleichungen nicht Null und die Normalgleichungen können für die N+1 Parameter ak für k = 0, 1,..., N gelöst werden, d. h. für die Koeffizienten der Darstellung kleinsten Quadrate ohne Polynome
    Figure 00690003
    die an die M Datenpunkte (xi, yi) angepasst werden können, wobei die linear unabhängige Menge der N+1 Funktionen fj(x) als Basis für die Darstellung der kleinsten Quadrate ohne Polymone
    Figure 00690004
    verwendet wird.
  • Wenn die Datenpunkte (xi, yi) nicht in gleicher Weise zuverlässig für alle M sind, kann es wünschenswert sein, die Daten durch Verwendung nicht negativer Gewichtungsfaktoren wi zu gewichten. Die Funktion f(a0, a1,..., aN) kann wie folgt minimiert werden. Die Funktion f(a0, a1,..., aN) ist gegeben durch
    Figure 00700001
    und das Setzen der partiellen Ableitungen von f(a0, a1,..., aN) in Bezug auf die aj = 0, 1,...., N = 0 ergibt
    Figure 00700002
    für j = 0, 1,..., N, da fj(xi) der Koeffizient von aj in der Darstellung
    Figure 00700003
    ist. Die Vereinfachung ergibt
    Figure 00700004
    für j = 0, 1,..., N, wobei
  • Figure 00700005
  • Es gibt N+1 Gleichungen
    Figure 00700006
    für j = 0, 1,.... N, die auch als Normalgleichungen bekannt sind, die die nicht negativen Gewichtungsfaktoren wi für die N+1 unbekannten Parameter ak für k=0, 1,..., N enthalten, woraus sich einfach Lösungen ergeben, vorausgesetzt, dass die Determinante der Normalgleichungen nicht Null ist. Dies kann gesehen werden, indem gezeigt wird, dass die homogehen Gleichungen
    Figure 00710001
    lediglich die triviale Lösung ak = 0 für k = 0, 1,..., N aufweisen, was wie folgt gezeigt werden kann. Es werde die j'te homogene Gleichung mit aj multipliziert und es werde über alle j aufsummiert,
    Figure 00710002
    was implizieren würde, dass y(xi) = 0 und damit dass ak = 0 für k = 0, 1,..., N wäre, was die triviale Lösung bedeutet. Daher ist die Determinante der Normalgleichungen nicht Null und die Normalgleichungen einschl. der nicht negativen Gewichtungsfaktoren wi können für die N+1 Parameter ak für k = 0, 1,..., N, d. h. für die Koeffizienten der Darstellung der kleinsten Quadrate ohne Polynome
    Figure 00710003
    gelöst werden, die an die M Datenpunkte (xi, yi) unter Verwendung der linear unabhängigen Menge an N+1 Funktionen fj(x) als Basis für die Darstellung der kleinsten Quadrate ohne Polynome
    Figure 00710004
    die die nicht negativen Gewichtungsfaktoren wi enthalten, angepasst werden können.
  • In einer adaptiven Steuerungsstrategie gemäß diversen anschaulichen Ausführungsformen der vorliegenden Erfindung läuft ein interaktives Systemidentifizierungsschema zusammen mit der Steuerung und stellt stetig das Modell so ein, dass das Modell das wahre Verhalten des Systems widerspiegelt. Eine schwierige Aufgabe in dieser Situation ist das Bestimmen, ob beobachtete Fehler in den Ausgangsgrößen auf Grund von Fehlern beim Kompensieren von Anlagenunterschieden oder von Produktunterschieden auftreten. Die folgende Erläuterung zeigt ein Schema auf, um zu entscheiden, welche Modellparameter einen Fehler aufweisen und um die korrekten Modellaktualisierungen durchzuführen.
  • Zunächst sei eine einfache Einzeldurchlaufsteuerung für einen einzelnen Prozess aufgezeigt und dies werde auf den Fall mehrerer Produkte und Anlagen ausgedehnt. Standardmäßige Beobachtungstestdurchläufe von linearen Prozessmodellen werden zum Zwecke der Darstellung verwendet.
  • Als Beispiel sei ein simpler Ätz- oder Polierprozess betrachtet, wobei die Aufgabe besteht, einen gewünschten Abtrag bei jedem Durchlauf zu erreichen. Ein vereinfachtes Modell für diesen Prozess ist x=r+t , wobei x einen Dickenabtrag, r eine zeitlich Bemittelte Rate und t die Zeit der Bearbeitung repräsentieren.
  • In der adaptiven Steuerungsformel wird die Abschätzung der Rate von Durchlauf zu Durchlauf eingestellt, indem eine interaktive Systemidentifizierung angewendet wird. Der Einfachheit halber und zum Zwecke der Einfachheit der Analyse wird das Modell linearisiert und in eine Zustandsraumdarstellung umgewandelt. Wenn das Modell um eine nominale Rate r0 und Zeit t0 linearisiert wird, dann ist die Gleichung für die Abweichung y von dem nominalen Abtragswert y0 gleich y= r0·t+r·t0, (1)wobei t und r Abweichungen von der nominalen Zeit und Rate repräsentieren. Danach wird das Modell in die Zustandsraumdarstellung umgewandelt, Xk+1 = Axk + Buk (2a) Yk = Cxk, (2b)Wobei x ein Vektor von Zuständen, y ein Vektor gemessener Ausgangssignale und u der Vektor der Eingangsgrößen ist. Die Matrizen A und B beinhalten, wie die Zustände und Eingangsgrößen die künftigen Werte der Zustände beeinflussen. Die Matrix C bildet die momentanen Werte der Zustände auf die Ausgangswerte ab, die tatsächlich gemessen werden. In dem vorliegenden Beispiel
    Figure 00730001
    enthält der Zustandsvektor x den Werte xadj, d. h. die Änderung in der Abtragsrate, die durch die Zeitjustierung, und r, d. h. die Abweichung von der nominalen Rate r0, hervorgerufen wird. Der Messvektor y enthält lediglich y, d. h. die Abweichung von dem nominalen Abtragswert und der Eingangsvektor u enthält lediglich t, d. h. die Abweichung von der nominalen Zeit t0.
  • Dieses Modell ist adäquat für die Steuerung eines einzelnen Prozesses. Es wird angenommen, dass die einzelne Abtragsratenabschätzung für jeden Durchlauf gilt und diese wird nach jeder Messung eingestellt. In einer Fertigungsumgebung mit hohem Durchsatz ergibt sich jedoch zusätzliche Komplexität, da es mehrere Anlagen und Produkte gibt. In dieser Arbeit wird jede Kombination aus einem Produkt und einer Anlage als der Kontext bezeichnet. Die Steuerungsaufgabe besteht darin, jeden Durchlauf auf den Sollwert zu bringen, unabhängig davon, welche Kombination aus Produkt und Anlage verwendet ist. Eine einfache Vorgehensweise besteht dann, anzunehmen, dass der eine Satz aus Zuständen für alle Prozesskontexte gilt. Der Nachteil dieses Verfahrens in einer Umgebung mit diversen Kontexten besteht darin, dass die mit jedem Prozess verknüpften Raten sich deutlich voneinander unterscheiden können. Wenn dies auftritt, erscheint jeder Übergang zu einem neuen Kontext als eine stufenartige Störung für die Steuerung, wie in 24 gezeigt ist, da die Steuerung kein Verständnis dafür aufweisen kann, warum die Rate sich so stark ändert.
  • Beispielsweise wird in vielen Anwendungen rasch beobachtet, dass unterschiedliche Produkte sehr unterschiedliche offensichtliche Reaktionsraten aufweisen. Jedoch kann die Rate von Los zu Los abweichen, selbst wenn nur ein Produkt hergestellt wird. Dies kann durch eine Beeinträchtigung des Reaktors, eine Alterung von Verschleißmaterialien, Leckage von Prozessen und dergleichen hervorgerufen werden. Das einfache Überwachen einer Abschätzung für r von Durchlauf zu Durchlauf ist nicht akzeptabel, da jeder Übergang zu einem anderen Produkt als eine stufenartige Änderung erscheint, wie dies unten gezeigt ist. Wie in 24 dargestellt ist, wurde ein zweites Produkt von den Losen 6 bis 15 bearbeitet und die Abnahme des Leistungsvermögens des Reaktors bewirkte, dass die Rate kontinuierlich im Laufe der Simulation abnahm.
  • Ein weiteres anschauliches Verfahren, das leicht einzurichten ist, besteht darin, Durchläufe mit ähnlichen Kontexten zusammenzufassen, so dass diese Parameterabschätzungen gemeinsam benutzen. In einem derartigen Verfahren besteht keine Notwendigkeit, Vorgabewerte für Produkte und Anlagen separat voneinander zu identifizieren. Jede Kombination besitzt einfach die eigene Ratenabschätzung und aktualisiert diese Abschätzung auf der Grundlage von nur Messungen aus Durchläufen unter diesem speziellen Kontext. Diese Verfahren besitzen jedoch den Nachteil, dass eine Störung beispielsweise an einer Anlage von jedem Kontext erkannt werden muss, in welchem diese Anlage eingesetzt ist. Dies kann in einem großen System auf Grund der großen Anzahl von Durchläufen nachteilig sein, die dann ihre Sollwerte nicht einhalten, während unterschiedliche Kontexte deren Parameterabschätzungen aktualisieren. Diese Information sollte unmittelbar oder rasch zwischen allen Kontexten, die von der Störung beeinflusst werden, geteilt werden.
  • Es gibt Fälle, in denen Grundeinstellungswerte erkannt werden, die durch unterschiedliche Teile des Prozesskontextes hervorgerufen werden. Ein Beispiel ist, dass Schwankungen zwischen Anlagen wiederholbar sind, unabhängig von den Produkten, die bearbeitet werden, und die Variation von Produkt zu Produkt ist konsistent, selbst wenn diese auf unter schiedlichen Anlagen bearbeitet wurden. Um aus dieser Beobachtung einen Vorteil zu erzielen, können zusätzliche Terme zu dem Modell hinzugefügt werden. Für einen CMP-Prozess ist es sinnvoll, die Rate für unterschiedliche Produkte zu skalieren. Dies liegt größtenteils daran, dass die Abtragsrate abhängig von den Eigenschaften der Kontaktoberfläche ist, und unterschiedliche Produkte unterschiedliche Musterdichten aufweisen. Somit ist die x=r·f·t,hierbei für die Abtragsrate benutzte Gleichung wobei x eine Abtragsrate r eine zeitlich gemittelte Rate, die für die Anlage konstant ist, f einen produktspezifischen Ratenskalierungsfaktor t und die Zeit der Bearbeitung repräsentieren. Diese Relation ist ähnlich zu der Gleichung von Preston, die einen Polierprozess beschreibt
    Figure 00750001
    wobei Δx die Abtragsrate, Δt die Prozesszeit, KP eine Ratenkonstante, V die Oberflächengeschwindigkeit, F die angewandete Anpresskraft und A die Größe der Kontaktoberfläche ist.
  • Wenn dies um einen nominalen Zustand r0, f0 und t0 herum linearisiert wird, ergibt die Gleichung für die Abweichung y von der nominalen Abtragsrate y=r0·f0·t+r·f0·t0+r0·f·t0, (5)wobei t, r und f Abweichungen von der nominalen Zeit, der Anlagenabtragsratenkonstante und dem Produktskalierungsfaktor repräsentieren. Die folgende Zustandsraumdarstellung enthält die Abschätzungen für zwei Modellparameter als Zustände.
  • Figure 00760001
  • Figure 00760002
  • Somit ist die Frage, die sich ergibt, ob die zwei Modellparameter r und f unverwechselbar identifiziert werden können oder nicht. Für ein zeitlich unabhängiges lineares System, wie in diesem Falle, kann der Test für die Nichtsingularität der Gram-Beobachtbarkeit ausgeführt werden, indem der Rang berechnet wird von O = [CT ATCT (AT)2 CT L] (7)wobei möglichst viele Terme in der Matrix nach Bedarf mit eingeschlossen sind, um nach Möglichkeit den vollen Rang zu erreichen. Für das obige System gilt
  • Figure 00760003
  • Diese Matrix besitzt nicht den höchsten Rang, so dass das System, wie es gegenwärtig definiert ist, nicht beobachtbar ist. Somit ist es nicht möglich, die Modellparameter eindeutig zu identifizieren, wenn lediglich die Laufdaten aus einem einzelnen Kontext verwendet werden.
  • Dieses Ergebnis ist intuitiv, denn, um in der Lage zu sein, die Produkt zu Produkt und die Anlagen zu Anlagen Abhängigkeiten zu erkennen, ist es nützlich, ein Modell zu haben, das alle unterschiedlichen Prozesskontexte mit einschließt. Informationen über unterschiedliche Produkte sollten zwischen den Anlagen geteilt werden und umgekehrt. Dies kann ein Beobachten der gesamten Sammlung an Prozessen als ganzes nach sich ziehen, anstatt sich auf einen einzelnen Kontext zu einer gegebenen Zeit zu konzentrieren.
  • Es sei ein hypothetischer Prozess betrachtet, in welchem zwei Anlagen (1 und 2) und 3 Produkte (A, B und C) vorgesehen sind. Diese können in einer beliebigen Kombination aus Produkt und Anlage bearbeitet werden. Unter Anwendung der obigen linearisierten Form und unter der Annahme, dass es einen einzelnen „nominalen" Punkt für alle Kombinationen gibt, können die Abweichungen von der nominalen Abtragsrate für jeden Kontext durch diese Gleichungen beschrieben werden. y1A=r0·f0·t+r1·f0·t0+r0·fA·t0, (9a) y1B=r0·f0·t+r1·f0·t0+r0·fB·t0, (9b) y1C=r0·f0·t+r1·f0·t0+r0·fC·t0, (9c) y2A=r0·f0·t+r2·f0·t0+r0·fA·t0, (9c) y2B=r0·f0·t+r2·f0·t0+r0·fB·t0, (9d) y2C=r0·f0·t+r2·f0·t0+r0·fC·t0, (9e)
  • Das gesamte System kann in ein einzelnes Zustandsraummodell vereinigt werden
    Figure 00770001
    Figure 00780001
    wobei die Zustände aus der Einstellung (xadj), den Anlagengrundeinstellungswerten (r1 und r2) und den Produktgrundeinstellungswerten (fa, fb und fc) bestehen. Dieses Modell gilt für eine hypothetische Situation, in der alte Kombinationen aus Produkten und Anlagen gleichzeitig mit den gleichen Eingangseinstellungen laufen. Obwohl diese Situation nahezu nie in der Praxis auftritt, ist es nützlich vom Standpunkt des Verstehens der Wechselwirkungen zwischen den unterschiedlichen Prozesskontexten, dieses Modell zu betrachten. Es ist klar, dass beispielsweise der einzelne fA Produktfaktor für alle Durchläufe von Produkten A verwendet wird, unabhängig von der Prozessanlage.
  • Der hier berechnete Beobachtbarkeitstest
    Figure 00780002
    ist im Rang um eins erniedrigt, so dass das System in der aktuellen Form nicht beobachtbar ist. Hier reichen lediglich die ersten beiden Tenne in der Matrix in Gleichung (7). Der Grund dafür besteht dann, dass alle Durchläufe von einer Produktgrundeinstellung und einer Anlagengrundeinstellung begleitet sind. Es wird eine weitere Nebenbedingung benötigt, um eine der Variablen festzulegen. Es kann beispielsweise möglich sein, experimentell die An lagenparameter durch Qualifizieren der Anlagen zu messen. Dies würde zu zusätzlichen Systemausgangsgrößen y1 = r1 und y2 = r2 führen.
  • Wen derartige Experimente nicht möglich sind, ist es ferner möglich, einfach eine Referenzanlage oder ein Referenzprodukt auszuwählen, das den nominalen Grundeinstellungswert besitzt. Ein Nachteil dieses Verfahrens besteht darin, dass es schwierig sein kann, eine Referenzanlage oder ein Referenzprodukt in einer Fertigungsumgebung, die sich ständig ändert, zu identifizieren.
  • Wenn die Qualifizierungsexperimente dem beispielhaften System oben hinzugefügt werden, so ist die neue Ausgangsgleichung für das kombinierte System
  • Figure 00790001
  • Die Beobachtbarkeitsmatrix für dieses neue System
    Figure 00790002
    besitzt den vollständigen Rang, so dass dieses System beobachtbar ist. Hier genügen wiederum lediglich die ersten beiden Terme in der Matrix aus Gleichung (7). Jedoch repräsentiert das System hier den seltenen Fall, dass alle möglichen Durchläufe gleichzeitig auftre ten können. In der Praxis tritt jeder Durchlauf zu einer gegebenen Zeit auf. Es ist möglich, den geeigneten Weg zu bestimmen, um die Modellzustände nach jedem Durchlauf zu aktualisieren.
  • Strukturell gleicht dieses System einem realen System, in welchem die unterschiedlichen Messungen mit unterschiedlichen Häufigkeiten vorgenommen werden. Dieses Abtastproblem für mehrere Raten wird in der jüngsten Literatur behandelt. Mit einem derartigen System ändert sich die Beobachtbarkeit im Laufe der Zeit, wenn unterschiedliche Kombinationen an Messungen verfügbar sind. Die zu Grunde liegende implizite Erfordernis ist dennoch, dass das System in dem einschränkenden Falle beobachtbar ist, in welchem alle möglichen Messungen zu jedem Zeitschritt durchgeführt werden.
  • An diesem Punkt ist ein Modell für ein hypothetisches System mit ähnlicher Dynamik, wie ein reales System verfügbar. Der nächste Schritt besteht dann, ein Steuerungsgesetz und einen Beobachter zu definieren, die den realen Prozess in den Modellraum abbilden können.
  • Die Steuerungsaufgabe besteht darin, jeden Durchlauf an den Sollwert zu bringen, unabhängig von dem Prozesskontext. Dies wird hierin erreicht, indem ein ausschließliches Steuerungsgesetz unter Anwendung der aktuellen besten Abschätzungen der Modellzustände angewendet wird. Ein spezieller Prozesskontext wird durch eine einzelne Zeile in einer Ausgangsmatrix C repräsentiert. Beispielsweise ist die Abweichung von der nominalen Abtragsrate für das Produkt B, das auf der Anlage 2 bearbeitet wird, durch die Gleichung (9e) gegeben, die der fünften Zeile der Ausgangsmatrix entspricht. Zur Vereinfachung der Schreibweise wird die Zeile der Ausgangsmatrix, die dem aktuellen Kontext entspricht, mit Ccon bezeichnet. Somit genügen die gewünschten Eingangsgrößen udes ycon,des = Ccon (Ax + Budes), (14)wobei ycon,des die gewünschte Abweichung von dem nominalen Abtragswert für diesen Prozesskontext bezeichnen. Das Auflösen nach den Eingangsgrößen udes ergibt u=(CconB)–1(Ycon,des – CconAx). (15)
  • Diese Gleichung gibt die Eingangsgröße für einen beliebigen Prozesskontext bei den vorgegebenen aktuellen Abschätzungen der Modellparameter.
  • Der Beobachter muss Messungen des realen Prozesses in das Modell so abbilden, dass Zustandaktualisierungen auftreten können. Die Gestaltung des Beobachters ist nicht so einfach wie das Steuerungsgesetz. Der Grund besteht darin, dass im Allgemeinen eine einzelne Messung eines Prozessdurchlaufs sowohl von dem Grundeinstellungswert der Anlage als auch dem Grundeinstellungswert des Produkts beeinflusst ist. Der Vorhersagefehler sollte zwischen den Parameteraktualisierungswerten aufgeteilt sein. Die neue Information aus jeder Messung muss in die bestehende Information eingebunden werden.
  • Ein einfacher Weg, dies zu erreichen, besteht darin, die unterschiedlichen Elemente des Ausgangsvektors y als individuelle Sensoren bildlich darzustellen, die auf ihren letzten Werten fixiert bleiben, bis eine neue Messung diese ändert. Der Vorteil dieses Ansatzes besteht dann, dass ein konventioneller Zustandsbeobachter gestaltet werden kann, indem das gesamte System berücksichtigt wird. Eine Beobachterverstärkungsmatrix L kann so gewählt werden, dass x ^k+1=Ax ^k+Buk+L(yk-Cy ^k). (16)
  • Die Beobachtermatrix ordnet die Differenzen zwischen den gemessenen und vorhergesagten Ausgangswerten Änderungen an den Zustandsabschätzungen zu. Jedoch besteht ein wesentlicher Nachteil dann, vergangene Ausgangswerte auf ihren letzten Werten konstant zu halten. Wenn sich die Eingangswerte ändern, sind diese Ausgangswerte in der Praxis nicht mehr gültig, da diese den aktuellen Zustand des Prozesses nicht mehr repräsentieren. Wenn die Messungen momentan sind, ändern sie sich in Reaktion auf den neuen Eingangswert.
  • Andererseits sind beim Belassen der inaktiven Ausgangswerte alle Ausgangswerte, die nicht zu einem gegebenen Zeitschritt gemessen werden, auf Werte festgelegt, die von den momentanen Zustandsabschätzungen vorhergesagt würden. Dieses Verfahren führt dazu, dass alle alten Messungen ignoriert werden, wenn die Zustandsaktualisierung ausgeführt wird.
  • Es wurden eine Reihe von Matlab-Simulationen ausgeführt, um die Konzepte des fabrikweiten Modells darzustellen. Die erste Reihe von Simulationen wurden alle samt unter den Bedingungen ausgeführt, die in dem obigen Beispiel spezifiziert sind. In diesem Beispiel gab es zwei Prozessanlagen und drei Produkte. Die Anlagenqualifizierungsereignisse waren verfügbar, um die anlagenspezifischen Modellparameter direkt zu messen. Der Startpunkt für die Zustandsabschätzungen war, dass alle Anlagen und Produkte als mit den nominalen Werten übereinstimmend vorausgesetzt wurden. Die Steuerungsaufgabe lag dann, alle möglichen Kombinationen aus Anlage und Produkten bei dem nominalen Abtragswert y0 zu betreiben.
  • Ein wichtiger Punkt, den es anzumerken gilt über diese Testläufe ist der, dass bei einem Startpunkt begonnen wird, an welchem die Steuerung nichts über das System weiß und alle Produkte und Anlagen sofort erkennen muss. Während es wichtig ist, dass die Steuerung in der Lage ist, dies zu tun, ist bei einem normalen Gleichgewichtsbetriebszustand der Voreinstellungswert des Produkts und der Anlage hinreichend genau bekannt und Störungen beeinflussen lediglich eine Teilmenge des Prozesses. Daher wird in den meisten dieser Testsdurchläufe eine Störung auf das System ausgeübt, nachdem die Steuerung den Prozess stabilisiert hat, um zu sehen, wie die Steuerung auf eine einzelne Störung reagiert.
  • Die erste Simulation erstellt eine Grundlinie durch Darstellen des best möglichen Szenarios. Dies ist der hypothetische Fall, der zuvor erwähnt ist, in welchem alle möglichen Durchläufe gleichzeitig bei jedem Zeitpunkt auftreten, so dass alle Messungen zum Aktualisieren der Zustandsabschätzungen verwendet werden können. Es wurde ein weißes Rauschen zu den Prozessausgangswerten während der Simulation hinzugefügt, und es wurde eine stufenartige Störung zu der Anlage 2 beim Durchlauf 30 (der auch als Zeitschritt 30 bezeichnet ist) hinzugefügt. 25 enthält die Ergebnisse des Tests. 25 zeigt schematisch die prozentuale Abweichung vom Sollwert in diesem hypothetischen Szenario des besten Falles. In diesem hypothetischen Falle ist die Steuerung in der Lage, rasch den Sollwert zu erreichen und die Störung auszugleichen.
  • Das wichtigste Ergebnis aus diesem Test besteht dann, dass das System als ganzes sich gut verhält, wenn die Steuerung die maximale Menge an Information verfügbar hat. Alle anderen Testdurchgänge behandeln realistischere Situationen, in denen die Steuerung lediglich eine Teilmenge dieser Information besitzt. Die nachfolgenden Durchläufe versuchen, einige der Faktoren dazustellen, die beim Bestimmen, ob die Steuerung mit der reduzierten Menge an Information funktionieren kann, wichtig sind.
  • Die nächste getestete Situation war die, dass lediglich ein Durchlauf pro Zeit stattfand und die Ausgangswerte wurden auf ihren letzten Werten festgehalten, bis sie aktualisiert wurden. Für Testzwecke wurde bei jedem Durchlauf entweder ein zufälliges Produkt auf einer zufällig gewählten Anlage durchgeführt oder ein Qualifizierungsereignis wurde aufgezeichnet. Alle acht möglichen Szenarien (6 Produktionskontexte und 2 Qualifizierungsereignisse) hatten die gleiche Wahrscheinlichkeit bei jedem Durchlauf. Wie zuvor erwähnt ist, verursacht diese Situation Probleme, da die Ausgangswerte sich ändern müssen, wenn die Eingangswerte sich ändern, um verwertbare Information bereitzustellen. In diesem Test wurde der Eingang für jeden Durchlauf geändert, es wurde aber lediglich ein Ausgangswert jedes mal aktualisiert. Somit sind die Ausgangswerte, die für die Rückkopplung verwendet wurden, nicht repräsentativ für den wahren Zustand des Prozesses. 26 zeigt die Ergebnisse dieses Experiments. 26 zeigt schematisch die prozentuale Abweichung vom Sollwert in diesem Falle mit „festgelegten Ausgangswerten".
  • Diese Konfiguration war nicht in der Lage, den Prozess besonders gut zu steuern. Die veraltete Information, die in dem Ausgangsvektor enthalten ist, beeinflusst den Zustandsbeobachter negativ, da die Messungen scheinbar nicht auf Änderungen in den Eingangswerten reagieren. Dies bewirkt, dass die Steuerung versucht, wiederholt eine Kompensation auszuführen, was zu einer Instabilität führt.
  • Der nächste getestete Simulationsfall verwendete die aktuellen Modellabschätzungen der Zustände, um Werte für die Messungen abzuschätzen, die fehlten. Als Folge enthielt jede Zustandsaktualisierung lediglich Information von dem Durchlauf, der gemessen war. Die Testbedingungen waren ähnlich zu der vorhergehenden Simulation, wobei die Durchläufe zufällig ausgewählt waren. Jedoch wurde eine stufenartige Störung an der Rate der Anlage 2 während der Laufzeit oder zum Zeitpunkt 80 eingeführt. Die Ergebnisse des Tests können in 27 gesehen werden. 27 zeigt schematisch die prozentuale Abweichung vom Sollwert in diesem Fall mit „vorhergesagten Ausgangswerten". Dieses Schema erreicht den Sollwert und kompensiert erfolgreich die Störung. Jedoch ist die Reaktion sehr träge im Vergleich zu dem Fall, wenn alle Informationen über den Prozess gemessen werden.
  • Der in 28 dargestellte Test ist die gleiche Steuerung wie in dem vorhergehenden Test jedoch mit einem anderen Satz an Regeln, die zum Erzeugen der Sequenz an Durchläufen angewendet wurden. 28 zeigt schematisch die prozentuale Abweichung vom Sollwert in diesem Fall mit „vorhergesagten Ausgangswerten" mit zusätzlichen Qualifizierungen. Die Anlagen wurden 2 mal so oft wie in dem vorhergehenden Fall qualifiziert, um die Wirkung der Steuerung zu bestimmen. In diesem Test kann das System rascher zum Gleichgewichtszustand und die Störung wurde besser kompensiert als in dem vorhergehenden Fall.
  • Um die Skalierbarkeit des Systems zu testen, wurden in dem letzten Test 6 Anlagen und 7 Produkte verwendet. 29 zeigt schematisch die prozentuale Abweichung vom Sollwert in diesem Falle mit dem groß skalierten System. Das System begann gesteuert und musste mehrere Störungen handhaben. Eine stufenartige Störung wurde der Anlage 1 im Durchlauf oder zum Zeitpunkt 50 hinzugefügt und das Produkt 6 wies eine stufenartige Störung beim Durchlauf oder beim Zeitpunkt 150 auf. Die Ergebnisse in 29 zeigen, dass die Steuerung erfolgreich die Störungen über eine sehr geringe Anzahl an Durchläufen hinweg kompensiert.
  • Dies ist wichtig, da dies die Mächtigkeit eines Modells zeigt, das das Gesamtsystem berücksichtigt. Beispielsweise konnte der Voreinstellungswert an der Anlage 1 erfasst werden, während das Produkt A auf der Anlage bearbeitet wurde. In diesem Falle werden sowohl die Abschätzungen für r1 und fA geringfügig eingestellt. Der nächste Durchlauf eines Produkts auf der Anlage 1 wird weiterhin den Voreinstellungswert (obwohl zu einem geringeren Maß) aufweisen und die Abschätzung für r1 wird noch näher auf den neuen korrekten Wert eingestellt. Der nächste Durchlauf eines Produkts A auf einer Anlage würde die Abschätzung für fA in Richtung des korrekten Wertes führen.
  • In dieser Konfiguration wird für jede Zustandsabschätzungsaktualisierung nur Information aus den Messungen des aktuellen Durchlaufs verwendet. Da alle älteren Informationen ignoriert werden, ist es wichtig, dass der Beobachter relativ gut gedämpft ist. Ein qualitatives Beispiel ist in dieser Hinsicht anschaulich. Wenn ein Durchlauf eines Produktes A auf der Anlage 1 eine Abtragsrate aufweist, die höher als vorhergesagt war, sind eine oder beide Abschätzungen für r1 und fA zu gering. Um die Zustände korrekt zu aktualisieren, ist es notwendig, auf die Ergebnisse anderer Durchläufe des Produkts A auf unterschiedlichen Anlagen oder auf Durchläufe anderer Produkte auf der Anlage 1 zu achten. Jedoch erlaubt die ausgewählte Aktualisierungsstrategie, dass die Aktualisierung nur auf den Ergebnissen des augenblicklichen Durchlaufs basiert. Indem die Beobachter gezwungen sind, nur geringe Änderungen für jeden Durchlauf vorzunehmen, bewegen sich die Zustände zumindest in die richtige Richtung und alle Durchläufe zusammen führen die Zustandsabschätzungen zu den korrekten Werten. Klarerweise wäre die ideale Lösung für dieses Problem zu versuchen, möglichst viel Information aus alten Messungen mit den neuen Werten zu kombinieren, wenn die Zustandsabschätzung durchgeführt wird.
  • Die vielen Prozesskontexte, die üblicherweise in der Halbleiterfertigung auf großem Maßstab angetroffen werden, bilden eine interessante Steuerungsherausforderung. Ein Steuerungs- und Abschätzungsansatz wurde entwickelt, um die gesamte Prozessumgebung, die eine Steuerung sieht, als ganzes zu untersuchen, anstatt sich auf lediglich einen einzelnen Kontext zu einem gegeben Zeitpunkt zu konzentrieren. Simulationen, die unter diesen Bedingungen durchgeführt wurden, zeigen, dass die Idee Vorteile aufweist. Unter der Vorrausetzung einer ausreichenden Information ist eine fabrikweite Steuerung in der Lage, das gesamte System, das sich aus allen unterschiedlichen Prozessen zusammensetzt, zu handhaben.
  • Diverse Faktoren beeinflussten das Verhalten der Steuerung. Dies begründet sich durch die Verfügbarkeit möglichst vieler Informationen, die sie über den Prozess ermitteln kann. Die Entscheidung, was bei jedem Durchlauf an den Systemausgangswerten, die nicht gemessen werden, zu tun ist, besitzt eine wesentliche Auswirkung auf das Verhalten. Ferner wird die Steuerungsreaktion verbessert, wenn Daten von Qualifizierungsereignissen, die in die Produktionsdurchläufe eingestreut sind, erhalten werden. Dies sind Messergebnisse wichtiger Modellparameter.
  • Ein interessantes Merkmal dieses Systems besteht darin, dass das Modell erneut erstellt werden muss, sobald Anlagen oder Produkte hinzugefügt oder weggenommen werden. Dies liegt darin, dass das Modell sofort das Gesamtssystem berücksichtigt. Obwohl dies als ein Nachteil erscheinen mag, wird dadurch eigentlich ein Einblick in den Prozess ermög licht, der nicht intuitiv ist. Da der Beobachter auf der Grundlage des gesamten Systems erneut erstellt werden muss, sobald sich das System ändert, hängen die Eigenschaften der Rückkopplung von der Anlage und der Produktverteilung ab. Dies bedeutet, dass ein in einem Prozesskontext erkannter Fehler unterschiedlich in Abhängigkeit der anderen Kontexte in dem gesamten System behandelt werden sollte.
  • Wenn das System als ganzes betrachtet wird, ist es offensichtlich, dass es eine große Menge an Informationen gibt, die für unterschiedliche Teile des Systems gleich sind. Da das Verhalten der Steuerung an die Qualität der Information, die es ermitteln kann, gebunden ist, wäre es vorteilhaft, die Auswirkungen der Prozessreihenfolge und der 1Abtastpläne zu untersuchen. Eine ausreichend anspruchsvolle Steuerung wäre in der Lage, gewisse Durchläufe und Messungen auf der Grundlage der Information, die über den Zustand des Systems bereitgestellt ist, nach Prioritäten zu bewerten. Eng damit verwandt ist das Konzept der ereignisgesteuerten modellbasierten Steuerung. Anstelle den Prozess als ein Kontinuum zu betrachten, werden die Zustände des Prozesses einschließlich der Modellparameterabschätzungen von einer Reihe diskreter modellierter Ereignisse beeinflusst.
  • In diversen anschaulichen Ausführungsformen kann ein Modell für den Anlagenzustand (x) entwickelt werden, der unabhängig von dem Produkt ist. Dieser Modellzustand ist eine intrinsische Abtragsrate für die Anlage. Eine Änderung dieser Rate beeinflusst alle Produkte, die auf der Anlage bearbeitet werden. xk+1 = Akxk + Bkuk Dieser Prozesszustand (x) wird auf den Produktzustand (y) unter Anwendung der Ausgangsgleichung gebildet: yk = Ckxk + Dk.
  • Dann wird der Abschätzer verwendet, um den Anlagenzustand (x) anstelle des Produktzustands (y) zu überwachen. Die Betrachtung der Kalman-Optimal-Filtergleichung zeigt, dass eine optimale Beobachterverstärkung eine Funktion der Ausgangsabbildung (C) ist. P = Ak[P-PCk T(CkPCk T + R)–1CkP]Ak T + GOGT L = PCk T(CkPCk T + R)–1
  • Durch Anwenden einer externen Analyse kann die wiedeholbare Produktabhängigkeit quantitativ erfasst werden, um zu einem neuen Modell für die Rate r zu gelangen, wobei r0 die „intrinsische Rate" der Prozessanlage und kP der produktspezifische Korrekturfaktor ist. r=r0×kP
  • Der Beobachter schätzt dann r0 anstelle von r ab, indem die beobachtete Rate mit jenem produktspezifischen Faktor skaliert wird. In einer Situation, in der die produktspezifischen Faktoren genauer bekannt sind, arbeitet das oben beschriebene Schema sehr gut. Änderungen im Betrieb der Prozessanlage werden beobachtet, unabhängig davon, welches Produkt bearbeitet wird. In einer tatsächlichen Fertigungsumgebung können jedoch diverse Komplikationen auftreten. Beispielsweise kann es diverse Prozessanlagen geben, neue Produkte können auftreten und Untersuchungen können sehr teuer im Hinblick auf die Rohmaterialien und die Abschaltzeiten der Prozessanlagen sein. Der Einfluss hierbei ist, dass die produktspezifischen Faktoren nicht immer a priori bekannt sind.
  • Das obige Verfahren beobachtet einen einzelnen Parameter (r0), aber es ist notwendig, eine Möglichkeit zu finden, um rasch Abschätzungen für das neue kP zu erhalten. Dies kann bewerkstelligt werden, indem die Rate für jeden Durchlauf beobachtet und die Modellparameter entsprechend aktualisiert werden. Das Ergebnis jedes Durchlaufes ist eine Messung der offensichtlichen Rate r. Um r0 und kP aus den Daten (r) abzuschätzen, wird die Modellgleichung verwendet. r=r0×kP
  • Unter Anwendung einer Tayler-Entwicklung ergibt sich Δr = r0 · Δkp + kp · Δr0.
  • Dies bedeutet, dass eine offensichtliche Änderung des Wertes von r als eine Änderung der Abschätzungen von r0 und kP ausgedrückt werden kann. Es ist damit notwendig, die Änderungen (unter Anwendung einer Analyse mit Varianztechnik) zu klassifizieren, um zu bestimmen, wie die Verteilung des Fehlers zwischen den beiden Parametern vorliegt.
  • Ein Verfahren zur Verwendung dieses Abschätzers liegt dann, einen linearen Filter für jeden Parameter anzuwenden.
  • Figure 00880001
  • Die Lambda-Werte werden variiert, um das Vertrauen in die Parameterabschätzungen wiederzugeben. In Situationen, in denen erwartet wird, dass sich r0 ändert, ist λr groß und in Situationen, in denen angenommen wird, dass kP einen Fehler aufweist, ist λK groß.
  • Für ein gut etabliertes Produkt gibt es beispielsweise ein hohes Maß an Vertrauen, das kP genau ist. Ferner weiß man, dass r0 im Laufe der Zeit abweicht. Somit wird die Relation λr » λK angewendet. Andererseits gibt es für ein neues Produkt wenig Vertrauen in den Wert von kP. Es wird erwartet, dass eine Ungenauigkeit kP die Rate mehr als das Rauschen und die Abweichung in r0 beeinflusst, so dass λk » λr festgelegt wird.
  • Matlab-Simulationen zeigen, dass dieses Schema den Prozess sehr gut wiedergibt. Die Simulationen wurden in der folgenden Weise durchgeführt. Die Anzahl der Prozessanlagen (n), die Anzahl der Produkte (m) und die Anzahl der Durchläufe (p) wurde eingangs festgelegt. Jedem Produkt wurde ein einzigartiger „realer" Wert für kP zugewiesen und jede Anlage erhielt einen einzigartigen Wert für r0. Für jeden Durchlauf wurde zufällig eine Anlage und ein Produkt ausgewählt. Es wurde ein Messung berechnet, indem das korrekte r0 und kP miteinander multipliziert und ein zufälliges Rauschen addiert wurde. Dann wurde dem r0 für die ausgewählte Anlage ein Offset-Wert hinzugefügt, um eine Abweichung im Laufe der Zeit zu simulieren. Die Parameterabschätzungen wurden nach jedem Durchlauf in der oben beschriebenen Weise aktualisiert. In allen Fällen folgten die Abschätzungen den realen Werten in sehr schneller Weise.
  • Es ist interessant anzumerken, dass das Auswählen der Produkte und der Anlage in zufälliger Weise die Konvergenz rascher verlaufen ließen als die Verwendung langer Reihen von Durchläufen aus vorgegebenen Produkten auf gewissen Anlagen (Anlagenzuordnung). Wenn die Anlagen zugeordnet sind, ist es schwierig, den Fehler in der Ratenabschätzung in geeigneter Weise zwischen den beiden Parametern zuzuweisen. Dies scheint zu der bestehenden Anregungserfordernis in der Systemidentifizierungstheorie in Bezug zu stehen, aber es ist ein interessantes Ergebnis, da üblicherweise angenommen wird, dass die Anlagenzuordnung die Prozesssteuerung vereinfacht. Die beste Steuerung für diesen Prozess ist in der Lage, das duale Steuerungsproblem der gleichzeitigen Identifizierung und Steuerung zu lösen. Das Durchführen der Prozesswahlmöglichkeiten beinhaltet einen Kompromiss zwischen einem engen Nachfolgen an die Sollwerte und der Unterstützung, den Prozess zu charakterisieren, da die beiden Aufgaben miteinander in Konflikt stehen.
  • In diversen anschaulichen Ausführungsformen, die mit dem Polieren und/oder Ätzen in Beziehung stehen, es sei beispielsweise auf 30 verwiesen, ist eine vereinfachte Blockansicht eines anschaulichen Herstellungssystems 3010 bereitgestellt. In der anschaulichen Ausführungsform ist das Herstellungssystem 3010 so ausgebildet, um Halbleiterbauelemente herzustellen. Obwohl die Erfindung so beschrieben ist, dass diese in eine Halbleiterfertigungsstätte implementiert werden kann, ist die Erfindung nicht darauf eingeschränkt und kann auf andere Fertigungsumgebungen angewendet werden. Ein Netzwerk 3020 verbindet diverse Komponenten des Herstellungssystems 3010 und ermöglicht einen Informationsaustausch. Das anschauliche Herstellungssystem 310 umfasst mehrere Anlagen 3030 bis 3080. Jede der Anlagen 3030 bis 3080 kann mit einem Computer (nicht gezeigt) zur Kommunikation mit dem Netzwerk 3020 verbunden sein.
  • Ein Prozesssteuerungsserver 3090 weist die Operationen auf hoher Ebene des Herstellungssystems 3010 an, indem der Prozessablauf vorgegeben wird. Der Prozesssteuerungsserver 3090 überwacht den Zustand der diversen Einheiten in dem Herstellungssystem 3010 einschließlich der Anlagen 3030 bis 3080. Ein Datenbankserver 30100 wird zum Speichern von Daten bereitgestellt, die mit dem Status der diversen Einheiten und Herstel lungsartikel (beispielsweise Scheiben) in dem Prozessablauf in Beziehung stehen. Der Datenbankserver 30100 kann Informationen in einer oder mehreren Datenbanken 30110 speichern. Die Daten können prozessvorgeschaltete und prozessnachgeschaltete Messdaten, Anlagenzustände, Prozessablaufaktivitäten (beispielsweise geplante Wartungsereignisse, Prozessrouten für Scheibenlose) und dergleichen enthalten. Die Verteilung der Prozess- und Datenspeicherfunktionen und den diversen Computern wird im Allgemeinen so ausgeführt, um Unabhängigkeit zu gewährleisten und eine zentrale Informationsspeicherung zu ermöglichen. Selbstverständlich können mehr oder weniger Computereinheiten verwendet werden.
  • Eine beispielhafte Informationsaustausch- Prozesssteuerungsumgebung, die geeignet für die Anwendung in dem Herstellungssystem 3010 ist, ist eine fortschrittliche Prozesssteuerung-(APC) Umgebung, etwa wie sie unter Verwendung des Katalyst-Systems eingerichtet ist, das von KLA-Tencor, Inc. angeboten wird. Das Katalyst-System bietet Systemtechnologien an, die mit Halbleiteranlagen- und dem Material-International (SEMI) computerintegrierten Herstellungs-(CIM) Netzwerk verträglich sind, und beruht auf der fortschrittlichen Prozesssteuerungs-(APC) Umgebung. CIM (SEMI E81-0699)-vorläufige Spezifizierung für CIM-Umgebungsbereichsarchitektur) und APC-(SEMI E93-0999-vorläufige Spezifizierung für CIM-Umgebung und fortschrittliche Prozesssteuerungskomponenten) Spezifizierungen sind allgemein von SEMI erhältlich.
  • Bereiche der Erfindung und der entsprechenden detaillierten Beschreibung sind in Begriffen von Software und Algorithmen und symbolischen Darstellungen von Operationen an Datenbits innerhalb eines Computerspeichers dargestellt. Diese Beschreibungen und Darstellungen sind jene, die von dem Fachmann benutzt werden, um in effizienter Weise den Inhalt ihrer Arbeit anderen Fachleuten zu vermitteln. In dem hierin verwendeten Sinne und wie dieser Begriff allgemein verwendet ist, ist er als ein selbstkonsistenter Ablauf von Schritten, die zu einem gewünschten Ergebnis führen, zu verstehen. Die Schritte sind jene, die physikalische Manipulationen an physikalischen Größen erfordern. Für gewöhnlich, ohne dass dies notwendig ist, nehmen diese Größen die Form optischer, elektrischer oder magnetischer Signale an, die gespeichert, übertragen, kombiniert, verglichen und anderweitig manipuliert werden können. Es hat sich gelegentlich als bequem erwiesen, im Prinzip aus Gründen der gemeinsamen Nutzung, diese Signale als Bits, Werte, Elemente, Symbole, Zeichen, Tenne, Zahlen oder dergleichen zu bezeichnen.
  • Es sollte jedoch beachtet werden, dass alle diese und ähnliche Begriffe mit den entsprechenden physikalischen Größen verknüpft werden sollten und lediglich bequeme Namen sind, die diesen Größen verliehen sind. Sofern dies nicht anderweitig dargestellt ist oder es aus der Erläuterung deutlich wird, bezeichnen Begriffe wie „Bearbeiten" oder „Berechnen" oder „Ermitteln" oder „Bestimmen" oder „Darstellen" oder dergleichen die Aktionen und Prozesse eines Computersystems oder einer ähnlichen elektronischen Recheneinheit, die Daten manipuliert, die als physikalische, elektronische Größen innerhalb der Register und Speicher des Computersystems dargestellt sind, und diese in andere Daten umwandelt, die in ähnlicher Weise als physikalische Größen innerhalb der Speicher oder Register des Computersystems oder anderer derartiger Informationsspeicher, Übertragungs- oder Anzeigeeinrichtungen dargestellt sind.
  • Die Anlagen 3030 bis 3080 sind in Gruppen gleicher Anlagen eingeteilt, wie dies gekennzeichnet ist. Eine spezielle Scheibe oder ein Los aus Scheiben durchläuft die Anlagen 3030 bis 3080, wenn diese bearbeitet werden, wobei jede Anlage 3030 bis 3080 eine spezielle Funktion in dem Prozessablauf ausführt. Zu beispielhaften Prozessanlagen 3030 bis 3080 gehören Photolithographieeinzelbildbelichter, Ätzanlagen, Abscheideanlagen, Polieranlagen, Anlagen für die schnelle thermische Bearbeitung, Ionenimplantationsanlagen und dergleichen. Einige der Anlagen 3030 bis 3080 können auch messtechnische Anlagen sein, die ausgebildet sind, Eigenschaften (beispielsweise Oberflächenprofile) der bearbeiteten Scheiben zu messen. In der dargestellten Ausführungsform repräsentieren die Gruppe aus Anlagen 3030a bis 3030c Ätzanlagen und die Gruppe der Anlagen 3070a bis 3070c repräsentiert Polieranlagen. Typischerweise ändert sich der Weg einer speziellen Scheibe oder eines Loses in dem Prozessablauf. Der Prozesssteuerungsserver 3090 lenkt die einzelnen Lose durch den Prozessablauf in Abhängigkeit von den Schritten, die ausgeführt werden müssen und der Verfügbarkeit der Anlagen 3030 bis 3080. Ein spezielles Los aus Scheiben muss u.U. mehr als ein mal während der Produktion durch die gleiche Anlage 3030 bis 3080 hindurchlaufen (beispielsweise eine spezielle Ätzanlage 3030 kann für mehr als einen Ätzvorgang benutzt werden).
  • Die Anlagen 3030 bis 3080 sind lediglich für anschauliche Zwecke in einer entsprechenden Rang- und Typengruppierung dargestellt. In einer tatsächlichen Implementierung können die Anlagen in einer beliebigen Ordnung und Gruppierung angeordnet sein. Ferner sollen die Verbindungen zwischen den Anlagen in einer speziellen Gruppe lediglich Verbindungen zu dem Netzwerk 3020 anstatt Verbindungen zwischen den Anlagen repräsentieren.
  • Der Prozesssteuerungsserver 3090 steuert den Weg eines speziellen Scheibenloses durch die Anlagen 3030 bis 3080. Basierend auf Prozessdaten überwacht der Steuerungsserver 3090 die Betriebszustände der Anlagen 3030 bis 3080. Zu den Prozessdaten können prozessvorgeschaltete und prozessnachgeschaltete Messdaten von Scheiben gehören, die durch die Anlagen 3030 bis 3080 laufen. Wenn beispielsweise eine spezielle Polieranlage, z. B. 70A, in einem Zustand arbeitet, der das Scheibenzentrum schneller poliert, erkennt der Prozesssteuerungsserver 3090 diese Tendenz. Der Prozesssteuerungsserver 3090 kann ferner die Betriebszustände der anderen Anlagen, etwa der Ätzanlagen 3030 überwachen, um zu Bestimmen, ob der aktuelle Zustand der Ätzanlage ein beschleunigtes oder verlangsamtes Ätzen in der Scheibenmitte darstellt.
  • Der Prozesssteuerungsserver 3090 kann prozessvorgeschaltete und/oder prozessnachgeschaltete Messdatenereignisse nach Bedarf veranlassen, um die Betriebszustände der Anlagen 3030 bis 3080 zu bestimmen. Die Daten der Messereignisse können an den Prozesssteuerungsserver 3090 (oder eine Rechnerquelle in dem Netzwerk 3020) zurückgespeist und analysiert werden. Alternativ kann der Prozesssteuerungsserver 3090 auf Prozessdaten zugreifen, die bereits in dem Datenspeicher 30110 gesammelt und gespeichert sind. Beispielsweise können prozessvorgeschaltete und prozessnachgeschaltete Messdaten für diverse Anlagen gewonnen worden sein, um statistische Daten für die Prozesssteuerung und/oder die Fehlererkennung zu erzeugen.
  • Der Prozessteuerungsserver 3090 bewertet die aktuellen Betriebszustände der Anlagen 3030 bis 3080, indem er die spezielle Route eines Loses aus Scheiben durch den Prozessablauf des Herstellungssystems 3010 bestimmt. Beispielsweise wird vor dem Ausführen eines Polierprozesses an einem speziellen Los die Prozesssteuerung 3090 zunächst das Oberflächenprofil (z. B. schalenförmig oder kuppelförmig) der Scheiben in dem Los bestimmt. Der Prozesssteuerungsserver 3090 kann dann ein Messereignis initiieren, um das Oberflächenprofil zu bestimmen oder um auf den Datenspeicher 30110 für die Informationen zuzugreifen. Nach dem Bestimmen des anfänglichen Oberflächenprofils bewertet der Prozesssteuerungsserver 3090 die aktuellen Betriebszustände der Polieranlagen 3070A bis 3070C, um zu bestimmen, welche Anlage oder Anlagen eine Tendenz aufweisen, in einer zu dem anfänglichen Oberflächenprofil komplementären Art und Weise zu arbeiten. Wenn das anfängliche Oberflächenprofil schüsselförmig ist, wählt der Prozesssteuerungsserver 3090 eine Polieranlage 3070A bis 3070C aus, die einen Zustand mit geringerer Ätzrate in der Mitte aufweist. Wenn das anfängliche Oberflächenprofil kuppelförmig ist, wählt der Prozesssteuerungsserver 3090 eine Polieranlage 3070A bis 3070C aus, die in einem Zustand mit höherer Ätzrate im Scheibenzentrum arbeitet.
  • Ein ähnliches Vorgehen kann auf einen Ätzprozess angewendet werden. Der Prozesssteuerungsserver 3090 wählt die spezielle Ätzanlage 3030A bis 3030C mit einem Betriebszustand, der komplementär zu dem anfänglichen Oberflächenprofil ist, aus. Wenn das anfängliche Oberflächenprofil schüsselförmig ist, wählt der Prozesssteuerungsserver 3090 eine Ätzanlage 3030A bis 3030C aus, die im Scheibenzentrum langsamer ätzt. Wenn das anfängliche Oberflächenprofil kuppelförmig ist, wählt der Prozesssteuerungsserver 3090 eine Ätzanlage 3030A bis 3030C aus, die in einem Zustand mit höherer Ätzrate in der Scheibenmitte arbeitet.
  • Jede der zuvor dargelegten Ausführungsformen eines Verfahrens gemäß der vorliegenden Erfindung ermöglicht die Anwendung von parametrischen Messungen, die von Messanlagen gesendet werden, um überwachende Prozessjustierungen manuell oder automatisch vorzunehmen, um damit die Ausbeute zu verbessern und/oder besser zu steuern. Ferner stellen viele der zuvor offenbarten Ausführungsformen eines Verfahrens für die Fertigung gemäß der vorliegenden Erfindung eine signifikante Verbesserung für das Abtastverfahren bereit, indem das Abtasten als ein integraler Bestandteil der dynamischen Steuerungsumgebung von fortschrittlichen Prozesssteuerungs-(APC) Systemen behandelt wird. Anstatt eine statische „optimale" Abtastrate anzuwenden, wird das Abtasten selbst als eine dynamische Variable behandelt, die auf der Grundlage von (1) situationsbedingter Information, etwa dem Betrag und/oder der Geschwindigkeit der Änderung der Variation aktueller Daten (2) von Ereignissen, etwa Wartungsereignissen und/oder Änderungen im Prozess vor dem betrachteten Vorgang, und/oder (3) auf Erfordernissen Einzeldurchlaufsteuerungen mit geschlossener Schleife in ihren Schemata, um Steuerungsmodellparameter zu erkennen, erhöht oder verringert werden kann. Ferner ermöglicht jede der zuvor dargelegten Ausführungsformen eines Fertigungsverfahrens gemäß der vorliegenden Erfindung eine Halbleiterbauteilherstellung mit erhöhter Bauteilgenauigkeit und Präzision, verbesserter Effizienz und erhöhter Bauteilausbeute, wodurch ein zügiger und vereinfachter Prozessablauf er möglicht wird, wodurch die Komplexität verringert und die Kosten des Herstellungsprozesses reduziert und der Durchsatz erhöht wird.
  • Die speziellen zuvor dargelegten Ausführungsformen sind lediglich anschaulicher Natur, da die Erfindung auf unterschiedliche aber äquivalente Weisen, wie diese dem Fachmann im Besitze der Lehren hierin offenkundig wird, modifiziert und praktiziert werden kann. Ferner sind keine Einschränkungen hinsichtlich der Details des Aufbaus oder der Gestaltung, wie sie hierin gezeigt sind, beabsichtigt, sofern sie nicht in den Patentansprüchen beschrieben sind. Es ist daher offensichtlich, dass die speziellen zuvor dargelegten Ausführungsformen geändert und modifiziert werden können, und dass alle derartigen Varianten als innerhalb des Grundgedankens des Schutzbereichs der vorliegenden Erfindung liegend betrachtet werden. Insbesondere ist jeder Wertebereich (der Form „von ungefähr A bis ungefähr B" oder äquivalent „von ungefähr A bis B, „oder äquivalent„ von ungefähr A–B) wie er hierin offenbart ist, so zu verstehen, als dass dieser sich auf die Mächtigkeit bezieht, die Menge aller Untermengen, der entsprechenden Bereiche von Werten im Sinne von Georg Cantor. Der angestrebt Schutzbereich ist daher in den folgenden Patentansprüchen aufgeführt.

Claims (10)

  1. Verfahren mit: Abfragen (110) mindestens eines Parameters, der für eine an einem Werkstück in mindestens einem Bearbeitungsschritt (105) ausgeführte Bearbeitung charakteristisch ist; Modellieren des mindestens einen abgefragten charakteristischen Parameters unter Anwendung eines adaptiven Abfrageprozessmodells (130), Behandeln des Abfragens als einen integralen Teil einer dynamischen Steuerungsumgebung, Variieren des Abfragens auf der Grundlage situationsbezogener Informationen und/oder vorhergehender Ereignisse und/oder Anforderungen von Einzeldurchlaufsteuerungen; und Anwenden des adaptiven Abfrageprozessmodells (130), um die Bearbeitung, die in dem mindestens einen Bearbeitungsschritt (105) ausgeführt wird, zu modifizieren (135, 155, 160).
  2. Verfahren nach Anspruch 1, wobei Abfragen (110) des mindestens einen Parameters, der für eine an dem Werkstück in mindestens einem Bearbeitungsschritt (105) ausgeführte Bearbeitung charakteristisch ist, das Überwachen (110) des mindestens einen charakteristischen Parameters unter Anwendung eines fortschrittlichen Prozesssteurungs-(APC) Systems (120) umfasst.
  3. Verfahren nach Anspruch 2, wobei Überwachen (110) des mindestens einen charakteristischen Parameters unter Anwendung des fortschrittlichen Prozesssteuerungs-(APC) Systems (120) das Verwenden des fortschrittlichen Prozesssteuerungs-(APC) Systems (120) zur Überwachung mindestens einer Anlagenvariablen (110) einer Prozessanlage während des mindestens einen Bearbeitungsschritts (105) umfasst.
  4. Verfahren nach Anspruch 1, 2 oder 3, wobei das Modellieren des mindestens einen abgefragten charakteristischen Parameters unter Anwendung des adaptiven Abfragepro zessmodells (130) umfasst: Anwenden eines adaptiven Abfrageprozessmodells (130), das eine Modellvorhersagekontroll- (MPC) Steuerung und/oder eine Proportional/Integral/Differenzial- (PID) Steuerung mit mindestens einem Einstellparameter beinhaltet.
  5. Verfahren nach Anspruch 4, wobei das Anwenden des adaptiven Abfrageprozessmodells (130), das die Modellvorhersagekontroll- (MPC) Steuerung und/oder die Proportional/Integral/Differenzial- (PID) Steuerung mit mindestens einem Einstellparameter beinhaltet, umfasst: Anwenden des adaptiven Abfrageprozessmodells (130), das eine Modellvorhersagekontroll- (MPC) Steuerung mit geschlossener Schleife und/oder eine Proportional/Integral/Differenzial- (PID) Steuerung mit geschlossener Schleife mit dem mindestens einen Einstellparameter beinhaltet.
  6. Verfahren nach Anspruch 4 oder 5, wobei das Anwenden des adaptiven Abfrageprozessmodells (130) zum Modifizieren (135, 155, 160) des mit dem mindestens einen Bearbeitungsschritt (105) ausgeführten Bearbeitens umfasst: Einstellen (145, 150) des mindestens einen Einstellparameters, um die in dem mindestens einen Bearbeitungsschritt (105) ausgeführte Bearbeitung zu verbessern (155).
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei die situationsbedingten Informationen einen Betrag der Variation vorliegender Daten und/oder eine Änderungsgeschwindigkeit der Variation vorliegender Daten aufweisen, wobei die vohergehenden Ereignisse eine Wartung in einem vohergehenden Prozess und/oder Änderungen in dem vorhergehenden Prozess enthalten, und wobei die Anforderungen von Einzeldurchlaufsteuerungen darauf abzielen, Steuerungsmodellparameter zu identifizieren.
  8. System mit: einer Anlage zum Abfragen (110) mindestens eines Parameters, der für eine an einem Werkstück in mindestens einem Bearbeitungsschritt (105) ausgeführte Bearbeitung charakteristisch ist; einem Computer zum Modellieren des mindestens einen abgefragten charakteristischen Parameters unter Anwendung eines adaptiven Abfrageprozessmodells (130), zum Behan deln des Abfragens als einen integralen Teil einer dynamischen Steuerungsumgebung, zum Variieren des Abfragens auf der Grundlage von situationsbedingten Informationen und/oder im Produktionsablauf zuvor aufgetretener Ereignisse und/oder Anforderungen von Einzeldurchlaufsteuerungen; und einer Steuerung zum Anwenden des adaptiven Abfrageprozessmodells (130), um die in dem mindestens einen Bearbeitungsschritt (105) durchgeführte Bearbeitung zu modifizieren.
  9. System nach Anspruch 8, wobei die Anlage zum Abfragen des mindestens einen Parameters, der für die in dem mindestens einen Bearbeitungsschritt (105) durchgeführte Bearbeitung charakteristisch ist, einen Monitor aufweist, um den mindestens einen charakteristischen Parameter unter Anwendung eines fortschrittlichen Prozesssteuerungs- (APC) Systems (120) zu überwachen (110).
  10. Das System nach Anspruch 8 oder 9, wobei der Computer zum Modellieren des mindestens einen abgefragten charakteristischen Parameters ein adaptives Abfrageprozessmodell (130) anwendet, das eine Modellvorhersagekontroll- (MPC) Steuerung und/oder eine Proportional/Integral/Differenzial- (PID) Steuerung mit mindestens einem Einstellparameter enthält, wobei die Steuerung das adaptive Abfrageprozessmodell (130) zum Modifizieren der in dem mindestens einen Bearbeitungsschritt (105) ausgeführten Bearbeitung anwendet, um den mindestens einen Einstellparameter einzustellen, um die in dem mindestens einen Bearbeitungsschritt (105) ausgeführte Bearbeitung zu verbessern.
DE60104705T 2000-09-15 2001-09-07 Verbesserte regelung mit adaptives abtastverfahren zur halbleiterherstellung Expired - Lifetime DE60104705T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US66373200A 2000-09-15 2000-09-15
US663732 2000-09-15
PCT/US2001/028003 WO2002023289A2 (en) 2000-09-15 2001-09-07 Adaptive sampling method for improved control in semiconductor manufacturing

Publications (2)

Publication Number Publication Date
DE60104705D1 DE60104705D1 (de) 2004-09-09
DE60104705T2 true DE60104705T2 (de) 2005-09-15

Family

ID=24663051

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60104705T Expired - Lifetime DE60104705T2 (de) 2000-09-15 2001-09-07 Verbesserte regelung mit adaptives abtastverfahren zur halbleiterherstellung

Country Status (9)

Country Link
US (1) US6988017B2 (de)
EP (1) EP1317694B1 (de)
JP (1) JP2004509407A (de)
KR (1) KR100824443B1 (de)
CN (1) CN1186700C (de)
AU (1) AU2001288856A1 (de)
DE (1) DE60104705T2 (de)
TW (1) TW563218B (de)
WO (1) WO2002023289A2 (de)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005046972A1 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung einer Kombination aus gewichteten relativen Voreinstellungswerten
US7738986B2 (en) 2006-10-09 2010-06-15 GlobalFoundries, Inc. Method and apparatus for compensating metrology data for site bias prior to filtering
US7840298B2 (en) 2005-06-30 2010-11-23 Advanced Micro Devices, Inc. Method and system for advanced process control using measurement uncertainty as control input

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8510476B2 (en) * 2001-02-15 2013-08-13 Brooks Automation, Inc. Secure remote diagnostic customer support network
US7698012B2 (en) * 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US7402257B1 (en) * 2002-07-30 2008-07-22 Advanced Micro Devices, Inc. Plasma state monitoring to control etching processes and across-wafer uniformity, and system for performing same
US7376472B2 (en) * 2002-09-11 2008-05-20 Fisher-Rosemount Systems, Inc. Integrated model predictive control and optimization within a process control system
US7295954B2 (en) * 2002-09-26 2007-11-13 Lam Research Corporation Expert knowledge methods and systems for data analysis
US8017411B2 (en) * 2002-12-18 2011-09-13 GlobalFoundries, Inc. Dynamic adaptive sampling rate for model prediction
US7653515B2 (en) * 2002-12-20 2010-01-26 Lam Research Corporation Expert knowledge methods and systems for data analysis
US6766214B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Adjusting a sampling rate based on state estimation results
CN100419983C (zh) * 2003-05-16 2008-09-17 东京毅力科创株式会社 处理系统健康指数及其使用方法
US6988045B2 (en) 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
US7328126B2 (en) 2003-09-12 2008-02-05 Tokyo Electron Limited Method and system of diagnosing a processing system using adaptive multivariate analysis
DE10345626A1 (de) * 2003-09-29 2005-05-12 Heidenhain Gmbh Dr Johannes Numerische Steuerung mit Werkzeugmaschinensimulator
US7187989B2 (en) * 2003-12-22 2007-03-06 Fakhruddin T Attarwala Use of core process models in model predictive controller
US7473566B1 (en) * 2004-02-03 2009-01-06 Advanced Micro Devices, Inc. Method and apparatus for controlling a film formation process with multiple objectives
US7203554B2 (en) * 2004-03-16 2007-04-10 United Technologies Corporation Model predictive controller with life extending control
US7127358B2 (en) * 2004-03-30 2006-10-24 Tokyo Electron Limited Method and system for run-to-run control
US7203555B2 (en) * 2004-05-14 2007-04-10 University Of Delaware Predictive regulatory controller
US7502715B1 (en) * 2004-09-21 2009-03-10 Asml Netherlands B.V Observability in metrology measurements
US7076321B2 (en) * 2004-10-05 2006-07-11 Advanced Micro Devices, Inc. Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
US8676538B2 (en) * 2004-11-02 2014-03-18 Advanced Micro Devices, Inc. Adjusting weighting of a parameter relating to fault detection based on a detected fault
US8095240B2 (en) * 2004-11-18 2012-01-10 Applied Materials, Inc. Methods for starting and operating a thermal abatement system
US7682574B2 (en) * 2004-11-18 2010-03-23 Applied Materials, Inc. Safety, monitoring and control features for thermal abatement reactor
US7477960B2 (en) * 2005-02-16 2009-01-13 Tokyo Electron Limited Fault detection and classification (FDC) using a run-to-run controller
EP1853982B1 (de) * 2005-02-28 2008-08-20 Siemens Aktiengesellschaft Verfahren zum elektronischen betreiben einer werkzeugmaschine
US7117059B1 (en) * 2005-04-18 2006-10-03 Promos Technologies Inc. Run-to-run control system and operating method of the same
US7299154B1 (en) * 2005-05-16 2007-11-20 Advanced Micro Devices, Inc. Method and apparatus for fast disturbance detection and classification
DE102005024915B4 (de) 2005-05-31 2016-09-15 Advanced Micro Devices, Inc. Verfahren und System für eine fortschrittliche Prozesssteuerung mit anlagenabhängigen Maschinenkonstanten
DE102005035735B4 (de) * 2005-07-29 2007-08-16 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Abschätzen eines Zustands einer nicht initialisierten fortschrittlichen Prozesssteuerung durch Anwendung unterteilter Steuerungsdaten
KR100702843B1 (ko) * 2005-08-12 2007-04-03 삼성전자주식회사 로트가변 배치처리가 가능한 반도체 제조설비 및 그로트가변 배치처리방법
US8036760B2 (en) * 2005-10-04 2011-10-11 Fisher-Rosemount Systems, Inc. Method and apparatus for intelligent control and monitoring in a process control system
US20070088448A1 (en) * 2005-10-19 2007-04-19 Honeywell International Inc. Predictive correlation model system
US7200523B1 (en) * 2005-11-30 2007-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for filtering statistical process data to enhance process performance
US20080042830A1 (en) * 2005-12-30 2008-02-21 Skyetek, Inc. Virtual rfid-based tag sensor
JP4791840B2 (ja) * 2006-02-06 2011-10-12 株式会社日立ハイテクノロジーズ 荷電粒子線装置、走査電子顕微鏡、および試料検査方法
JP4825530B2 (ja) * 2006-02-06 2011-11-30 株式会社日立ハイテクノロジーズ パターン欠陥検査方法および装置
US20070256704A1 (en) * 2006-03-16 2007-11-08 Peter Porshnev Method and apparatus for improved operation of an abatement system
US7577483B2 (en) * 2006-05-25 2009-08-18 Honeywell Asca Inc. Automatic tuning method for multivariable model predictive controllers
US8005575B2 (en) 2006-06-01 2011-08-23 General Electric Company Methods and apparatus for model predictive control in a real time controller
GB2438893B (en) * 2006-06-09 2010-10-27 Applied Materials Inc Ion beams in an ion implanter
US7373215B2 (en) * 2006-08-31 2008-05-13 Advanced Micro Devices, Inc. Transistor gate shape metrology using multiple data sources
US7580768B2 (en) * 2006-09-22 2009-08-25 Texas Instruments Deutschland Gmbh Method of adjusting process variables in a processing flow
US7509186B2 (en) * 2006-11-07 2009-03-24 International Business Machines Corporation Method and system for reducing the variation in film thickness on a plurality of semiconductor wafers having multiple deposition paths in a semiconductor manufacturing process
DE102006059430A1 (de) * 2006-12-15 2008-06-19 Robert Bosch Gmbh Automatisierte Erstellung und Adaption eines Maschinen- oder Anlagenmodells
US7991499B2 (en) * 2006-12-27 2011-08-02 Molnar Charles J Advanced finishing control
US7716230B2 (en) * 2007-02-07 2010-05-11 International Business Machines Corporation Multi-dimensional serial containment process
US8244644B2 (en) * 2007-02-07 2012-08-14 International Business Machines Corporation Supply chain multi-dimensional serial containment process
US20080216077A1 (en) * 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US8145337B2 (en) * 2007-05-04 2012-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology to enable wafer result prediction of semiconductor wafer batch processing equipment
EP2153363A4 (de) * 2007-05-25 2013-02-27 Applied Materials Inc Verfahren und vorrichtungen zum zusammenbauen und betreiben von elektronischen bauelementeherstellungssystemen
WO2008147524A1 (en) * 2007-05-25 2008-12-04 Applied Materials, Inc. Methods and apparatus for efficient operation of an abatement system
US20090018688A1 (en) * 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
US20090012744A1 (en) * 2007-07-03 2009-01-08 Texas Instruments Incorporated System and Method for Statistically Evaluating the Operation of Integrated Circuit Fabrication Tools
US8095907B2 (en) * 2007-10-19 2012-01-10 International Business Machines Corporation Reliability evaluation and system fail warning methods using on chip parametric monitors
JP2011501102A (ja) * 2007-10-26 2011-01-06 アプライド マテリアルズ インコーポレイテッド 改良された燃料回路を使用した高性能な除害の方法及び装置
DE102008000038A1 (de) * 2008-01-11 2009-07-16 Robert Bosch Gmbh Vorrichtung
US7622308B2 (en) * 2008-03-07 2009-11-24 Mks Instruments, Inc. Process control using process data and yield data
US8271122B2 (en) 2008-03-07 2012-09-18 Mks Instruments, Inc. Process control using process data and yield data
US8355810B2 (en) * 2009-01-29 2013-01-15 Applied Materials, Inc. Method and system for estimating context offsets for run-to-run control in a semiconductor fabrication facility
US8229588B2 (en) * 2009-03-03 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for tuning advanced process control parameters
US8433434B2 (en) * 2009-07-09 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Near non-adaptive virtual metrology and chamber control
US8620461B2 (en) * 2009-09-24 2013-12-31 Honeywell International, Inc. Method and system for updating tuning parameters of a controller
JP5547472B2 (ja) * 2009-12-28 2014-07-16 株式会社荏原製作所 基板研磨装置、基板研磨方法、及び基板研磨装置の研磨パッド面温調装置
EP2365410B1 (de) * 2010-03-09 2018-06-27 Siemens Aktiengesellschaft Steuerung eines Herstellungsverfahrens
US8606386B2 (en) * 2010-03-12 2013-12-10 Ana Maria Dias Medureira Pereira Multi-agent system for distributed manufacturing scheduling with Genetic Algorithms and Tabu Search
US9026239B2 (en) * 2010-06-03 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. APC model extension using existing APC models
US10295993B2 (en) * 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
US9677493B2 (en) 2011-09-19 2017-06-13 Honeywell Spol, S.R.O. Coordinated engine and emissions control system
US20130111905A1 (en) 2011-11-04 2013-05-09 Honeywell Spol. S.R.O. Integrated optimization and control of an engine and aftertreatment system
US9650934B2 (en) 2011-11-04 2017-05-16 Honeywell spol.s.r.o. Engine and aftertreatment optimization system
US9002498B2 (en) * 2012-02-02 2015-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Tool function to improve fab process in semiconductor manufacturing
CN102540895B (zh) * 2012-02-28 2015-04-15 中国科学院微电子研究所 一种先进过程控制系统及其测试方法
US9158867B2 (en) * 2012-10-09 2015-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. 2D/3D analysis for abnormal tools and stages diagnosis
US9292010B2 (en) * 2012-11-05 2016-03-22 Rockwell Automation Technologies, Inc. Online integration of model-based optimization and model-less control
US9187800B2 (en) * 2013-02-15 2015-11-17 Ford Motor Company Process control for post-form heat treating parts for an assembly operation
US9395708B2 (en) * 2013-03-11 2016-07-19 Johnson Controls Technology Company Systems and methods for adaptive sampling rate adjustment
US10324424B2 (en) 2013-03-11 2019-06-18 Johnson Controls Technology Company Control system with response time estimation and automatic operating parameter adjustment
US9282048B1 (en) 2013-03-14 2016-03-08 Moat, Inc. System and method for dynamically controlling sample rates and data flow in a networked measurement system by dynamic determination of statistical significance
US9245067B2 (en) 2013-03-15 2016-01-26 General Electric Company Probabilistic method and system for testing a material
US9567660B2 (en) 2013-06-27 2017-02-14 Ford Global Technologies, Llc Method and system for using an irreversible thermo-chromatic indicator for quality assurance of a part subjected to heat treating
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
US10576603B2 (en) * 2014-04-22 2020-03-03 Kla-Tencor Corporation Patterned wafer geometry measurements for semiconductor process controls
US9733627B2 (en) 2014-08-13 2017-08-15 Honeywell International Inc. Cloud computing system and method for advanced process control
US10133263B1 (en) 2014-08-18 2018-11-20 Kla-Tencor Corporation Process condition based dynamic defect inspection
EP3051367B1 (de) 2015-01-28 2020-11-25 Honeywell spol s.r.o. Ansatz und system zur handhabung von einschränkungen für gemessene störungen mit unsicherer vorschau
EP3056706A1 (de) 2015-02-16 2016-08-17 Honeywell International Inc. Ansatz zur nachbehandlungssystemmodellierung und modellidentifizierung
US20170139077A1 (en) * 2015-03-17 2017-05-18 Halliburton Energy Services, Inc Optimization of Downhole Logging Tool Data Resolution
US10551247B1 (en) * 2015-04-27 2020-02-04 National Technology & Engineering Solutions Of Sandia, Llc Global analysis peak fitting for chemical spectroscopy data
EP3091212A1 (de) 2015-05-06 2016-11-09 Honeywell International Inc. Identifikationsansatz für verbrennungsmotor-mittelwertmodelle
TWI539298B (zh) * 2015-05-27 2016-06-21 國立成功大學 具取樣率決定機制的量測抽樣方法 與其電腦程式產品
EP3125052B1 (de) 2015-07-31 2020-09-02 Garrett Transportation I Inc. Quadratischer programmlöser für mpc mit variabler anordnung
US10272779B2 (en) 2015-08-05 2019-04-30 Garrett Transportation I Inc. System and approach for dynamic vehicle speed optimization
US10359371B2 (en) 2015-08-24 2019-07-23 Kla-Tencor Corp. Determining one or more characteristics of a pattern of interest on a specimen
US10415492B2 (en) 2016-01-29 2019-09-17 Garrett Transportation I Inc. Engine system with inferential sensor
JP6647931B2 (ja) * 2016-03-16 2020-02-14 株式会社Kelk 半導体ウェーハの温度制御装置、および半導体ウェーハの温度制御方法
US10036338B2 (en) 2016-04-26 2018-07-31 Honeywell International Inc. Condition-based powertrain control system
US10124750B2 (en) 2016-04-26 2018-11-13 Honeywell International Inc. Vehicle security module system
CN106294126B (zh) * 2016-07-22 2019-01-04 上海华力微电子有限公司 Sen离子注入机台的自动化程式正确性管理方法及装置
EP3548729B1 (de) 2016-11-29 2023-02-22 Garrett Transportation I Inc. Inferenzflusssensor
US10868857B2 (en) 2017-04-21 2020-12-15 Johnson Controls Technology Company Building management system with distributed data collection and gateway services
US10333810B2 (en) 2017-06-09 2019-06-25 Johnson Controls Technology Company Control system with asynchronous wireless data transmission
US10739028B2 (en) 2017-06-09 2020-08-11 Johnson Controls Technology Company Thermostat with efficient wireless data transmission
CN107546998B (zh) * 2017-07-25 2019-12-10 华南理工大学 一种基于双环预测控制的切换型控制方法
US11397171B2 (en) 2017-09-18 2022-07-26 Ecolab Usa Inc. Adaptive range flow titration systems and methods with sample conditioning
US11057213B2 (en) 2017-10-13 2021-07-06 Garrett Transportation I, Inc. Authentication system for electronic control unit on a bus
JP7035457B2 (ja) * 2017-11-01 2022-03-15 ブラザー工業株式会社 パラメータ更新方法、パラメータ更新システム、及びプログラム
CN108008695A (zh) * 2017-12-05 2018-05-08 鄂州职业大学 一种智能模具制造的数控加工方法及控制系统
CN110246775B (zh) * 2018-03-09 2022-05-03 联华电子股份有限公司 控制机台操作的装置与方法
US11113168B2 (en) * 2018-03-09 2021-09-07 Toyota Motor Engineering & Manufacturing North America, Inc. Distributed architecture for fault monitoring
CA3096538A1 (en) 2018-04-09 2019-10-17 Ecolab Usa Inc. Methods for colorimetric endpoint detection and multiple analyte titration systems
US11397170B2 (en) * 2018-04-16 2022-07-26 Ecolab Usa Inc. Repetition time interval adjustment in adaptive range titration systems and methods
EP3611570A1 (de) * 2018-08-16 2020-02-19 ASML Netherlands B.V. Verfahren zur steuerung eines herstellungsverfahrens und zugehörige vorrichtungen
US10700605B1 (en) 2018-12-12 2020-06-30 Infineon Technologies Austria Ag Electrical power converter with predictor
CN112513758A (zh) 2019-06-25 2021-03-16 东芝三菱电机产业系统株式会社 数据收集装置、成套设备监视系统以及数据收集方法
CN114930514A (zh) 2019-11-01 2022-08-19 玛特森技术公司 用于热处理系统的自适应控制的控制系统
TWI728576B (zh) * 2019-11-27 2021-05-21 台灣積體電路製造股份有限公司 半導體結構的製造方法及電腦可讀取記錄媒體
US11429091B2 (en) 2020-10-29 2022-08-30 Kla Corporation Method of manufacturing a semiconductor device and process control system for a semiconductor manufacturing assembly

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3777128A (en) * 1972-03-31 1973-12-04 Kearney & Trecker Corp Input data sampling scheme for computer controlled machine tools
US5740033A (en) * 1992-10-13 1998-04-14 The Dow Chemical Company Model predictive controller
JP3293680B2 (ja) * 1993-03-08 2002-06-17 中部電力株式会社 火力発電用ボイラの離散時間モデル規範形適応蒸気温度制御装置
US5519605A (en) * 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
US5896294A (en) * 1997-03-11 1999-04-20 Advanced Micro Devices, Inc. Method and apparatus for inspecting manufactured products for defects in response to in-situ monitoring
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6161054A (en) * 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
JPH11272305A (ja) * 1998-03-23 1999-10-08 Toshiba Corp プラント制御装置
US6248602B1 (en) * 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6337217B1 (en) * 2000-02-14 2002-01-08 Advanced Micro Devices, Inc. Method and apparatus for improved focus in optical processing
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7840298B2 (en) 2005-06-30 2010-11-23 Advanced Micro Devices, Inc. Method and system for advanced process control using measurement uncertainty as control input
DE102005046972A1 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung einer Kombination aus gewichteten relativen Voreinstellungswerten
US7738986B2 (en) 2006-10-09 2010-06-15 GlobalFoundries, Inc. Method and apparatus for compensating metrology data for site bias prior to filtering

Also Published As

Publication number Publication date
DE60104705D1 (de) 2004-09-09
US20050221514A1 (en) 2005-10-06
WO2002023289A2 (en) 2002-03-21
CN1186700C (zh) 2005-01-26
EP1317694A2 (de) 2003-06-11
WO2002023289A3 (en) 2002-08-29
JP2004509407A (ja) 2004-03-25
EP1317694B1 (de) 2004-08-04
KR100824443B1 (ko) 2008-04-23
US6988017B2 (en) 2006-01-17
AU2001288856A1 (en) 2002-03-26
TW563218B (en) 2003-11-21
CN1459052A (zh) 2003-11-26
KR20030036791A (ko) 2003-05-09

Similar Documents

Publication Publication Date Title
DE60104705T2 (de) Verbesserte regelung mit adaptives abtastverfahren zur halbleiterherstellung
DE60207588T2 (de) Zustandsschätzung und einteilung für ein herstellungssystem
DE60220063T2 (de) Integrierung von fehlererkennung mit run-to-run steuerung
DE102009006887B3 (de) Verfahren und System zur Halbleiterprozesssteuerung und Überwachung unter Anwendung eines Datenqualitätsmaßes
DE60307310T2 (de) Wahrscheinlichkeitsbeschränkte optimierung zur steuerung einer produktionslinie
DE112006002918B4 (de) Verfahren, System sowie computerlesbare Programmspeichereinrichtung für eine produktbezogene Rückkopplung für Prozesssteuerung
DE10394223B4 (de) Einstellen einer Probennahmerate auf der Grundlage von Zustandsabschätzungsergebnissen
DE10296328B4 (de) Prozesslinie und Verfahren zum Steuern eines Ätzprozesses
DE60111411T2 (de) Verfahren und gerät zur integrierten prozesssteuerungsstruktur in werkzeugsystemen
KR100727049B1 (ko) 마이크로전자 디바이스들의 제조시 최적의 공정 목표들을결정하는 방법
DE60011143T2 (de) Chipsherstellungssteuerung
DE10393903T5 (de) Dynamische adaptive Abtastrate für Modellvorhersage
DE102008021558A1 (de) Verfahren und System für die Halbleiterprozesssteuerung und Überwachung unter Verwendung von PCA-Modellen mit reduzierter Grösse
DE102005009022A1 (de) Automatisches Durchsatzsteuerungssystem und Verfahren zum Betreiben desselben
DE102017111926A1 (de) Prozesssteuerschaltung und Verfahren zum Steuern einer Bearbeitungsanordnung
DE102005024915A1 (de) Verfahren und System für eine fortschrittliche Prozesssteuerung mit anlagenabhängigen Maschinenkonstanten
DE102006009248B4 (de) Verfahren und System zur Modellierung eines Produktstromes in einer Fertigungsumgebung durch Prozess- und Anlagenkategorisierung
DE112004002106B4 (de) Fehlerkennungs- und Steuerungsverfahren für Ionenimplantationsprozesse, und System zum Ausführen davon
DE112019000022T5 (de) Verfahren zum Kennzeichnen von Substraten auf der Basis von Prozessparametern
DE112007000868T5 (de) Zeitgerichteter gleitender Mittelwertfilter
DE102005030586A1 (de) Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung der Messunsicherheit als Steuerungseingang
KR20140032087A (ko) 반도체 제조라인 관리 방법
DE112018008256B3 (de) Verfahren zum Bestimmen eines Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Parameterfingerabdruck, System und Computerprogrammprodukt
DE112006003514T5 (de) Verbesserte Zustandsabschätzung auf der Grundlage von Informationsglaubwürdigkeit
DE10252605A1 (de) Verfahren, Vorrichtung, computerlesbarer Speicher und Computerprogramm-Element zum rechnergestützten Überwachen und Regeln eines Herstellungsprozesses

Legal Events

Date Code Title Description
8364 No opposition during term of opposition