JP2007531305A - ランtoラン制御の方法とシステム - Google Patents

ランtoラン制御の方法とシステム Download PDF

Info

Publication number
JP2007531305A
JP2007531305A JP2007506150A JP2007506150A JP2007531305A JP 2007531305 A JP2007531305 A JP 2007531305A JP 2007506150 A JP2007506150 A JP 2007506150A JP 2007506150 A JP2007506150 A JP 2007506150A JP 2007531305 A JP2007531305 A JP 2007531305A
Authority
JP
Japan
Prior art keywords
process control
input data
output data
control output
control input
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007506150A
Other languages
English (en)
Other versions
JP2007531305A5 (ja
JP4971132B2 (ja
Inventor
ユー、ホンギュ
ワイズマン、ジョセフ・ウィリアム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007531305A publication Critical patent/JP2007531305A/ja
Publication of JP2007531305A5 publication Critical patent/JP2007531305A5/ja
Application granted granted Critical
Publication of JP4971132B2 publication Critical patent/JP4971132B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q10/00Administration; Management
    • G06Q10/06Resources, workflows, human or project management; Enterprise or organisation planning; Enterprise or organisation modelling
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/041Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators in which a variable is automatically adjusted to optimise the performance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Automation & Control Theory (AREA)
  • Health & Medical Sciences (AREA)
  • Software Systems (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Business, Economics & Management (AREA)
  • Strategic Management (AREA)
  • Human Resources & Organizations (AREA)
  • Entrepreneurship & Innovation (AREA)
  • Economics (AREA)
  • Game Theory and Decision Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Business, Economics & Management (AREA)
  • Tourism & Hospitality (AREA)
  • Quality & Reliability (AREA)
  • Operations Research (AREA)
  • Marketing (AREA)
  • Educational Administration (AREA)
  • Development Economics (AREA)
  • Drying Of Semiconductors (AREA)
  • Feedback Control In General (AREA)

Abstract

【課題】 ランtoラン制御の方法とシステムを提供することである。
【解決手段】 半導体製造に対するランtoランのプロセスを制御する方法およびシステムである。制御の方法は、プロセス制御入力データと、プロセス制御出力データとの間の関係を決めるためにプロセスモデルを利用する。制御の方法は、目標プロセス制御出力データと、新しいプロセス制御入力データにプロセスモデルを適用することによって予測されるプロセス制御出力データとの違いを最小限に抑えることを含む。
【選択図】

Description

本発明は、集積回路(IC)製造のプロセス制御出力データをモニタリングし、調整し、および制御する方法およびシステムに関し、より詳しくは、本発明は、半導体生産工程のプロセス制御出力データをモニタし、調整し、および制御するために多変量解析を利用する方法およびシステムに関する。
半導体またはディスプレイ製造などのプラズマ処理のさまざまな段階に渡って、臨界のプロセスパラメータは、非常に変化し得る。プロセス条件は、好ましくない結果を引き起こす臨界のプロセスパラメータの最もわずかな変化を伴って、時間とともに変化する。小さい変化は、エッチングガスの組成もしくは圧力、処理チャンバ、または基板温度で容易に生じることができる。このように、プラズマ処理設備は、一定のモニタリングを必要とする。たとえ名目上、同じ結果の歩留りが予想される条件下でも、プラズマ処理設備の治具上の例えば絶縁(または伝導)層の堆積現像は、処理設備の電気インピーダンスを変更し、および、それ故、プラズマ設備に結合されるパワーが既存の電気的な構成を変更する。このように、プラズマ密度およびプラズマ均一性は、入力パラメータの整合性にもかかわらず時間とともに(すなわち寿命)変化する。
半導体ICの製造中における、プロセスパラメータのモニタリングおよび制御は、最も高いICプロダクト歩留りおよび品質を取得するために重要である。例えば、エッチング形態の限界寸法(critical dimensions:CD)の正確な制御は、ゲートコンタクトと同様に、層間および同層間の誘電体層を介してIC配線レベルおよび配線構造を形成するために利用されるダマシン構造プロセスにおいて重要である。それでも、必要とされるプロセス制御は、プラズマ処理設備内で生じる上記の変化によって妨げられる。
従来、結果として生じるエッチングプロセスまたは結果として生じるエッチング構造の結果後の(after−the−effect)観測は、プロセスを「修正」しようとして、プロセス制御モデルを変更するための最良の歓迎すべき根拠(guest base)として使われる。プロセスモデルが修正されるとき、プロセス制御へのこのような結果後のアプローチは、プロダクトを浪費する。更に、このような結果後の変更は、プロセスモデルへの変更を予測するために、設計されていない。
集積回路(IC)製造のプロセス制御出力データのモニタリング、調整、制御のための方法およびシステムは、目標変化への予測モデルレスポンス上のプロセスモデルを制御するために、プロセスモデルを変更することが記載される。
本発明のこれらおよび他の目的は、半導体製造システム、同じくプロセス制御入力および出力データを予測し、最適化するグラフィックユーザーインターフェースにおいて、プロセスを制御するための方法とシステム、およびコンピュータ読み取り可能なメディアによって提供される。半導体製造システムのプロセスを制御する方法は、半導体製造システムのプロセスに対してプロセス制御入力データをセットすることと;半導体製造システムのプロセスからプロセス制御出力データを測定することと;プロセスの目標結果に対してプロセス制御出力データと、プロセス制御入力データとの間の関係を決定することと;目標プロセス制御出力データをセットすることと;目標プロセス制御出力データと、予測されたプロセス制御出力データとの間の違いを最小限に抑えることによる新しいプロセス制御入力データを算出することとを含み、前記予測されたプロセス制御出力データは、新しいプロセス制御入力データとの関係を使用して決定される。
半導体製造システムのプロセスを制御するための本発明の1つの実施形態のコントロールシステムは、プロセスを実行するためのプロセスツールに組み合わせられるように構成されたプロセスツールコントローラを含み、そこにおいて、プロセスツールコントローラは、プロセスに対してプロセス制御入力データをセットし、調整するように、および、プロセスの目標結果に目標プロセス制御出力データをセットするように構成されたプロセスレシピコントローラと、プロセスレシピコントローラに組み合わせられ、プロセス制御入力データと、プロセス制御出力データとの間の関係を提供するように構成されたプロセスモデルとを含む。プロセスレシピコントローラは、目標プロセス制御出力データと、新しいプロセス制御入力データを使用して前記関係によって予想されたプロセス制御出力データとの間の違いを最小にすることによって新しいプロセス制御入力データを生成する。
プロセスを実行するための本発明の1つの実施形態の半導体製造システムは、
プロセスを実行するためのプロセスツールと;プロセスツールに組み合わせられたプロセスツールコントローラとを含み、そこにおいて、プロセスツールコントローラは、プロセスに対してプロセス制御入力データをセットし、調整するように、およびプロセスの目標結果に目標プロセス制御出力データをセットするように構成されたプロセスレシピコントローラと、プロセスレシピコントローラに組み合わせられ、プロセス制御入力データと、プロセス制御出力データとの間の関係を提供するように構成されたプロセスモデルとをを包含し、そこにおいて、プロセスレシピコントローラは、新しいプロセス制御入力データを使用して、目標プロセス制御出力データと、前記関係によって予測されたプロセス制御出力データとの間の違いを最小にすることによって、新しいプロセス制御入力データを生成する。
プロセス制御入力データからプロセス制御出力データを予測するプロセスモデルを利用するための本発明の1つの実施形態のグラフィックユーザーインターフェース(GUI)は、GUIの少なくとも1つのデルタフィールドを使用してプロセス制御入力データの少なくとも1つのパラメータに入力変化を入力するための手段と;入力変化を使用してプロセス制御出力データの少なくとも1つのパラメータの出力変化を決定するようにプロセスモデルを実行するための手段と;GUIの出力フィールドの出力変化を示すための手段とを含む。
プロセスモデルを使用して目標プロセス制御出力データを達成するためにプロセス制御入力データを最適化するための本発明の1つの実施形態のグラフィックユーザーインターフェース(GUI)は、プロセス制御出力データの少なくとも1つのパラメータに目標変化を入力するための手段と;目標変化を用いてプロセス制御入力データの少なくとも1つのパラメータに、入力変化を最適化するためにプロセスモデルを実行するための手段と;GUIのデルタフィールドの入力変化を示すための手段とを含む。
いくつかの図を通して参照番号が同一若しくは対応する部品を指定するものでる図面、特にそのうちの図1を参照する。
本発明の1つの実施形態に係る半導体製造システム1は、プロセスツール10と、プロセスコントロールシステム100とを包含して図1に記載される。プロセスコントロールシステム100は、プロセスツール10に組み合わせられ、プロセスレシピコントローラ110と、プロセスモデル150と、プロセスモデル修正フィルタ120と、プロセスモデル補正アルゴリズム130とを含んでいるプロセスツールコントローラ55を具備する。プロセスモデル150は、プロセス制御入力データからプロセス制御出力データを予測するように構成される。加えて、プロセスコントロールシステム100は、プロセスツール10と、プロセスレシピ110とに組み合わせた計測ツール140を更に含むことができる。計測ツール140は、プロセスツール10と、プロセスツールコントローラ55といに組み合わせられることができ、計測ツール140は、プロセスツール10内で処理される基板を受け、プロセス制御出力データを測定するように構成されることができる。
プロセスレシピコントローラ110は、計測ツール140からプロセス制御出力データと、プロセスモデル150から予測されたプロセス制御出力データと、目標プロセス制御出力データとを受けるように構成される。このデータを用いて、プロセスレシピコントローラ110は、目標プロセス制御出力データを達成するためにプロセス制御入力データを調整するように構成される。
さらにまた、加重係数がプロセスモデル修正フィルタ120でセットされるのと同様に、プロセスモデル補正アルゴリズム130も、計測ツール140からプロセス制御出力データを受けるように構成される。現在のプロセス制御入力データを与えられて、プロセスモデル補正アルゴリズム130は、プロセスモデルに修正を決定することができる。プロセスモデル150は、その後、プロセスモデル補正アルゴリズム130に示される修正を使用して更新(アップデート)される。
図1に記載される例示の実施例において、半導体製造システム1は、プラズマを材料処理のために利用する。望ましく、半導体製造システム1は、エッチングチャンバを包含する。代わりとして、半導体製造システム1は、例えばフォトレジストスピンコートシステムのようなフォトレジストコーティングチャンバ;例えば紫外線(UV)リソグラフィシステムのようなフォトレジストパターニングチャンバ;例えばスピンオンガラス(SOG)またはスピンオン誘電体(SOD)システムのような誘電体コーティングチャンバ;例えば化学気相成長(chemical vapor deposition:CVD)システムまたは物理的気相成長(physical vapor deposition:PVD)システムのような堆積チャンバ;例えば熱アニーリングのRTPシステムのような高速熱プロセス(RTP)チャンバ;またはバッチ処理縦型炉を包含する。
図2に示される本発明の図示の実施の形態に係る半導体製造システム1は、プロセスツール10と、被処理基板25が取り付けられる基板ホルダ20と、ガス注入システム40と、真空ポンプシステム58とを有している。基板25は、例えば、半導体基板、ウエーハ、または液晶ディスプレイ(LCD)であることができる。プロセスツール10は、例えば、加熱された電子とイオン化可能なガスとの衝突によりプラズマが形成され、基板25の表面に隣接した処理領域45において、プラズマの発生を容易にするように構成されることができる。イオン化可能なガスまたはガス混合物がガス注入システム40を経て導入され、処理圧力が調整される。望ましくは、所定の材料処理の特有の材料を生成するように、そして基板25への材料の堆積または基板25の曝された面から材料の除去を助けるために、プラズマが利用される。例えば、コントローラ55は、真空排気システム58およびガス注入システム40を制御するように使用されることができる。
基板25は、例えば、ロボット基板移送システムを介して、スロットバルブ(図示せず)およびチャンバフィードスルー(図示せず)を通してプロセスツール10へ、およびプロセスツール10から移送されることができ、ロボット基板移送システムでは、基板25が、基板ホルダ20内に収容された基板リフトピン(図示せず)により受け取られ、この移送システムに収容された装置により機械的に移送される。基板25が基板移送システムから受け取られると、この基板は、基板ホルダ20の上面に降下される。
例えば、基板25は、静電クランプシステム28を介して基板ホルダ20に取り付けられることができる。さらに、基板ホルダ20は、更に、この基板ホルダ20から熱を受け、そして熱を熱交換システム(図示せず)に移送するか、或いは加熱時に、熱を熱交換システムから移送する再循環冷却材の流れを有する冷却系を有することができる。しかも、基板25と基板ホルダ20との間のガスギャップ熱コンダクタンスを改良するために、ガスが、裏面ガスシステム26を経て基板の裏面側に送出されることができる。このようなシステムは、上昇温度または低下温度で基板の温度制御が必要とされるときに、利用されることができる。例えば、基板の温度制御は、プラズマから基板25へ送出される熱束と、伝導により基板25から基板ホルダ20へ取出される熱束との釣り合いにより達成される定常状態温度を上回る温度で有用であることができる。他の実施形態では、抵抗加熱素子のような加熱素子または熱電加熱器/冷却器が備えられることができる。
図2に示されるように、基板ホルダ20は、電極を有しており、この電極を介してRF電力が処理領域45におけるプラズマに結合出力される。例えば、基板ホルダ20は、RF発振器30からインピーダンス整合ネットワーク32を通して基板ホルダ20へのRF電力の伝送によりRF電圧で電気的にバイアスされることができる。RFバイアスは、プラズマを形成して維持するために電子を加熱するのに役立つことができる。この構成では、システムは、チャンバおよび上側ガス注入電極が設置面として役立つ反応性イオンエッチング(RIE)リアクタとして作動することができる。RFバイアスのための代表的な周波数は、1MHzから100MHzまでに及ぶことができ、好ましくは13.56MHzである。
また、RF電力は、多数の周波数で基板ホルダ電極に印加されることができる。更に、インピーダンス整合ネットワーク32は、反射電力を最小にすることにより処理チャンバ10におけるプラズマへのRF電力の移送を最大にするのに役立つ。種々の整合ネットワーク位相幾何学(例えば、L−型、π―型、T−型など)および自動制御方法が利用されることができる。
図2を続けて参照すると、処理ガスは、例えば、ガス注入システム40を通して処理領域45に導入されることができる。処理ガスとしては、例えば、酸化物エッチング用途については、アルゴン、CFおよびO、またはアルゴン、CおよびOのようなガス混合物、または例えば、O/CO/Ar/C、O/CO/Ar/C、O/CO/Ar/C、O/Ar/C、N/Hのような他の化学薬品がある。ガス注入システム40は、シャワーヘッドを有しており、このシャワーヘッドでは、処理ガスは、ガス送出システム(図示せず)からガス注入プレナム(図示せず)、一連のバッフル板(図示せず)およびマルチオリフィスシャワーヘッドガス注入板(図示せず)を通して処理領域45に供給される。
真空ポンプシステム58は、例えば、5000リットル/秒(およびそれ以上)までの排気速度が可能なターボ分子真空ポンプ(TMP)、およびチャンバ圧力を絞るためのゲートバルブを有することができる。ドライプラズマエッチングのために利用される従来のプラズマ処理装置では、1000ないし3000リットル/秒のTMPが一般に用いられている。TMPは、代表的には50mTorr未満の低圧の処理のために有用である。より高い圧力では、TMP排気速度は、劇的に低下する。(例えば、100mTorrより大きい)高圧の処理に対しては、機械的ブースタポンプおよびドライ真空ポンプが使用されることができる。更に、チャンバ圧力を監視するための装置(図示せず)が処理チャンバ16に接続されている。圧力測定装置は、例えば、MKSインストルメント社(アンドーバ、MA)から市販されているタイプ628Bのバラトロン絶対キャパシタンス圧力計であることができる。
加えて、コントロールシステム100は、ツールデータを測定するためにプロセスツールに接続された複数のセンサと、ツールデータを受信するためにセンサに接続され得るプロセスツールコントローラ55とを含むことができる。センサとしては、プロセスツール10に固有であるセンサと、プロセスツール10に固有でないセンサとの両方がある。プロセスツール10に固有であるセンサとしては、ヘリウム裏面ガス圧力、ヘリウム裏面流れ、静電クランピング(ESC)電圧、ESC電流、基板ホルダ20の温度(または下部電極(lower electrode:LEL)の温度)、冷却材の温度、上部電極(upper electrode:UEL)の温度、前方RF電力、反射RF電力、自己誘導DCバイアス、RFピーク間電圧、チャンバ壁部の温度、処理ガスの流量、処理ガスの分圧、チャンバ圧、キャパシタセット(すなわち、CおよびC位置)、フォーカスリングの厚さ、RF時間、フォーカスリングRF時間およびそれらの任意の統計数値の測定のようなプロセスツール10の機能性に関するセンサがある。また、プロセスツール10に固有でないセンサとしては、図2に示されるように処理領域45におけるプラズマから発せられる光を監視するための光検出装置34、または図2に示されるようにプロセスツール10の電気系統を監視するための電気測定装置36のような、プロセスツール10の機能性に直接関係付けされないセンサがある。
光検出装置34は、プラズマから発せられる全光の強さを測定するための(シリコン)フォトダイオードまたは光電子増倍管(PMT)のような検出器を有することができる。光検出装置34は、更に、狭帯域干渉フィルタのような光フィルタを有することができる。別の実施の形態では、光検出装置34は、ラインCCD(電荷結合装置)またはCID(電荷注入装置)アレイ、およびグレーティングまたはプリズムのような光分散装置を有している。更に、光検出装置34は、所定の波長における光を測定するためのモノクロメータ(例えば、グレーティング/検出器装置)、または例えば、米国特許第5,888,337号に記載されている装置のような光スペクトルを測定するための(例えば、回転グレーティングを有する)分光計を有することができる。
光検出装置34は、ピークセンサシステムズからの高解像度OESセンサを有することができる。このようなOESセンサは、紫外線(UV)、可視光線(VIS)および近赤外(NIR)線スペクトルにわたる広範囲スペクトルを有している。解像度は、ほぼ1.4オングストロームであり、すなわち、センサは、240から1000nmまでの5550個の波長を収集することが可能である。センサは、2048個の画素線形CCDアレイと一体化されている高感度の小型ファイバオプチックUV−VIS−NIR分光計を備えている。
分光計は、単一および束化光ファイバを通して伝送された光を受け、ファイバから出力された光は、固定グレーティングを使用してラインCCDアレイに渡って分散される。前述の構成と同様に、光学真空ウインドを通して発する光は、凸球面レンズを経て光ファイバの入力端部に集中される。各々が所定のスペクトル範囲(UV、VISおよびNIR)用に特別に同調された3つの分光計が、処理チャンバ用のセンサを構成している。各分光計は、独立したA/D変換器を有している。最後に、センサの利用によっては、全発光スペクトルが0.1ないし1.0秒ごとに記録されることができる。
電気測定装置36は、例えば、電流および/または電圧プローブ、電力計またはスペクトル分析器を有することができる。例えば、プラズマ処理システムは、しばしば、プラズマを形成するためにRF電力を用いており、この場合、電気結合素子(すなわち、誘導コイル、電極など)を介してRFエネルギをプラズマに結合出力するために、同軸ケーブルまたは構造体のようなRF伝送回線が用いられる。例えば、電流−電圧プローブを使用した電気測定は、RF伝送回線内のような電気(RF)回路内のどこでも行なわれることができる。更に、電圧または電流の時間トレースのような電気信号の測定により、(周期的信号を推定して)離散フーリエ級数表示を使用して信号を周波数空間に変換し得る。その後、フーリエスペクトル(または時間変化信号については、周波数スペクトル)が、監視され、そして分析されて半導体製造システム1の状態を特徴付けることができる。電圧−電流プローブは、例えば、2001年1月8日に出願された出願中の米国特許出願第60/259862号および米国特許第5,467,013号(これらの各々はその全体が参照によりここに組み入れられる)に詳細に述べられている装置であることができる。
別の実施の形態では、電気測定装置36は、半導体製造システム1の外側で放射されたRFフィールドを測定するのに有用な広帯域RFアンテナを有することができる。市販されている広帯域RFアンテナが、アンテナリサーチモデルRAM−220(0.1MHzないし300MHz)のような広帯域アンテナである。
一般に、複数のセンサは、ツールデータをプロセスツールコントローラ55に提供するようにプロセスツール10に接続されることができる固有である、および固有でない任意の数のセンサを含むことができる。
プロセスツールコントローラ55は、マイクロプロセッサと、メモリと、入力を半導体製造システム1に伝送して活性化し、ならびに半導体製造システム1からの出力を監視するのに十分な制御電圧を発生させることが可能な(潜在的にD/Aおよび/またはA/D変換器を有する)デジタルI/Oポートとを有している。図2に示されるように、プロセスツールコントローラ55は、RF発振器30、インピーダンス整合ネットワーク32、ガス注入システム40、真空ポンプシステム58、裏面ガス送出システム26、静電クランピングシステム28、光検出装置34および電気測定装置36に接続され、これらと情報を交換することができる。メモリに記憶されたプログラムが、記憶された処理レシピに従って半導体製造システム1の前記構成部品と相互作用するように利用される。プロセスツールコントローラ55の1つの例は、デル社(テキサス州オースティン)から市販されているデルプレシジョンワークステーション530(登録商標)である。プロセスツールコントローラ55は、半導体製造システム1に対して近くに位置決めされることができ、或いは半導体製造システム1に対して遠方に位置決めされることができる。例えば、プロセスツールコントローラ55は、直接接続、イントラネットおよびインターネットのうちの少なくとも1つを使用して半導体製造システム1とデータを交換することができる。プロセスツールコントローラ55は、例えば、顧客サイト(すなわち、装置メーカーなど)におけるイントラネットに接続されることができ、或いは例えば、売主サイト(すなわち、設備製造業者)におけるイントラネットに接続されることができる。また、例えば、プロセスツールコントローラ55は、インターネットに接続されることができる。更に、他のコンピュータ(すなわち、コントローラ、サーバなど)が、例えば、直接接続、イントラネットおよびインターネットのうちの少なくとも1つを介してデータを交換するためにプロセスツールコントローラ55にアクセスすることができる。
図3に示されるように、半導体製造システム1は、磁界システム60を有することができる。例えば、磁界システム60は、プラズマ濃度を潜在的に増大し、および/または材料処理一様性を改良するために、静止または機械的または電気的回転DC磁界を有することができる。しかも、コントローラ55は、界強度(field strength)または回転速度を調整するために磁界システム60に接続されることができる。
図4に示されるように、半導体製造システム1は、上部電極70を有することができる。例えば、RF電力は、RF発振器72からインピーダンス整合ネットワーク74を介して上部電極70に結合出力されることができる。上部電極へのRF電力の印加のための周波数は、好ましくは10MHzから200MHzまでに及び、好ましくは60MHzである。また、下部電極へのRF電力の印加のための周波数は、0.1MHzから30MHzまでに及ぶことができ、好ましくは2MHzである。しかも、コントローラ55は、上部電極へのRF電力の印加を制御するためにRF発振器72およびインピーダンス整合ネットワーク74に接続されることができる。
図5に示されるように、図1の半導体製造システム1は、誘導コイル80を有することができる。例えば、RF電力は、RF発振器82からインピーダンス整合ネットワーク74を介して誘導コイル80に結合出力されることができ、またRF電力は、誘導コイル80から誘電体ウインド(図示せず)を介してプラズマ処理領域45に結合出力されることができる。誘導コイル80へのRF電力の印加のための周波数は、好ましくは、10MHzから100MHzまでに及び、好ましくは13.56MHzである。同様に、チャック電極への電力の印加のための周波数は、好ましくは、0.1MHzから30MHzまでに及び、好ましくは13.56MHzである。また、誘導コイル80とプラズマとの間の容量結合を減少させるためにスロット付きファラデーシールド(図示せず)が用いられることができる。しかも、コントローラ55は、誘導コイル80への電力の印加を制御するためにRF発振器82およびインピーダンス整合ネットワーク84に接続されることができる。別の実施の形態では、誘導コイル80は、変成器結合型プラズマ(transformer coupled plasma:TCP)リアクタにおけるように、プラズマ処理領域45と上から連通している「螺旋」コイルまたは「パンケーキ」コイルであることができる。
また、プラズマは、電子サイクロトン共鳴(ECR)を使用して形成されることができる。更に他の実施の形態では、プラズマは、ヘリコン波の発射(launching)から形成される。更に他の実施の形態では、プラズマは、伝播する表面波から形成される。
上記のように、プロセスコントロールシステム100は、プロセスツールコントローラ55を包含し、そこにおいて、プロセスツールコントローラ55は、プロセスツール10を動作させるのに必要なプロセス制御入力データをセットするように構成される。例えば、プロセス制御入力データのセットは、プロセス制御出力データの目標セットを達成するように選ばれることができる。プロセスツールコントローラ55は、計測ツール140からプロセス制御出力データを受けるように少なくとも1つのアルゴリズムを実行することが更に可能であり、プロセス制御入力データと、プロセス制御出力データとの関係(プロセスモデル150)を決定し、ランtoランからプロセスモデルを更新し、目標プロセス制御出力データに対してプロセス制御入力データを最適化するようにプロセスモデル使用し、プロセス制御に対してプロセスモデルを使用する。
プロセスモデル150は、プロセス制御入力データと、プロセス制御出力データとの間の関係を決め、従って、プロセスモデル150は、プロセス制御入力データの与えられた観測に対してプロセス制御出力データの予測を可能にする。以下は、プロセスモデル150を構成する方法を記載する。
実施例において、半導体製造システム1は、ドライプラズマエッチングのために構成されるプラズマ処理装置であり得る。より詳しくは、プラズマ処理装置は、二酸化珪素のような誘電体膜のトレンチ、ビア、またはコンタクトのうちの少なくとも1つのエッチングに適している、例えば図3に記載されたエッチングシステムを含むことができる。例えば、図6は、エッチングプロセスを使用して形成されたトレンチ230およびビア/コンタクト240が通る、中間金属の誘電体層210および220、並びにエッチストップ層215およびバリア層225を有するダマシンプロセスを使用して形成されるデバイス構造200の簡略図を示す。トレンチ/ビア構造は、結局金属ライン250に電気的なコンタクトを提供することができる。前述の説明がエッチングプロセスに対して方向付けられるものではあるが、それはいかなる方法でも本発明の範囲を制限することを目的とするものではない。
図6に示した誘電体のエッチングプロセスに対して、プロセス制御入力データは、例えば、RF電力、処理圧力、C流量、O流量、および一酸化炭素流量を含むことができる。さらに、図6に図示するように、プロセス制御出力データは、例えば、トレンチの上部260の限界寸法、トレンチの底部262の限界寸法、トレンチ側壁264の傾斜(slope)、コンタクトの上部270の限界寸法、コンタクトの底部272の限界寸法、およびコンタクトの側壁274の傾斜を含むことができる。
限界寸法および傾斜の測定は、切断された基板から走査型電子顕微鏡(SEM)顕微鏡写真を観測するため走査型電子顕微鏡を使用するか、または間接的に例えば先進のインシチュ(in−situ)技術、例えばDUV分光偏光解析法(例えば、「反射性の分光スキャタロメトリ(Specular spectroscopic scatterometry)」、半導体製造のIEEE論文集、第14巻、No.2、2001年5月発行を参照、それは、その全体として本願明細書に引用したものとする)を使用して直接実行されることができる。光学的デジタルプロファイロメトリ(optical digital profilometry(ODP))を特徴とする市販の製品は、Timbre Technologies, Inc.、Therma―Wave社(1250 Reliance way、フリーモント、CA 94539)からのハードウェアが組み合わせられて東京エレクトロン会社(5341 ランドルプレース、フリーモント、CA 94538)によって販売および流通される。
データの各々のセットは、プロセス制御入力データと、プロセス制御出力データとを含み、観測セットを包含する。そこにおいて、シングル観測は、基板毎にされることができ、または、複数の観測は、基板毎に実行されることができる。プロセス制御入力データと、プロセス制御出力データとを含む観測セットの各々の観測は、第n番目の順序統計量(例えば時間平均、時間トレースの平方二乗平均、時間トレースの歪み、相互関係、自己相関、変動など)を含むことができる。加えて、観測は、パラメータデータのスクエア、またはクロスプロダクトを包含することができる。
例えば、上で記載されているプロセス制御入力データパラメータのセットを使用して、実験計画法(DOE)は、実行されることができる。DOEは、3レベル、5ファクタ(すなわちプロセス制御入力データパラメータ)、および46観測(または、基板のラン)に移動する6センタポイントを有するBox−Behnkenデザインを含むことができる。
複数の観測セットが与えられ、関係は、複数の観測セットのプロセス制御入力データと、複数の観測セットのプロセス制御出力データとの間で多変量解析(MVA)を使用して決定されることができる。このような関係を決定する1つの典型的なMVAテクニックは、部分最小二乗(partial least squares:PLS)モデリングである。
PLS解析を使用して、プロセス制御入力データの観測セットは、複数のセンサまたは現行プロセスレシピ条件から受けられる。各々の観測セットに対して、プロセス制御入力データは、マトリックス[X]の行(row)に保存されることができ、および、プロセス制御出力データは、マトリックス[Y]の行に保存されることができる。それ故、一旦マトリックス[X]が組立てられる(assemble)ならば、各々の行は異なる観測を表し、各々の列(column)は、異なるプロセス制御入力データパラメータを表し、一旦マトリックスYが組立てられるならば、各々の行は異なる観測を表し、各々の列は、異なるプロセス制御出力データパラメータを表す。それゆえに、例として上で記載されたパラメータのセットを用いて、マトリックス[X]は、ディメンション46×5の長矩形行列(rectangular matrix)である。同様に、例えば、マトリックス[Y]は、ディメンション46×6の長矩形行列である。さらに一般的にいえば、マトリックス[X]は、m×nマトリックスであり得て、マトリックス[Y]は、m×pマトリックスであり得る。一旦データの全てがマトリックスに保存されると、データは平均センタリング化(mean―centered)されることができ、および/または、必要に応じて、正規化されることができる。マトリクス列に保存されたデータの平均センタリングのプロセスは、列要素の平均値を算出することと、平均値を各々の要素から減算することとを含む。さらに、マトリックスの列において存在しているデータは、列のデータの標準偏差によって正規化されることができる。(ここで示した[X]は、後述する式(2a)等に記載されているように、Xの上部にバーを有したものであるが、文章中で用いる場合には、上部のバーの代わりに[]で囲んで表すものとする。また[Y]、[T]、[P],[U]、[C]、[E]、[F]、[H]、[W]、等についても、同じく上部のバーの代わりに[]で囲んで表すものとする。)
PLS解析において、ローディング(または相関)係数のセットは、プロセス制御入力データ([X])をプロセス制御出力データ(Y)と関連づけることを規定されることができる。一般に、多変量解析に対して、プロセス制御入力データと、プロセス制御出力データとの間の関係は、次のように表されることができる。
Figure 2007531305
ここで、[X]は、上で記載されているm×nマトリックスを表し、[B]は、n×p(p<n)ローディング(または相関)マトリックスを表し、[Y]は、上で記載されているm×pマトリックスを表す。
一旦データマトリックス[X]および[Y]が組立てられると、[X]および[Y]空間に最良の近似となるように、並びに、[X]および[Y]間の相関を最大にするようにデザインされた関係は、PLS解析を使用して決められる。
PLS解析モデルにおいて、マトリックス[X]および[Y]は、次のように分解される。
Figure 2007531305
ここで、[T]は、[X]変数をサマライズするスコアのマトリックスであり、[P]は、マトリックス[X]に対するローディングのマトリックスであり、[U]は、[Y]変数をサマライズするスコアのマトリックスであり、[C]は、[Y]と[T]([X])との間の相関を表している重さのマトリックス(matrix of weights)であり、[E]、[F]、および[H]は、残余のマトリックスである。さらに、PLS解析モデルで、[U]および[X]を相関する重さと呼ばれ、Tを算出するために用いられる付加的なローディング[W]がある。ま要約すると、PLS解析は、元のデータテーブル[X]及び[Y]にできる限り近づけるため、および、超平面上の観測位置の間の共分散を最大化するために、線、平面または超平面を、多次元空間内の点として表される[X]及び[Y]の両方のデータに適合させることに幾何学的に相当する。
図7は、PLS解析に対するデータ入力、すなわち、[X]及び[Y]及び対応する出力[T]、[P]、[U]、[C]、[W]、[E]、[F]、[H]及び変数重要度(variable importance in the projection:VIP)を概略的に示す。PLS解析モデリングをサポートする市販のソフトウェアの実例は、(マサチューセッツ州ナティックのマスワークス社(The Mathworks,Inc.,Natick,MA)から市販されている)MATLABによって提供されるPLS_Toolbox、または、(ニュージャージー州キネロンのウメトリクス社(Umetrics,Kinnelon,NJ)から市販されている)SIMCA−P 8.0である。
変数重要度(VIP)データは、ほとんどを[X]および[Y]間の相関に寄与させる入力データセット(すなわちプロセス制御入力データ)のそれらパラメータを特定するために利用されることができる。例えば、上で記載されている典型的なエッチングプロセスを使用して、5つのプロセス制御入力データパラメータは、C流量、O流量、および処理圧力に対して低下することができる。
一般に、このようなソフトウェアは、モデル(すなわちX、および、Yの間で得られた相関の品質)の表現力(descriptive power)およびモデルの予測力(predictive power)に関して他の重要情報を出力する。例えば、SIMCA−Pは、反復的で、一度に、1つのPLSコンポーネント、すなわち[X]―スコア [T]、[Y]―スコア [U]、重さ[W]および[C]、並びに、ローディング[P]の各々1つのベクトルを算出する。PLSコンポーネントは、重要性の降順において算出される。各々のPLSコンポーネントの後、SIMCA―Pは、以下を示すことができる:現行(current)コンポーネント(RX,RY)によって説明される全てのYおよびXの平方和(sum of squares:SS)の割合(fraction);現行コンポーネント(RXadj、RYadj)によって説明される全てのYおよびXの変動(variance)の割合;全ての抽出されたコンポーネント(RX(cum)、RY(cum))によって説明される全てのYおよびXの累積的なSS;および、全ての抽出されたコンポーネント(RXadj(cum)、RYadj(cum))によって説明される全てのYおよびXの累積的な変動。
さらに、あらゆるアクティブ変数に対して、SS(RV)の割合い、または説明される変動(RVadj)は、示されることができる。この値は、累積的に全てのPLSコンポーネントに対するのと同様に、現行コンポーネントに対して算出される。レスポンス変数[Y]に対して、この値は、R(重相関係数)、フィットする「長所(goodness)」に対応する。例えば、上のデータを利用して、表1は、各々のプロセス制御出力データパラメータ、すなわち、トレンチの上部260の限界寸法、トレンチの底部262の限界寸法、トレンチ側壁264の傾斜、コンタクトの上部270の限界寸法、コンタクトの底部272の限界寸法、およびコンタクトの側壁274の傾斜(図6を参照)に対する[Y](RVY(cum))に対するこの値を示す。
Figure 2007531305
一般に、モデル次元数(重要なPLSコンポーネントの数)を決定するのに使用される付加的な基準は、クロス確認(cross validation)である。クロス確認によって、観測がモデル展開(model development)の中に入れられないこと、それで観測の中に入れられないことに対するレスポンス値([Y])は、モデルによって予測され、実効値と比較される。あらゆる観測が一回および一度だけ中に入れられないままで、この手順は、数回繰り返される。観測が中に入れられないときに、予測誤差平方和(prediction error sum of squares:PRESS)は、観測された[Y]と、予測値との間の二乗差である。あらゆるディメンションに対して、全体にわたるPRESS/SSは、算出され、そこにおいて、SSは、以前のディメンションの残差平方和、更には各々の[Y]変数(m)に対する(PRESS/SS)である。これらの値は、モデルの予測力の良好な計測である。例えば、SIMCA−Pは、次のこの情報を示すことができる:コンポーネント(Q=(1.0−PRESS/SS))によって予測されることができるYの全変動の割合い;コンポーネント(QV=(1.0−PRESS/SS))によって予測されることができる可変Yの変化の割合い;抽出されたコンポーネント(Q cum=II(1.0−PRESS/SS))に対する累積的なQ;および、変数(Qcum=II(1.0−PRESS/SS)ka)の累積的なQV。
ここで図8を参照して、PLS解析によって展開された(developed)プロセスモデルを使用してプロセス制御出力データを予測するためのグラフィックユーザーインターフェース(GUI)は、示される。GUIスクリーン300は、プロセス制御入力データの変化を示すための1つ以上のフィールドを包含する。例えば、C流量を変えるための第1のデルタフィールド302、O流量を変えるための第2のデルタフィールド304、および処理圧力を変えるための第3のデルタフィールド306が提供される。プラス(+1)またはマイナス1(−1)のような数値は、特定のパラメータを調整するために入力されることができる;流量は、1分あたり標準の立方センチメートル(standard cubic centimeters per minute:sccm)を単位にして与えられ、圧力は、mTorrを単位にして与えられる。
加えて、第1のインクルージョンフィールド308、第2のインクルージョンフィールド310、および第3のインクルージョンフィールド312は、変化がされることができ、それらのパラメータを特定するために利用されることができる。例えば、もしインクルージョンフィールドがチェックされるならば、特定のパラメータに対する変化は許されない。さらにまた、第1の最小および第1の最大範囲314は、第1のパラメータに対する変化が影響を受けることができる最小値および最大値を特定するために提供され、第2の最小および第2の最大範囲316は、第2のパラメータに対する変化が影響を受けることができる最小値および最大値を特定するために提供され、および第3の最小および第3の最大範囲318は、第3のパラメータに対する変化が影響を受けることができる最小値および最大値を特定するために提供される。例えば、最小および最大範囲は、プロセスモデルによって提供される予測において最も高い信頼を有するパラメータ範囲を特定するセットであり得る。3つのプロセス制御入力データパラメータだけがここで対象にされるが、それより多く、若しくはそれより少なく、利用されることができ、それ故、本発明は、この実施例によっていかなる方法においても権利範囲が制限されることはない。
なお図8を参照して、一旦プロセス制御入力データに対する変化が、例えば、適用(apply)ファンクション320を介してリクエストされたならば、プロセスモデルは、トレンチの上部の限界寸法に対する第1の出力フィールド322、トレンチの底部の限界寸法に対する第2の出力フィールド324、トレンチ側壁の傾斜に対する第3の出力フィールド326、コンタクトの上部の限界寸法に対する第4の出力フィールド328、コンタクトの底の限界寸法に対する第5の出力フィールド330、およびコンタクトの側壁の傾斜に対する第6の出力フィールド332にプロセス制御出力データへの変化を結果として示す。6つのプロセス制御出力パラメータだけがここで対象にされるが、それより多く、または少なく、利用されることができ、それ故、本発明は、この実施例によっていかなる方法においても権利範囲が制限されることはない。
図9は、プロセス制御出力データの特定のパラメータの目標変化を達成するためにプロセス制御入力データのセットを最適化するための別のグラフィックユーザーインターフェース(GUI)を示す。GUIスクリーン400は、プロセス制御入力データの予測された変化を示すための1つ以上のフィールドを包含する。例えば、C流量に変化を予測するための第1のデルタフィールド402、O流量に変化を予測するための第2のデルタフィールド404、および処理圧力に対する変化を予測するための第3のデルタフィールド406が提供される。プラス(+1)またはマイナス1(−1)のような数値は、プロセス制御出力データパラメータに指定された変化を達成するためにプロセス制御入力データの最適化を次のように示すことができる;流量は、1分あたり標準の立方センチメートル(sccm)を単位として与えられ、圧力は、mTorrを単位にして与えられる。加えて、第1のインクルージョンフィールド408、第2のインクルージョンフィールド410、および第3のインクルージョンフィールド412は、予測された変化が提案されることができるそれらのパラメータを特定するために利用されることができる。例えば、もしインクルージョンフィールドがチェックされるならば、特定のパラメータに対する変化は、最適化プロセスに対して許されない。さらにまた、第1の最小および第1の最大範囲414は、第1のパラメータに対する変化が影響を受けることができる最小値および最大値を特定するために提供され、第2の最小および第2の最大範囲416は、第2のパラメータに対する変化が影響を受けることができる最小値および最大値を特定するために提供され、および、第3の最小および第3の最大範囲418は、第3のパラメータに対する変化が影響を受けることができる最小値および最大値を特定するために提供される。例えば、最小および最大範囲は、プロセスモデルによって提供される予測において最も高い信頼を有するパラメータ範囲を特定するセットであり得る。3つのプロセス制御入力パラメータだけがここで対象にされるが、より多くまたは少なく、利用されることができ、それ故、本発明は、この実施例によっていかなる方法においても権利範囲が制限されることはない。
なお図9を参照して、目標変化424は、特定のプロセス制御出力データパラメータに対して特定されることができる。提供された実施例に対して、プロセス制御出力データパラメータは、トレンチ底部(Trench Bottom)の限界寸法である。一旦目標変化424が入力されると、フィールド402,404、および406のプロセス制御入力データのセットは、最適化(Optimize)ファンクション422を用いて最適化されることができる。その後、プロセス制御入力データのセットの変化は、適用ファンクション420を選択することによって、受け入れられることができる。
図10は、半導体製造システムのプロセスを制御する方法を示し、例えば、図1〜5と関連して記載された1つである。方法は、フローチャート500にて示され、半導体製造システムにおいて実行されるプロセスに対してプロセス制御入力データをセットする510において開始する。プロセス制御入力データは、プロセスに対してプロセスレシピをセットするために使用する1つ以上のデータパラメータを利用することができる。例えば、エッチングシステムで、1つ以上のデータパラメータは、RF電力、圧力、温度、ガス流量(複数のガス流量を含む)、電圧、電流、密度、光の強度、基板裏面ガス圧などのうちの少なくとも1つを包含することができる。上で提供された実施例において、プロセス制御入力データは、RF電力、圧力、C流量、O流量、および一酸化炭素流量を包含する。加えて、例えば、基板を光感応材料でコーティングするための1つの例としてスピンコーティングシステムにおいて、プロセス制御入力データは、塗料液分配速度、塗料液組成、スピンチャック回転速度、圧力、温度、湿度などのうちの少なくとも1つを包含することができる。特定のプロセスに対してプロセス制御入力データのセットをデザインする技術は、半導体製造の当業者にとって周知である。
520において、プロセスは、プロセスに入力されるときプロセス制御入力データを使用して実行される。プロセスは、例えば、エッチングプロセス、堆積プロセス、スピンコーティングプロセス、拡散プロセス、酸化プロセス、熱処理プロセスなどを包含することができる。
530において、プロセス制御出力データは、半導体製造システムにおいて実行されるプロセスに対して測定される。プロセス制御出力データは、プロセスの性能を表す1つ以上のデータパラメータを包含することができる。例えば、エッチングシステムにおいて、1つ以上のデータパラメータは、限界寸法、形態側壁の傾斜(例えば、エッチング形態の側壁形状)、エッチング深さ、エッチング速度、均一性、エッチング選択比(第2の材料がエッチングされる速度に対する第1の材料がエッチングされる速度の比率)などのうちの少なくとも1つを包含することができる。代わりとして、例えば堆積プロセスにおいて、1つ以上のデータパラメータは、堆積速度、膜特性(例えば膜応力、多孔性など)、均一性、膜厚などのうちの少なくとも1つを包含することができる。代わりとして、例えばプラズマプロセスにおいて、1つ以上のデータパラメータは、プラズマ密度(例えば、ラングミュアプローブから得られる)、イオンエネルギー(例えば、イオンエネルギースペクトルアナライザから得られる)、化学種(例えば、光学発光分光法から得られる)の濃度、温度、圧力などのうちの少なくとも1つを包含することができる。別の形態として、例えば、スピンコーティングシステムにおいて、1つ以上のデータパラメータは、マスク(例えばフォトレジスト)膜厚、マスク(例えばフォトレジスト)パターン限界寸法などのうちの少なくとも1つを包含することができる。
540において、関係(例えば図1のプロセスモデル150のような)は、プロセス制御入力データと、プロセス制御出力データとの間で決定される。関係は、多変量解析(multivariate analysis:MVA)、例えば上記のような部分最小二乗(PLS)解析から導き出されるプロセスモデルを包含することができる。例えば、プロセス制御入力データが多重入力データパラメータを包含し、プロセス制御出力データが多重出力データパラメータを包含するとき、プロセスモデルは、多重入力多重出力(multiple input multiple output:MIMO)モデルを包含することができる。
すなわち
Figure 2007531305
ここで、は、プロセス制御出力データを含むベクトルであり、は、プロセス制御入力データを含むベクトルであり、例えば、その2乗項(square terms)およびクロス項、並びには、例えば、ランtoラン(例えば基板to基板、観測to観測など)から更新されることができる定数を含むベクトルである。代わりとして、例えば、プロセス制御入力データが単一入力データパラメータだけを包含し、プロセス制御出力データが単一出力データパラメータだけを包含するときに、プロセスモデルは、単一入力単一出力(single input single output:SISO)モデルを包含することができる。
すなわち
Figure 2007531305
ここで、y、xは一価の変数であり、cは、定数である。
550において、目標プロセス制御出力データy は、プロセスの所望の目標結果に対してオペレータまたはユーザによってセットされる。目標データは近くで、あるいは遠く離れてプロセスツールにセットされることができる。
560において、一旦目標プロセス制御出力データがプロセスに対してセットされるならば、目標プロセス制御出力データと、プロセスに対して測定されるプロセス制御出力データとの間の違いは、決定される。562において、もし違いが閾値を上回るならば、それで、新しいプロセス制御入力データは、580において算出される。562において、もし違いが閾値を上回らないならば、それで、古いプロセス制御入力データが使われる。この比較を含むオプションは、あまりに感度が高い制御を回避する能力を有するプロセスを制御する方法を提供し、すなわち、現在のプロセス制御出力データが目標データから許容できる距離の範囲内であるときに、変化は、プロセス制御入力データになされる。
580において、新しいプロセス制御入力データは、550の目標プロセス制御出力データセットと、プロセスモデルに適用される新しいプロセス制御入力データを使用して540のプロセスモデルによって予測されるプロセス制御出力データとの間の違いを最小にすることによって算出される。例えば、SISOプロセスモデルを用いて、最小化問題は、次の式で表されることができる。
Figure 2007531305
または、より一般にMIMOプロセスモデルに対して、
Figure 2007531305
ここで、「k+1」は、新しいプロセスに対する新しいデータを表す。利用されるアルゴリズムは、例えば、Newton―Rhapsonソルバーを含むことができる。最小化問題が最適化問題であるので、最適化問題を解くことを試みるときに、3つの状態、すなわち正確な解、過少決定問題(under−determined problem)、および過剰決定問題(over−determined problem)に遭遇することとなり得る。複数の解が存在するケースにおいて、別の基準は、プロセス制御入力データに対する古い値(複数の値)と、新しいプロセス制御入力データとの間の変化が最小にされるべきであるということである。
すなわち、
Figure 2007531305
または、さらに一般的にいえば、
Figure 2007531305
564において、例えば、MIMOプロセスモデルを使用して、新しいプロセス制御入力データの算出中にプロセス制御入力データに重み付けをするオプションは、提供される。もしオプションが選ばれるならば、プロセス制御入力データのセット内の少なくとも1つのデータパラメータは、566において重み付けをされる。例えば、1つのデータパラメータは、別のデータパラメータに対してより高い重み付けを与えら得て、従って、目標プロセス制御出力データを達成するのに必要なプロセス制御入力データの変化は、より重く重み付けをされたデータパラメータを支持する。580において解かれる最小化問題は、現在起こる(MIMOプロセスモデルに対して)。
Figure 2007531305
568において、MIMOプロセスモデルを使用して新しいプロセス制御入力データの算出中にプロセス制御出力データを重み付けをするオプションは、提供される。もしオプションが選ばれるならば、プロセス制御出力データでセット内の少なくとも1つのデータパラメータは、570において重み付けをされる。例えば、1つのパラメータは、別のデータパラメータに対してより高い重み付けを与えら得て、従って、必要なプロセス制御入力データの変化は、より重く重み付けをされたデータパラメータを支持する。580において解かれる最小化問題は、現在起こる(MIMOプロセスモデルのために)。
Figure 2007531305
ここで、は、プロセス制御出力データ重み付けマトリックスである。
590において、プロセスは、現行プロセス制御入力データまたは580にて決定される新しいプロセス制御入力データのいずれかを使用して、半導体製造システムにおいて実行される。
600において、プロセス制御出力データは、590において実行されるプロセスに対して測定される。
602において、決定は、540にて決められた関係(またはプロセスモデル)を更新するようになされる。もしプロセスモデルに対して更新がリクエストされるならば、それで、プロセスモデルは604において更新される。たとえば、指数的に重み付けされた移動平均(exponentially weighted moving average:EWMA)フィルタは、更新を実行するために使用されることができる。
すなわち、
Figure 2007531305
または、MIMOプロセスモデルに対してより一般に、
Figure 2007531305
ここで、λは、EWMAフィルター係数(0≦λ≦1)を表す。たとえば、λ=0のとき、プロセスモデルは更新されず、定数cは、プロセス「k」からプロセス「k+1」まで不変で残る。λ=1のとき、プロセスモデルは、更新され、定数cは、プロセス「k」からプロセス「k+1」まで古い定数Cのいずれをも仮定しない。
図11は、本発明のプロセスツールコントローラ110が実施されることができるコンピュータシステム1201の1つの実施形態を示す。コンピュータシステム1201は、上記フローチャート500に記載された方法を含むプロセスツールコントローラ110のファンクションのいくつかまたは全てを実行するようにプログラムされ、および/または構成される。コンピュータシステム1201は、バス1202またはコミュニケーション情報のための他のコミュニケーション機構を含み、内部プロセッサ1203は、情報を処理するようにバス1202と組み合わせられた。コンピュータシステム1201は、メモリ1204、例えばランダムアクセスメモリ(RAM)または他のダイナミック記憶デバイス(例えばダイナミックRAM(DRAM)、スタティックRAM(SRAM)、および同期DRAM(SDRAM))を包含し、内部プロセッサ1203によって実行される情報および命令を保存するためにバス1202に組み合わせられる。加えて、メモリ1204は、内部プロセッサ1203によって命令の実行中に一時的数値変数または他の中間の情報を保存するために使われることができる。コンピュータシステム1201は、好ましくは、リードオンリーメモリ(ロム)1205または他のスタティック記憶デバイス(例えばプログラム可能なROM(PROM)、消去可能PROM(EPROM)、および電気的消去可能なPROM(EEPROM))のような不揮発性メモリを含み、内部プロセッサ1203に対して静的情報および命令を保存するためにバス1202に組み合わせた。
コンピュータシステム1201は、専用論理デバイス(例えば特定用途向けIC(ASIC))または設定可能な論理素子(例えば単純なプログラマブルロジックデバイス(SPLDs)、複雑なプログラマブルロジックデバイス(CPLDs)、および、フィールドプログラマブルゲートアレイ(FPGAs))を包含することができる。
コンピュータシステム1201は、メインメモリ1204のようなメモリに収容された1つまたはそれ以上の指令の1つまたはそれ以上のシーケンスを実行する内部プロセッサ1203に応答して本発明の処理ステップの一部またはすべてを行う。このような指令は、ハードディスク1207またはリムーバブルメディアドライブ1208のような他のコンピュータ読取り可能メディアからメインメモリ1204に読み込まれてもよい。このような能力は、半導体処理システム12の外部にある電子モニタリングデバイスの実施形態と殆どが互換性を有する。メインメモリ1204に収容された指令シーケンスを実行するのに、複数の処理構成において1つまたはそれ以上のプロセッサが使用されてもよい。別の実施の形態では、ソフトウエア指令の代わりに、或いはそれに加えて、ハード配線回路が使用されてもよい。かくして、実施の形態はハードウエア回路とソフトウエアとのいずれの特定の組合せにも限定されない。
前述のように、コンピュータシステム1201は、本発明の教示によりプログラミングされた指令を保持し、そしてここに記載のデータ構造、表、記録またはデータを収容するための少なくとも1つのコンピュータ読取り可能メディアまたはメモリを有している。本発明を満たすコンピュータ読取り可能メディアの例は、コンパクトディスク、ハードディスク、フロッピー(登録商標)ディスク、テープ、磁気光学ディスク、PROM(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM、または任意の他の磁気メディア、コンパクトディスク(例えば、CD−ROM)、または任意の他の光メディア、パンチカード、ペーパテープまたは穴パターンを有する他の物理的メディア、(後述の)搬送波、またはコンピュータが読取ることができる任意の他のメディアである。
本発明は、任意の1つのコンピュータ読取り可能メディアまたはこのようなメディアの組合せに記憶されるものとして、コンピュータシステム1201を制御し、本発明を実施するための装置を駆動し、そしてコンピュータシステム1201が使用者(例えば、消耗部品廃棄人員と交流する)と相互作用させることを可能にするためのソフトウエアを含む。このようなソフトウエアとしては、限定されないが、装置ドライブ、作動装置、展開ツールおよび用途ソフトウエアがある。このようなコンピュータ読取り可能メディアとしては、更に、本発明を実施する際に行われる処理(処理が配分される場合)のすべてまたは一部を行なうための本発明のコンピュータプログラム製品がある。
本発明のコンピュータコード装置は、限定されないが、スクリプト、解明可能なプログラム、動的リンクライブラリー(DLL)java(登録商標)クラス、および完全な実行可能なプログラムを含めて、任意の解明可能または実行可能なコード機構であってもよい。しかも、本発明の処理の諸部分は、良好な性能、信頼性および/またはコストごとに配分されてもよい。
語「コンピュータ読取り可能メディア」は、ここで使用される場合、実行のために指令を内部プロセッサ1203に供給することに関与する任意のメディアを指している。コンピュータ読取り可能メディアは、限定されないが、非揮発性メディア、揮発性メディアおよび伝送メディアを含めて、多くの形態を採り得る。非揮発性メディアとしては、例えば、ハードディスク1207およびリムーバブルメディアドライブ1208のような光磁気ディスクおよび磁気光学ディスクがある。揮発性メディアとしては、メインメモリ1204のような動的メモリがある。伝送メディアとしては、バス1202を構成するワイヤを含めて、同軸ケーブル、銅ワイヤおよび光ファイバ光学系がある。また、伝送メディアは、電波および赤外線データ通信中に発生されるもののような音波または光波の形態を採ってもよい。
コンピュータ読取り可能メディアの種々の形態は、実行のための内部プロセッサ1203に対する1またはそれ以上の指令の1またはそれ以上の順列を行なうのに携われてもよい。例えば、これらの指令は、初めに、遠隔コンピュータの磁気ディスクに伝送されてもよい。例えばツールコントローラ26のような遠隔コンピュータは、本発明のすべてまたは一部を実施するための指令を動的メモリに遠隔でロードすることができ、そして命令を電子モニタリングデバイス10に送信することができる。バス1202に接続された赤外線検出器が、赤外線信号で搬送されたデータを受信し、そしてこれらのデータをバス1202に配送する。バス1202は、これらのデータをメインメモリ1204に伝送し、内部プロセッサ1203が、これらのデータから指令を取り出し、指令を実行する。メインメモリ1204により受信された指令は、任意に、内部プロセッサ1203による実行前または後に記憶装置1207に記憶されてもよい。
コンピュータシステム1201は、また、バス1202に接続されたコミュニケーションインターフェース1213を有している。このコミュニケーションインターフェース1213は、例えば、ローカルエリアネットワーク(LAN)1215またはインターネットのような他のコミュニケーションネットワーク1216に接続されているネットワークリンク1214へ接続する2方向データコミュニケーションを提供する。例えば、コミュニケーションインターフェース1213は、任意のパケット交換式LANに取付けるべきネットワークインターフェースカードであってもよい。他の例としては、コミュニケーションインターフェース1213は、対応する種類のコミュニケーションラインに対するデータコミュニケーション接続を行なうべき非対称デジタル加入者線(ADSL)カード、集積サービスデジタルネットワーク(ISDN)カードまたはモデムであってもよい。ワイヤレスリンクが実施されてもよい。任意のこのような実施では、コミュニケーションインターフェース1213は、種々の種類の情報を表すデジタルデータ流を搬送する電気、電磁または光信号を送信したり、受信したりする。
ネットワークリンク1214は、代表的には、1つまたはそれ以上のネットワークを介して他のデータ装置に対するデータコミュニケーションを行なう。例えば、ネットワークリンク1214は、ローカルネットワーク1215(例えば、LAN)を介して、或いはコミュニケーションネットワーク1216を介するコミュニケーションサービスを行なうサービスプロバイダにより作動される設備を介して、他のコンピュータへの接続を行なってもよい。ローカルネットワーク1215およびコミュニケーションネットワーク1216は、例えば、デジタルデータ流を搬送する電気、電磁または光信号、および関連された物理層(例えば、CAT5ケーブル、同軸ケーブル、光ファイバなど)を使用している。種々のネットワークを通る信号と、デジタルデータをコンピュータ装置へ、およびから搬送するネットワークリンク1214およびコミュニケーションインターフェース1213を通る信号とが、基底帯域信号または搬送波系信号で実施されてもよい。基底帯域信号は、デジタルデータビットの流れを表す未変調の電気パルスとしてデジタルデータを伝送し、語「ビット」は、符号を意味するものと広く解釈され、各符号は、少なくとも1つまたはそれ以上の情報データを伝送する。デジタルデータは、搬送波を、例えば、振幅、位相、および/または導電性メディアにわたって伝播されか、或いは伝播メディアを介して電磁波として伝送される周波数転移キード信号で変調するために使用されてもよい。かくして、デジタルデータは、未変調基底帯域データとして「配線」コミュニケーションチャンネルを介して送られてもよく、および/または搬送波を変調することにより基底帯域と異なる所定の周波数帯域内で送られてもよい。コンピュータシステム1201は、ネットワーク1215、1216、ネットワークリンク1214およびコミュニケーションインターフェース1213を介してプログラムコードを含めて、データを送信したり受信したりすることができる。しかも、ネットワークリンク1214は、LAN1215を介して個人デジタルアシスタント(PDA)ラップトップコンピュータまたは携帯電話のようなモバイルデバイスへの接続をなしてもよい。
本発明の或る典型的な実施の形態のみを以上に詳細に説明したが、当業者は、本発明の新規な教示および利点を実質的に逸脱することなしに典型的な実施の形態において多くの変更例が可能であることを容易にわかるであろう。従って、このような変更例すべてが、本発明の範囲内に含まれるものである。
添付の図面と関連づけて考慮され、上記詳細な説明を参照することでより十分に理解されるのと同様に、本発明のより完全なる理解およびそれの多くの効果は容易に得られるであろう。
本発明の好ましい実施態様に係る半導体製造システムを示す図である。 本発明の1つの実施形態に係る半導体製造システムを示す図である。 本発明の他の実施形態に係る半導体製造システムを示す図である。 本発明の更に他の実施形態に係る半導体製造システムを示す図である。 本発明の付加的な実施形態に係る、半導体製造システムを示す図である。 トレンチおよびコンタクトを有するエッチング形態の概略図を示す図である。 部分最小二乗(PLS)解析を使用するための概略工程系統図を示す図である。 本発明の1つの実施形態に係るプロセス制御出力データを予測するためのグラフィックユーザーインターフェース(GUI)を示す図である。 本発明の他の実施形態に係るプロセス制御入力データを最適化するためのグラフィックユーザーインターフェース(GUI)を示す図である。 本発明の1つの実施形態に係る半導体製造システムのプロセスを制御する方法を示す図である。 本発明のプロセスツールコントローラのプロセッサ上で実施されることができるコンピュータシステムの1つの実施形態を示す図である。

Claims (55)

  1. 半導体製造システムのプロセスを制御する方法であって、
    前記半導体製造システムの前記プロセスに対してプロセス制御入力データをセットすることと;
    前記半導体製造システムの前記プロセスからプロセス制御出力データを測定することと;
    前記プロセス制御出力データと、前記プロセス制御入力データとの間の関係を決定することと;
    プロセスの目標結果に目標プロセス制御出力データをセットすることと;
    前記目標プロセス制御出力データと、予測されたプロセス制御出力データとの間の違いを最小にすることにより新しいプロセス制御入力データを算出することとを具備し、
    前記予測されたプロセス制御出力データは、前記新しいプロセス制御入力データに適用される前記関係を使用して決定される方法。
  2. 前記プロセス制御入力データと、前記プロセス制御出力データとの間の前記関係を更新することを更に具備する請求項1に記載の方法。
  3. 前記関係を前記更新することは、指数的に重み付けをされた移動平均(EMWA)フィルタを使用することを備えている請求項2に記載の方法。
  4. 前記算出することは、前記新しいプロセス制御入力データを算出する前に、前記プロセス制御入力データを重み付けすることを備えている請求項1に記載の方法。
  5. 前記算出することは、前記新しいプロセス制御入力データを算出する前に、前記プロセス制御出力データを重み付けすることを備えている請求項1に記載の方法。
  6. 前記プロセス制御入力データと、前記新しいプロセス制御入力データとの間の違いを最小にすることを更に具備する請求項1に記載の方法。
  7. 前記決定することは、部分最小二乗(PLS)解析を使用して展開されたプロセスモデルとの前記関係を展開することを備えている請求項1に記載の方法。
  8. 前記決定することは、多重入力多重出力(MIMO)プロセスモデルとの前記関係を展開することを備えている請求項1に記載の方法。
  9. 前記展開することは、=f()+によって特徴づけられるMIMOプロセスモデルを展開することを更に具備し、
    は、プロセス制御出力データを含み、
    は、プロセス制御入力データを含み、
    は、定数の配列を含み、
    f()は、のファンクションを表す請求項8に記載の方法。
  10. 前記ファンクションf()は、線形関数を表す請求項9に記載の方法。
  11. 前記ファンクションf()は、非線形関数を表す請求項9に記載の方法。
  12. 前記セットすることは、エッチングプロセスに対して前記プロセス入力データをセットすることを備えている請求項1に記載の方法。
  13. 前記セットすることは、RF電力、圧力、流体流量、温度、回転速度、および組成のうちの少なくとも1つに対して前記プロセス制御入力データをセットすることを備えている請求項1に記載の方法。
  14. 前記プロセス制御入力データを前記セットすることは、RF電力、圧力、C流量、一酸化炭素流量、およびO流量のうちの少なくとも1つを含む前記プロセス制御入力データをセットすることを備えている請求項13に記載の方法。
  15. プロセス制御出力データを前記測定することは、限界寸法、傾斜、形状、エッチング速度、エッチング深さ、堆積速度、および膜厚のうちの少なくとも1つを含む前記プロセス制御出力データを測定することを備えている請求項1に記載の方法。
  16. プロセス制御出力データを前記測定することは、トレンチ上部の限界寸法、トレンチ底部の限界寸法、トレンチの側壁の傾斜、コンタクト上部の限界寸法、コンタクト底部の限界寸法、およびコンタクトの側壁の傾斜のうちの少なくとも1つを測定することを備えている請求項15に記載の方法。
  17. 前記算出することは、Newton―Rhapsonテクニックを使用して前記違いを最小にすることを備えている請求項1に記載の方法。
  18. 半導体製造システムのプロセスを制御するためのコントロールシステムであって、
    前記プロセスを実行するためのプロセスツールに組み合わせられるように構成されたプロセスツールコントローラを具備し、
    前記プロセスツールコントローラは、
    前記プロセスに対してプロセス制御入力データをセットし、かつ調整するように、およびプロセスの目標結果に目標プロセス制御出力データをセットするように構成されたプロセスレシピコントローラと、
    前記プロセスレシピコントローラに組み合わせられ、前記プロセス制御入力データと、前記プロセス制御出力データとの間の関係を提供するように構成されたプロセスモデルとを更に有し、
    前記プロセスレシピコントローラは、前記目標プロセス制御出力データと、新しいプロセス制御入力データに適用される前記関係によって予測されるプロセス制御出力データとの間の違いを最小にすることによって前記新しいプロセス制御入力データを生成するように構成されているシステム。
  19. 前記プロセスツールコントローラに組み合わせられ、前記プロセスツールに組み合わせられるように構成された計測ツールを更に具備し、
    前記計測ツールは、前記プロセスに対してプロセス制御出力データを測定するように、および前記プロセスモデルを更新するための前記プロセスツールコントローラに前記測定されたプロセス制御出力データを提供するように構成されている請求項18に記載のシステム。
  20. 前記プロセスツールコントローラは、指数的に重み付けをされた移動平均(EWMA)フィルタを使用して前記プロセスモデルを更新するように構成されている請求項19に記載のシステム。
  21. 前記プロセスモデルは、部分最小二乗(PLS)解析を備えている請求項1に記載のシステム。
  22. 前記プロセスモデルは、多重入力多重出力(MIMO)プロセスモデルを備えている請求項1に記載のシステム。
  23. 前記MIMOプロセスモデルは、=f()+によって特徴づけられ、
    は、プロセス制御出力データを含み、
    はプロセス制御入力データを含み、
    は、定数の配列を含み、
    f()は、のファンクションを表す請求項22に記載のシステム。
  24. 前記ファンクションf()は、線形関数を表す請求項23に記載のシステム。
  25. 前記ファンクションf()は、非線形関数を表す請求項23に記載のシステム。
  26. 前記プロセスは、エッチングプロセスを備えている請求項18に記載のシステム。
  27. 前記プロセス制御入力データは、RF電力、圧力、流体流量、温度、回転速度、組成のうちの少なくとも1つを備えている請求項18に記載のシステム。
  28. 前記プロセス制御入力データは、RF電力、圧力、C流量、一酸化炭素流量、およびO流量のうちの少なくとも1つを備えている請求項27に記載のシステム。
  29. 前記プロセス制御出力データは、限界寸法、傾斜、形状、エッチング速度、エッチング深さ、堆積速度、および膜厚のうちの少なくとも1つを備えている請求項18に記載のシステム。
  30. 前記プロセス制御出力データは、トレンチ上部の限界寸法、トレンチ底部の限界寸法、トレンチの側壁の傾斜、コンタクト上部の限界寸法、コンタクト底部の限界寸法、およびコンタクトの側壁の傾斜のうちの少なくとも1つを備えている請求項29に記載のシステム。
  31. 前記プロセスツールコントローラは、Newton―Rhapsonテクニックを使用して前記違いを最小にするように構成されている請求項18に記載の方法。
  32. プロセスを実行するための半導体製造システムであって、
    前記プロセスを実行するためのプロセスツールと、
    前記プロセスツールに組み合わせられたプロセスツールコントローラとを具備し、
    前記プロセスツールコントローラは、
    前記プロセスに対してプロセス制御入力データをセットし、かつ調整するように、およびプロセスの目標結果に目標プロセス制御出力データをセットするように構成されたプロセスレシピコントローラと、
    前記プロセスレシピコントローラに組み合わせられ、前記プロセス制御入力データと、前記プロセス制御出力データとの間の関係を提供するように構成されたプロセスモデルとを有し、
    前記プロセスレシピコントローラは、前記目標プロセス制御出力データと、新しいプロセス制御入力データに適用される前記関係によって予測されるプロセス制御出力データとの間の違いを最小にすることによって前記新しいプロセス制御入力データを生成するように構成されているシステム。
  33. 前記プロセスツールコントローラに組み合わせられ、前記プロセスツールに組み合わせられる計測ツールを更に具備し、
    前記計測ツールは、前記プロセスに対してプロセス制御出力データを測定し、前記プロセスモデルを更新するための前記プロセスツールコントローラに前記測定されたプロセス制御出力データを提供するように構成されている請求項32に記載のシステム。
  34. 前記プロセスツールは、エッチングプロセスツール、堆積プロセスツール、スピンコーティングプロセスツール、および熱処理システムツールのうちの少なくとも1つを備えている請求項32に記載のシステム。
  35. プロセス制御入力データからプロセス制御出力データを予測するようにプロセスモデルを利用するためのグラフィックユーザーインターフェース(GUI)であって、
    前記GUIに少なくとも1つのデルタフィールドを使用して前記プロセス制御入力データの少なくとも1つのパラメータに入力変化を入力するための手段と、
    前記入力変化を使用して前記プロセス制御出力データの少なくとも1つのパラメータの出力変化を決定するように前記プロセスモデルを実行するための手段と、
    前記GUIの出力フィールドの前記出力変化を示すための手段とを具備するGUI。
  36. 前記プロセスモデルは、部分最小二乗(PLS)モデルである請求項35に記載のGUI。
  37. プロセスモデルを使用して目標プロセス制御出力データを達成するようにプロセス制御入力データを最適化するためのグラフィックユーザーインターフェース(GUI)であって、
    前記プロセス制御出力データの少なくとも1つのパラメータに目標変化を入力するための手段と、
    前記目標変化を用いて前記プロセス制御入力データの少なくとも1つのパラメータに、入力変化を最適化するように前記プロセスモデルを実行するための手段と、
    前記GUIのデルタフィールドの前記入力変化を示すための手段とを具備するGUI。
  38. 前記プロセスモデルは、部分最小二乗(PLS)モデルである請求項37に記載のGUI。
  39. コンピュータシステムによって実行されるときに、次のステップをコンピュータシステムに実行させる、半導体製造システムを制御するコンピュータシステム上で実行するためのプログラム命令を含んでいるコンピュータ読み取り可能なメディアであって、
    前記半導体製造システムでプロセスに対してプロセス制御入力データをセットするステップと、
    前記半導体製造システムの前記プロセスからプロセス制御出力データを測定するステップと、
    前記プロセス制御出力データと、前記プロセス制御入力データとの間の関係を決定するステップと、
    プロセスの目標結果に目標プロセス制御出力データをセットするステップと、
    前記目標プロセス制御出力データと、予測されるプロセス制御出力データとの間の違いを最小にすることによって新しいプロセス制御入力データを算出するステップとを具備し、
    前記予測されたプロセス制御出力データは、前記新しいプロセス制御入力データに適用される前記関係を使用して決定されるメディア。
  40. 前記プロセス制御入力データと、前記プロセス制御出力データとの間の前記関係を更新するステップを実行することを前記プログラム命令に更に含んでいる請求項39のメディア。
  41. 指数的に重み付けをされた移動平均(EMWA)フィルタを使用するステップを実行することを前記プログラム命令に更に含んでいる請求項40のメディア。
  42. 前記プログラム命令において前記算出することは、前記新しいプロセス制御入力データを算出する前に、前記プロセス制御入力データを重み付けすることを備えている請求項39のメディア。
  43. 前記プログラム命令において前記算出することは、前記新しいプロセス制御入力データを算出する前に、前記プロセス制御出力データを重み付けすることを備えている請求項39のメディア。
  44. 前記プロセス制御入力データと、前記新しいプロセス制御入力データとの間の違いを最小にするステップを実行することを前記プログラム命令に更に含んでいる請求項39のメディア。
  45. 前記プログラム命令において前記決定することは、部分最小二乗(PLS)解析を使用して展開されたプロセスモデルとの前記関係を展開することを備えている請求項39のメディア。
  46. 多重入力多重出力(MIMO)プロセスモデルとの前記関係を展開するステップを実行することを更に前記プログラム命令に含んでいる請求項39のメディア。
  47. 前記プログラム命令において前記展開することは、=f()+によって特徴づけられたMIMOプロセスモデルを展開することを備えており、
    は、プロセス制御出力データを含み、
    は、プロセス制御入力データを含み、
    は、定数の配列を含み、
    f()は、のファンクションを表す請求項46のメディア。
  48. 前記ファンクションf()は、線形関数を表す請求項47のメディア。
  49. 前記ファンクションf()は、非線形関数を表す請求項47のメディア。
  50. エッチングプロセスに対して前記プロセス入力データをセットするステップを実行することを更に前記プログラム命令に含んでいる請求項39のメディア。
  51. 前記プログラム命令において前記セットすることは、前記プロセス制御入力データをRF電力、圧力、流体流量、温度、回転速度、および組成のうちの少なくとも1つに対してセットすることを備えている請求項39のメディア。
  52. 前記プログラム命令において前記セットすることは、RF電力、圧力、C流量、一酸化炭素流量、およびO流量のうちの少なくとも1つを含む前記プロセス制御入力データをセットすることを備えている請求項51のメディア。
  53. 前記プログラム命令においてプロセス制御出力データを前記測定することは、限界寸法、傾斜、形状、エッチング速度、エッチング深さ、堆積速度、および膜厚のうちの少なくとも1つを包含している前記プロセス制御出力データを測定することを備えている請求項39のメディア。
  54. 前記プログラム命令においてプロセス制御出力データを前記測定することは、トレンチ上部の限界寸法、トレンチ底部の限界寸法、トレンチの側壁の傾斜、コンタクト上部の限界寸法、コンタクト底部の限界寸法、およびコンタクトの側壁の傾斜のうちの少なくとも1つを測定することを備えている請求項51のメディア。
  55. 前記プログラム命令において前記算出することは、Newton―Rhapsonテクニックを使用して前記違いを最小にすることを備えている請求項39のメディア。
JP2007506150A 2004-03-30 2005-02-01 ランtoラン制御の方法とシステム Active JP4971132B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/811,932 US7127358B2 (en) 2004-03-30 2004-03-30 Method and system for run-to-run control
US10/811,932 2004-03-30
PCT/US2005/002770 WO2005103997A2 (en) 2004-03-30 2005-02-01 Method and system for run-to-run control

Publications (3)

Publication Number Publication Date
JP2007531305A true JP2007531305A (ja) 2007-11-01
JP2007531305A5 JP2007531305A5 (ja) 2008-03-21
JP4971132B2 JP4971132B2 (ja) 2012-07-11

Family

ID=35055474

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007506150A Active JP4971132B2 (ja) 2004-03-30 2005-02-01 ランtoラン制御の方法とシステム

Country Status (7)

Country Link
US (1) US7127358B2 (ja)
EP (1) EP1733325A4 (ja)
JP (1) JP4971132B2 (ja)
KR (1) KR101121809B1 (ja)
CN (1) CN100530206C (ja)
TW (1) TWI292111B (ja)
WO (1) WO2005103997A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013527135A (ja) * 2010-02-11 2013-06-27 モノソル アールエックス リミテッド ライアビリティ カンパニー フィルム生成を最適にしてフィルムスクラップを最小にするための方法及びシステム
KR101290199B1 (ko) 2009-01-29 2013-07-30 어플라이드 머티어리얼스, 인코포레이티드 반도체 제조 설비에서 런-투-런 제어를 위한 컨텍스트 오프셋들을 추정하기 위한 방법 및 시스템
JP2017151453A (ja) * 2011-09-01 2017-08-31 ケーエルエー−テンカー コーポレイション 問題のある高度プロセス制御パラメータの検出及び訂正のためのシステム及び方法
JP2019153768A (ja) * 2018-03-01 2019-09-12 ヴェリティー インストルメンツ,インコーポレイテッド 適応型−モジュール型光学センサに基づくプロセス制御システム及びその動作の方法

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7357891B2 (en) 2001-10-12 2008-04-15 Monosol Rx, Llc Process for making an ingestible film
US11207805B2 (en) 2001-10-12 2021-12-28 Aquestive Therapeutics, Inc. Process for manufacturing a resulting pharmaceutical film
US8900497B2 (en) 2001-10-12 2014-12-02 Monosol Rx, Llc Process for making a film having a substantially uniform distribution of components
US20190328679A1 (en) 2001-10-12 2019-10-31 Aquestive Therapeutics, Inc. Uniform films for rapid-dissolve dosage form incorporating anti-tacking compositions
US20070281003A1 (en) 2001-10-12 2007-12-06 Fuisz Richard C Polymer-Based Films and Drug Delivery Systems Made Therefrom
US20110033542A1 (en) 2009-08-07 2011-02-10 Monosol Rx, Llc Sublingual and buccal film compositions
US10285910B2 (en) 2001-10-12 2019-05-14 Aquestive Therapeutics, Inc. Sublingual and buccal film compositions
US8900498B2 (en) 2001-10-12 2014-12-02 Monosol Rx, Llc Process for manufacturing a resulting multi-layer pharmaceutical film
US8603514B2 (en) 2002-04-11 2013-12-10 Monosol Rx, Llc Uniform films for rapid dissolve dosage form incorporating taste-masking compositions
US8765167B2 (en) 2001-10-12 2014-07-01 Monosol Rx, Llc Uniform films for rapid-dissolve dosage form incorporating anti-tacking compositions
KR100909184B1 (ko) * 2004-03-11 2009-07-23 주식회사 동진쎄미켐 근적외선 분광기를 이용한 리쏘그래피 공정용 조성물의실시간 제어 시스템 및 제어 방법
US7309842B1 (en) * 2004-03-19 2007-12-18 Verionix Incorporated Shielded monolithic microplasma source for prevention of continuous thin film formation
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
US7751908B2 (en) * 2004-12-02 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thermal process control
US7340374B2 (en) * 2005-02-25 2008-03-04 International Business Machines Corporation Determining fleet matching problem and root cause issue for measurement system
US7532999B2 (en) * 2005-02-25 2009-05-12 International Business Machines Corporation Determining root cause of matching problem and/or fleet measurement precision problem for measurement system
KR100744121B1 (ko) * 2006-01-11 2007-08-01 삼성전자주식회사 반도체 기판의 처리 방법
DE102006004430B4 (de) * 2006-01-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für eine fortschrittliche Prozesssteuerung in einem Ätzsystem durch Gasflusssteuerung auf der Grundlage von CD-Messungen
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
JP2007318036A (ja) * 2006-05-29 2007-12-06 Toshiba Corp 半導体製造装置管理システム、半導体製造装置の異常要因抽出方法及びその管理方法
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7725205B1 (en) 2006-09-21 2010-05-25 Novellus Systems, Inc. Apparatus and methods for providing a homogenous I/O interface for controlling a heterogenous mixture of hardware I/O systems
US20080233269A1 (en) * 2007-03-20 2008-09-25 Tokyo Electron Limited Apparatus and methods for applying a layer of a spin-on material on a series of substrates
US20080248412A1 (en) * 2007-04-09 2008-10-09 John Douglas Stuber Supervisory etch cd control
DE102007017592B4 (de) * 2007-04-13 2011-11-24 Texas Instruments Deutschland Gmbh Verfahren zur Regelung eines Epitaxieaufwachsverfahrens in einem Epitaxiereaktor, Regler und Datenanlysemodul für Epitaxieaufwachsverfahren
US7720560B2 (en) * 2007-07-26 2010-05-18 International Business Machines Corporation Semiconductor manufacturing process monitoring
US7925369B2 (en) * 2007-12-18 2011-04-12 Globalfoundries Inc. Method and apparatus for optimizing models for extracting dose and focus from critical dimension
JP4917527B2 (ja) * 2007-12-21 2012-04-18 東京エレクトロン株式会社 情報処理装置、情報処理方法、およびプログラム
ES2342958B2 (es) * 2008-09-03 2011-07-04 Emite Ingenieria Slne Analizador de multiples entradas y multiples salidas.
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
CN102044482B (zh) * 2009-10-20 2013-03-06 中芯国际集成电路制造(上海)有限公司 沟槽的形成方法
US9149959B2 (en) 2010-10-22 2015-10-06 Monosol Rx, Llc Manufacturing of small film strips
US20130046494A1 (en) * 2011-08-18 2013-02-21 General Electric Company Meter having a fiber optic interface
US8917261B2 (en) * 2012-06-26 2014-12-23 Atmel Corporation Pixel occlusion mitigation
US10229843B2 (en) * 2012-10-31 2019-03-12 Tokyo Electron Limited Substrate processing apparatus and control device for substrate processing apparatus
US9711332B2 (en) * 2013-05-09 2017-07-18 Lam Research Corporation Systems and methods for tuning an impedance matching network in a step-wise fashion for multiple states of an RF generator
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
CN104733277B (zh) * 2013-12-23 2017-03-08 中微半导体设备(上海)有限公司 等离子体刻蚀系统
CN104733279B (zh) * 2013-12-23 2017-02-15 中微半导体设备(上海)有限公司 等离子体刻蚀系统
EP2937747A1 (de) * 2014-04-24 2015-10-28 Siemens Aktiengesellschaft Auf Modellierung einer Beizlinie beruhende Optimierung einer Sequenz von zu beizenden Bändern
CN106537877A (zh) * 2014-07-03 2017-03-22 阿特拉斯·科普柯工业技术公司 用于有利于在工作工具网络环境中通信的方法和系统
US10102321B2 (en) * 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
CN104332435B (zh) * 2014-11-17 2017-07-21 北京七星华创电子股份有限公司 一种半导体工艺设备的参数调整方法
JP6665183B2 (ja) * 2015-07-21 2020-03-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN105185680B (zh) * 2015-09-22 2017-10-03 上海华力微电子有限公司 一种电流检测装置及介质膜蚀刻装置
US11273131B2 (en) 2016-05-05 2022-03-15 Aquestive Therapeutics, Inc. Pharmaceutical compositions with enhanced permeation
CA3022840A1 (en) 2016-05-05 2017-11-09 Aquestive Therapeutics, Inc. Enhanced delivery epinephrine compositions
JP6991833B2 (ja) * 2017-10-31 2022-01-13 株式会社日立製作所 因果関係モデル構築システムおよび方法
TWI698728B (zh) * 2018-03-13 2020-07-11 日商住友重機械工業股份有限公司 用以支援製程控制之顯示裝置及顯示方法
JP7348440B2 (ja) * 2018-03-20 2023-09-21 東京エレクトロン株式会社 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法
US11024522B2 (en) 2018-05-24 2021-06-01 Applied Materials, Inc. Virtual sensor for spatially resolved wafer temperature control
US10770257B2 (en) * 2018-07-20 2020-09-08 Asm Ip Holding B.V. Substrate processing method
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
CN111109643B (zh) * 2019-12-12 2021-10-22 河南中烟工业有限责任公司 一种薄板烘丝的烟丝含水量的控制方法及系统
JP2021180070A (ja) * 2020-05-11 2021-11-18 東京エレクトロン株式会社 プラズマ処理装置及びマイクロ波制御方法
US11587765B2 (en) * 2020-11-22 2023-02-21 Applied Materials, Inc. Plasma ignition optimization in semiconductor processing chambers
CN117194963B (zh) * 2023-11-02 2024-02-09 合肥喆塔科技有限公司 工业fdc质量根因分析方法、设备及存储介质

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003531491A (ja) * 2000-04-13 2003-10-21 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 半導体加工のための自動プロセスモニタおよび分析システム
JP2004509407A (ja) * 2000-09-15 2004-03-25 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 半導体製造における制御を改良するための適応サンプリング方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5408405A (en) * 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
SE9304246L (sv) * 1993-12-22 1995-06-23 Asea Brown Boveri Förfarande vid övervakning av multivariata processer
WO1997012300A1 (en) * 1995-09-26 1997-04-03 Boiquaye William J N O Adaptive control process and system
KR0160386B1 (ko) * 1995-10-13 1999-02-01 김광호 반도체소자 제조 공정의 제어 시스템 및 그 제어 방법
CN1239969C (zh) * 1999-06-22 2006-02-01 布鲁克斯自动化公司 用于微电子学器件生产的逐次运行控制器
US6439964B1 (en) * 1999-10-12 2002-08-27 Applied Materials, Inc. Method of controlling a polishing machine
ATE303618T1 (de) * 2000-03-10 2005-09-15 Smiths Detection Inc Steuerung für einen industriellen prozes mit einer oder mehreren multidimensionalen variablen
WO2004003822A1 (en) * 2002-06-28 2004-01-08 Tokyo Electron Limited Controlling a material processing tool and performance data
US7158851B2 (en) * 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
US8050900B2 (en) * 2003-09-30 2011-11-01 Tokyo Electron Limited System and method for using first-principles simulation to provide virtual sensors that facilitate a semiconductor manufacturing process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003531491A (ja) * 2000-04-13 2003-10-21 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 半導体加工のための自動プロセスモニタおよび分析システム
JP2004509407A (ja) * 2000-09-15 2004-03-25 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 半導体製造における制御を改良するための適応サンプリング方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101290199B1 (ko) 2009-01-29 2013-07-30 어플라이드 머티어리얼스, 인코포레이티드 반도체 제조 설비에서 런-투-런 제어를 위한 컨텍스트 오프셋들을 추정하기 위한 방법 및 시스템
JP2013527135A (ja) * 2010-02-11 2013-06-27 モノソル アールエックス リミテッド ライアビリティ カンパニー フィルム生成を最適にしてフィルムスクラップを最小にするための方法及びシステム
JP2017151453A (ja) * 2011-09-01 2017-08-31 ケーエルエー−テンカー コーポレイション 問題のある高度プロセス制御パラメータの検出及び訂正のためのシステム及び方法
US10295993B2 (en) 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
JP2019113873A (ja) * 2011-09-01 2019-07-11 ケーエルエー−テンカー コーポレイション 問題のある高度プロセス制御パラメータの検出及び訂正のためのシステム
JP2019153768A (ja) * 2018-03-01 2019-09-12 ヴェリティー インストルメンツ,インコーポレイテッド 適応型−モジュール型光学センサに基づくプロセス制御システム及びその動作の方法

Also Published As

Publication number Publication date
US7127358B2 (en) 2006-10-24
TW200606687A (en) 2006-02-16
CN100530206C (zh) 2009-08-19
KR101121809B1 (ko) 2012-03-20
TWI292111B (en) 2008-01-01
CN1914618A (zh) 2007-02-14
EP1733325A4 (en) 2007-12-26
WO2005103997A2 (en) 2005-11-03
WO2005103997A3 (en) 2005-12-29
EP1733325A2 (en) 2006-12-20
JP4971132B2 (ja) 2012-07-11
US20050222781A1 (en) 2005-10-06
KR20070012340A (ko) 2007-01-25

Similar Documents

Publication Publication Date Title
JP4971132B2 (ja) ランtoラン制御の方法とシステム
US7844559B2 (en) Method and system for predicting process performance using material processing tool and sensor data
US7167766B2 (en) Controlling a material processing tool and performance data
US7939450B2 (en) Method and apparatus for spacer-optimization (S-O)
JP4699367B2 (ja) 適応性多変数分析を使用して処理装置を診断する方法および装置
KR101568879B1 (ko) 다층/다중입력/다중출력(mlmimo) 모델의 설정 및 이용 방법
US7713758B2 (en) Method and apparatus for optimizing a gate channel
US8501499B2 (en) Adaptive recipe selector
US7713760B2 (en) Process system health index and method of using the same
US7899637B2 (en) Method and apparatus for creating a gate optimization evaluation library
US20100081285A1 (en) Apparatus and Method for Improving Photoresist Properties
US7765077B2 (en) Method and apparatus for creating a Spacer-Optimization (S-O) library
US7972483B2 (en) Method of fault detection for material process system
US8532796B2 (en) Contact processing using multi-input/multi-output (MIMO) models
JP2006501684A (ja) プラズマプロセスのデータを解析するための方法とシステム
JP4660091B2 (ja) 材料処理システムおよび材料処理システムを特徴づける方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080131

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080131

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111004

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120104

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120112

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120306

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120405

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150413

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4971132

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250