JP4699367B2 - 適応性多変数分析を使用して処理装置を診断する方法および装置 - Google Patents

適応性多変数分析を使用して処理装置を診断する方法および装置 Download PDF

Info

Publication number
JP4699367B2
JP4699367B2 JP2006526083A JP2006526083A JP4699367B2 JP 4699367 B2 JP4699367 B2 JP 4699367B2 JP 2006526083 A JP2006526083 A JP 2006526083A JP 2006526083 A JP2006526083 A JP 2006526083A JP 4699367 B2 JP4699367 B2 JP 4699367B2
Authority
JP
Japan
Prior art keywords
data
processing
factor
centering
adaptive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006526083A
Other languages
English (en)
Other versions
JP2007505494A (ja
Inventor
チャムネス、ケビン・アンドリュー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007505494A publication Critical patent/JP2007505494A/ja
Application granted granted Critical
Publication of JP4699367B2 publication Critical patent/JP4699367B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0218Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterised by the fault detection method dealing with either existing or incipient faults
    • G05B23/0224Process history based detection method, e.g. whereby history implies the availability of large amounts of data
    • G05B23/024Quantitative history assessment, e.g. mathematical relationships between available data; Functions therefor; Principal component analysis [PCA]; Partial least square [PLS]; Statistical classifiers, e.g. Bayesian networks, linear regression or correlation analysis; Neural networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Data Mining & Analysis (AREA)
  • Power Engineering (AREA)
  • Artificial Intelligence (AREA)
  • Evolutionary Computation (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Algebra (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Databases & Information Systems (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Computational Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing And Monitoring For Control Systems (AREA)
  • General Factory Administration (AREA)

Description

本発明は、主成分分析(PCA)を使用して処理装置を診断する方法、より詳細には、更新されたPCAの利用に関する。
半導体製造におけるような材料処理装置のモデル作成および制御は、歴史的に非常に挑戦的な仕事であった。材料処理装置は、代表的には、各々が独特な化学、機械的および電気的特性を有する様々な処理レシピおよび製品を処理する。また、材料処理装置は、重要な部品が清浄されるか或いは取り替えられる頻繁なメンテナンスサイクルを受け、周期的な問題が起こると、これらの問題は、新規なハードウエア設計で取り組まれる。また、少数の基板品質計量値を性能に直接関係付ける処理工程がある。統合計測学なしでは、これらの測定は、遅らされ、しばしば、基板毎には測定されない。これらの問題点は、簡単なツールではすでにモデル作成し難い複雑な処理装置の一因となる。
モデルにおいて処理装置の挙動を捕らえる1つの方法は、主成分分析(PCA)のような多変数分析を処理装置データに適用することである。しかしながら、処理装置のドリフトならびにトレースデータの変化に起因して、静的PCAモデルは、長い水平線にわたって単一の処理装置のための監視を可能にするのに十分でない。更に、1つの処理装置のための開発されたモデルは、他の処理装置まで、例えば、1つのエッチング処理チャンバから同じ設計の他のエッチング処理チャンバまで持ち越すことができない。
本発明の1つの目的は、前述の問題の幾つかまたはすべて、または従来技術における他の問題を解決するか、或いは軽減することである。
本発明の他の目的は、長い水平線にわたって単一の処理装置のための監視を可能にする強靭なモデルを提供することである。
本発明の更に他の目的は、1つより多い処理装置への有用な適応が可能である強靭なモデルを提供することである。
課題を解決する手段
本発明のこれらの目的および他の目的は、本発明による適応性多変数分析を使用して処理装置を診断する方法によって満たされ得る。
1つの態様によれば、半導体製造の過程中、基板を処理するための処理装置を監視する方法が示される。この方法は、複数の観測について処理装置から複数のデータパラメータを備えているデータを得ることと、センターリング係数を含めて、データから主成分分析(PCA)モデルを構成することと、複数のデータパラメータの追加の観測を有する追加のデータを処理装置から得ることと、センターリング係数を調整してPCAモデルにおけるデータパラメータの各々のための更新された適応性センターリング係数を生成することと、更新された適応性センターリング係数をPCAモデルにおけるデータパラメータの各々に適用することと、PCAモデルを使用して追加のデータから少なくとも1つの統計量値を定めることと、少なくとも1つの統計量値のための制御限界を設定することと、少なくとも1つの統計量値を制御限界と比較することとを有している。また、この方法は、PCAモデルからスケーリング係数を定めることと、スケーリング係数を調整してPCAモデルにおけるデータパラメータの各々のための更新された適応性スケーリング係数を生成することと、更新された適応性スケーリング係数をPCAモデルにおける前記データパラメータの各々に適用することとを有している。
他の態様によれば、半導体製造の過程中、基板を処理するための処理装置を監視するための主成分分析(PCA)モデルの改良が示され、この改良は、所定のデータパラメータの現在の観測中の各データパラメータのための適応性センターリング係数を有しており、
適応性センターリング係数は、適応性センターリング係数の以前の値と、現在の観測についてのデータパラメータの現在の値とを組合せたものであり、以前の値は、現在の観測に先立つ複数の観測中のデータパラメータの平均値よりなる。また、この改良は、更に、所定のデータパラメータの現在の観測中の各データパラメータのための適応性スケーリング係数を有することができ、適応性スケーリング係数は、再帰的な標準偏差フィルタの適用よりなり、式は、適応性スケーリング係数の以前の値と、前記現在の観測についての各データパラメータの現在の値と、適応性センターリング係数の以前の値とを組合せたものであり、適応性センターリング係数の以前の値は、前記現在の観測に先立つ複数の観測中のデータパラメータの標準偏差よりなり、適応性センターリング係数の以前の値は、現在の観測に先立つ複数の観測中のデータパラメータの平均値よりなる。
更に、他の態様によれば、半導体製造の過程中、基板を処理するための処理装置が示され、この処理装置は、処理ツールと、この処理ツールに接続されている処理性能監視装置とを有しており、この処理性能監視装置は、処理ツールに接続された複数のセンサと、これらの複数のセンサおよび処理ツールに接続されたコントローラとを有しており、コントローラは、複数の観測について複数のデータパラメータを有するデータを複数のセンサから得る手段と、センターリング係数を含めて、これらのデータから主成分分析(PCA)モデルを構成する手段と、追加のデータを複数のセンサから得る手段と、データパラメータの各々のための更新された適応性センターリング係数を生成するようにセンターリング係数を調整する手段と、更新された適応性センターリング係数を前記PCAモデルにおけるデータパラメータの各々に適用する手段と、PCAモデルを使用して追加のデータから少なくとも1つの統計量値を定める手段と、少なくとも1つの統計量値のための制御限界を設定する手段と、少なくとも1つの統計量値を制御限界と比較する手段とを有している。また、処理装置は、PCAモデルからスケーリング係数を定める手段と、PCAモデルにおける前記データパラメータの各々のための更新された適応性スケーリング係数を生成するようにスケーリング係数を調整する手段と、更新された適応性スケーリング係数をPCAモデルにおけるデータパラメータの各々に適用する手段とを有することができる。
他の態様によれば、半導体製造の過程中、基板を処理するための処理装置を監視するための処理性能監視装置が示され、この処理性能監視装置は、処理装置に接続された複数のセンサと、これらの複数のセンサおよび処理装置に接続されたコントローラとを有しており、このコントローラは、複数の観測について複数のデータ変数を有しているデータを複数のセンサから得る手段と、複数の観測について複数のデータパラメータを備えているデータを複数のセンサから得る手段と、センターリング係数を含めて、前記データから主成分分析(PCA)モデルを構成する手段と、追加のデータを複数のセンサから得る手段と、データパラメータの各々のための更新された適応性センターリング係数を生成するようにセンターリング係数を調整する手段と、更新された適応性センターリング係数をPCAモデルにおける前記データパラメータの各々に適用する手段と、PCAモデルを使用して追加のデータから少なくとも1つの統計量値を定める手段と、少なくとも1つの統計量値のための制御限界を設定する手段と、少なくとも1つの統計量値を制御限界と比較する手段とを有している。また、更に、処理装置は、PCAモデルからスケーリング係数を定める手段と、PCAモデルにおけるデータパラメータの各々のための更新された適応性スケーリング係数を生成するようにスケーリング係数を調整する手段と、更新された適応性スケーリング係数をPCAモデルにおけるデータパラメータの各々に適用する手段とを有することができる。
他の態様によれば、半導体製造の過程中、基板を処理するための第1処理装置を監視する方法が示される。この方法は、複数の観測について複数のデータ変数を有しているデータを第2処理装置から得ることと、センターリング係数を含めて、第2処理装置のためのデータから主成分分析(PCA)モデルを構成することと、複数のデータパラメータの追加の観測を有する追加のデータを第1処理装置から得ることと、センターリング係数を調整してPCAモデルにおけるデータパラメータの各々のための更新された適応性センターリング係数を生成することと、更新された適応性センターリング係数をPCAモデルにおけるデータパラメータの各々に適用することと、PCAモデルを使用して追加のデータから少なくとも1つの統計量値を定めることと、少なくとも1つの統計量値のための制御限界を設定することと、少なくとも1つの統計量値を制御限界と比較することとを有している。また、この方法は、更に、PCAモデルからスケーリング係数を定めることと、スケーリング係数を調整してPCAモデルにおけるデータパラメータの各々のための更新された適応性スケーリング係数を生成することと、更新された適応性スケーリング係数をPCAモデルにおけるデータパラメータの各々に適用することとを有することができる。
他の態様によれば、処理装置における複数の基板ラン中に生じる処理欠陥を類別するための方法が示される。この方法は、複数の基板ラン内の各基板ランについて処理装置からの複数のデータパラメータを監視することと、多変数分析を使用して、複数の基板ラン内の、処理欠陥が生じた欠陥基板ランを識別することと、欠陥基板ランに先立つ第1の基板ランを選択することと、第1の基板ラン中、複数のデータパラメータの各々のための第1の複数の平均値を算出することと、欠陥基板ランに引き続く第2の基板ランを選択することと、第2の基板ラン中、複数のデータパラメータの各々のための第2の複数の平均値を算出することと、複数のデータパラメータの各々のための第2の複数の平均値と第1の複数の平均値との複数の差の絶対値を定めることと、第1の基板ランおよび第2の基板ランのうちの少なくとも一方の間、複数のデータパラメータの各々のための複数の標準偏差を算出することと、複数のデータパラメータの各々のための複数の標準偏差により複数の差を正規化することと、正規化された差のうちの最大の値を定めることと、複数のデータパラメータの中で、正規化された差の最大の値に対応するデータパラメータを識別することとを有している。
他の態様によれば、処理装置における複数の基板ラン中に生じる処理欠陥を識別するための方法が示される。この方法は、複数の基板ラン内の各基板ランについて処理装置からの複数のデータパラメータを監視することと、多変数分析を使用して、複数の基板ラン内の、処理欠陥が生じた欠陥基板ランを識別することと、欠陥基板ランに先立つ第1の基板ランを選択することと、第1の基板ラン中の複数のデータパラメータの各々のための第1の複数の標準偏差を算出することと、欠陥基板ランに引き続く第2の基板ランを選択することと、第2の基板ラン中の複数のデータパラメータの各々のための第2の複数の標準偏差を算出することと、複数のデータパラメータの各々のための第2の複数の標準偏差と第1の複数の標準偏差との複数の差の絶対値を定めることと、第1の基板ランおよび第2の基板ランのうちの一方の間、複数のデータパラメータの各々のための複数の平均値を算出することと、複数のデータパラメータの各々のための複数の平均値により複数の差を正規化することと、正規化された差のうちの最大の値を定めることと、複数のデータパラメータの中で、正規化された差の最大の値に対応するデータパラメータを識別することとを有している。
本発明の実施の形態によれば、材料処理装置1が図1に示されており、この材料処理装置1は、処理ツール10と、処理性能監視装置100とを有している。処理性能監視装置100は、複数のセンサ50と、コントローラ55とを有している。また、材料処理装置1は、複数の処理ツール10を有することができる。センサ50は、ツールデータを測定するために処理ツール10に接続されており、コントローラ55は、ツールデータを受信するためにセンサ50に接続されている。また、コントローラ55は、更に、処理ツール10に接続されている。しかも、コントローラ55は、(ツール)データパラメータを使用して処理装置1の性能を監視するように構成されている。処理性能は、例えば、処理欠陥の検出を含むことができる。
図1に示される図示の実施の形態では、材料処理装置1は、材料処理のためにプラズマを利用している。望ましくは、材料処理装置1は、エッチングチャンバを有している。また、材料処理装置1は、例えば、フォトレジストスピンコーティング装置のようなフォトレジストコーティングチャンバ、例えば、紫外線(UV)リソグラフィ装置のようなフォトレジストパターン化チャンバ、例えば、スピンオンガラス(SOG)またはスピンオン誘電体(SOD)装置のような誘電体コーティングチャンバ、例えば、化学蒸着(CVD)装置または物理蒸着(PVD)装置のような蒸着チャンバ、例えば、熱焼きなまし用の急速熱処理(RTP)装置のような急速熱処理(RTP)チャンバ、またはバッチ処理垂直炉を有している。
図2に示される本発明の図示の実施の形態によれば、材料処理装置1は、処理ツール10と、被処理基板が取り付けられる基板ホルダ20と、ガス注入装置40と、真空排気装置58とを有している。基板25は、例えば、半導体基板、」ウエーハまたは液晶ディスプレイ(LCD)であることができる。処理ツール10は、例えば、加熱された電子とイオン化可能なガスとの衝突によりプラズマが形成される場合、基板25の表面に隣接した処理領域45におけるプラズマの発生を容易にするように構成されることができる。イオン化可能なガスまたはガス混合物がガス注入装置40を経て導入され、処理圧力が調整される。望ましくは、所定の材料処理の特有の材料を生成するように、そして基板25への材料の付着または基板25の露出面からの材料の除去を助けるために、プラズマが利用される。例えば、コントローラ55は、真空排気装置58およびガス注入装置40を制御するために使用されることができる。
基板25は、例えば、ロボット基板移送装置により、スロット弁(図示せず)およびチャンバフィードスルー(図示せず)を通して処理ツール10へ、および処理ツール10から移送されることができ、ロボット基板移送装置では、基板25が、基板ホルダ20内に収容された基板リフトピン(図示せず)により受け取られ、この移送装置に収容された装置により機械的に並進される。基板25が基板移送装置から受け取られると、この基板は、基板ホルダ20の上面に降下される。
例えば、基板25は、静電クランプ装置28を介して基板ホルダ20に取り付けられることができる。しかも、基板ホルダ20は、更に、この基板ホルダ20から熱を受け、そして熱を熱交換装置(図示せず)に移送するか、或いは加熱時に、熱を熱交換装置から移送する再循環冷却材の流れを有する冷却系を有することができる。しかも、基板25と基板ホルダ20との間のガスギャップ熱コンダクタンスを改良するために、ガスが、裏面側ガス装置26を経て基板の裏面側に送出されることができる。このような装置は、上昇温度または低下温度で基板の温度制御が必要とされるときに、利用されることができる。例えば、基板の温度制御は、プラズマから基板25へ送出される熱束と、伝導により基板25から基板ホルダ20へ取出される熱束との釣り合いにより達成される定常状態温度を上回る温度で有用であることができる。他の実施形態では、抵抗加熱要素のような加熱要素または熱電加熱器/冷却器が備えられることができる。
図2に示されるように、基板ホルダ20は、電極を有しており、この電極を介してRF電力が処理領域45におけるプラズマに結合出力される。例えば、基板ホルダ20は、RF発生器30からインピーダンス整合ネットワーク32を通して基板ホルダ20へのRF電力の伝送によりRF電圧で電気的にバイアスされることができる。RFバイアスは、プラズマを形成して維持するために電子を加熱するのに役立つことができる。この構成では、装置は、チャンバおよび上側ガス注入電極が設置面として役立つ反応性イオンエッチング(RIE)反応器として作動することができる。RFバイアスのための代表的な周波数は、1MHzから100MHzまでに及ぶことができ、好ましくは13.56MHzである。
また、RF電力は、多数の周波数で基板ホルダ電極に付加されることができる。更に、インピーダンス整合ネットワーク32は、反射電力を最小にすることにより処理チャンバ10におけるプラズマへのRF電力の移送を最大にするのに役立つ。種々の整合ネットワーク位相幾何学(例えば、L−型、π―型、T−型など)および自動制御方法が利用されることができる。
図2を続けて参照すると、処理ガスは、例えば、ガス注入装置40を通して処理領域45に導入されることができる。処理ガスとしては、例えば、酸化物エッチング用途については、アルゴン、CFおよびO、またはアルゴン、CおよびOのようなガス混合物、または例えば、O/CO/Ar/C、O/CO/Ar/C、O/CO/Ar/C、O/Ar/C、N2/H2のような他の化学薬品がある。ガス注入装置40は、シャワーヘッドを有しており、このシャワーヘッドでは、処理ガスは、ガス送出し装置(図示せず)からガス注入プレナム(図示せず)、一ランの邪魔板(図示せず)および多オリフィスシャワーヘッドガス注入板(図示せず)を通して処理領域45に供給される。
真空ポンプ装置58は、例えば、5000リットル/秒(およびそれ以上)までの排気速度が可能なターボ分子真空ポンプ(TMP)、およびチャンバ圧力を絞るためのゲート弁を有することができる。乾式プラズマエッチングのために利用されている従来のプラズマ処理装置では、1000ないし3000リットル/秒のTMPが一般に用いられている。TMPは、代表的には50mTorr未満の低圧の処理のために有用である。より高い圧力では、TMP排気速度は、劇的に低下する。(例えば、100mTorrより大きい)高圧の処理のためには、機械的ブースタポンプおよび乾式真空ポンプが使用されることができる。更に、チャンバ圧力を監視するための装置(図示せず)が処理チャンバ16に接続されている。圧力測定装置は、例えば、MKSインストルメント社(アンドーバ、MA)から市販されているタイプ628Bのバラトン絶対キャパシタンス圧力計であることができる。
図1に示されるように、処理性能監視装置100は、ツールデータを測定するために処理ツールに接続された複数のセンサ50と、ツールデータを受信するためにセンサ50に接続されたコントローラ55とを有している。センサ50としては、処理ツール10に固有であるセンサと、処理ツール10に固有でないセンサとの両方がある。処理ツール10に固有であるセンサとしては、ヘリウム裏面ガス圧力、ヘリウム裏面流れ、静電気チャック(ESC)電圧、ESC電流、基板ホルダ20の温度(または下側電極(LEL)の温度)、冷却材の温度、上側電極(UEL)の温度、前方RF電力、反射RF電力、自己誘導DCバイアス、RFピーク間電圧、チャンバ壁部の温度、処理ガスの流量、処理ガスの部分圧力、チャンバ圧、キャパシタ設定(すなわち、CおよびC位置)、フォーカスリングの厚さ、RF時間、フォーカスリングRF時間およびそれらの任意の統計数値の測定のような処理ツール10の機能性に関するセンサがある。また、処理ツール10に固有でないセンサとしては、図2に示されるように処理領域45におけるプラズマから発せられる光を監視するための光検出装置34、または図2に示されるように処理ツール10の電気系統を監視するための電気測定装置36のような、処理ツール10の機能性に直接関係付けされないセンサがある。
光検出装置34は、プラズマから発せられる全光の強さを測定するための(シリコン)ホトダイオードまたは光電子増倍管(PMT)のような検出器を有することができる。光検出装置34は、更に、狭帯域干渉フィルタのような光フィルタを有することができる。別の実施の形態では、光検出装置34は、線CCD(電荷結合装置)またはCID(電荷注入装置)アレイ、および格子またはプリズムのような光分散装置を有している。更に、光検出装置34は、所定の波長における光を測定するためのモノクロメータ(例えば、格子/検出器装置)、または例えば、米国特許第5,888,337号に記載されている装置のような光スペクトルを測定するための(例えば、回転格子を有する)分光計を有することができる。
光検出装置34は、ピークセンサ系統からの高解像度OESセンサを有することができる。このようなOESセンサは、紫外線(UV)、可視光線(VIS)および近赤外(NIR)線スペクトルにわたる広範囲スペクトルを有している。ピークセンサ系統では、解像度は、ほぼ1.4オングストロームであり、すなわち、センサは、240から1000nmまでの5550個の波長を収集することが可能である。ピークセンサ系統では、センサは、2048個の画素線形CCDアレイと一体化されている高感度の小型ファイバオプチックUV−VIS−NIR分光計を備えている。
本発明の1つの実施の形態における分光計は、単一および束化光ファイバを通して伝送された光を受け、ファイバから出力された光は、固定格子を使用して線CCDアレイを横切って分散される。前述の構成と同様に、光学真空ウインドを通して発する光は、凸球面レンズを経て光ファイバの入力端部に集中される。各々が所定のスペクトル範囲(UV、VISおよびNIR)用に特別に同調された3つの分光計が、処理チャンバ用のセンサを構成している。各分光計は、独立したA/D変換器を有している。最後に、センサの利用によっては、全発光スペクトルが0.1ないし1.0秒ごとに記録されることができる。
電気測定装置36は、例えば、電流および/または電圧プローブ、電力計またはスペクトル分析器を有することができる。例えば、プラズマ処理装置は、しばしば、プラズマを形成するためにRF電力を用いており、この場合、電気結合要素(すなわち、誘導コイル、電極など)を介してRFエネルギをプラズマに結合出力するために、同軸ケーブルまたは構造体のようなRF伝送回線が用いられる。例えば、電流−電圧プローブを使用した電気測定は、RF伝送回線内のような電気(RF)回路内のどこでも行なわれることができる。更に、電圧または電流の時間トレースのような電気信号の測定により、(周期的信号を推定して)離散フーリエ級数表示を使用して信号を周波数空間に変換し得る。その後、フーリエスペクトル(または時間変化信号については、周波数スペクトル)が、監視され、そして分析されて材料処理装置1の状態を特徴付けることができる。電圧−電流プローブは、例えば、2001年1月8日に出願された出願中の米国特許出願第60/259862号および米国特許第5,467,013号(これらの各々はその全体が参照によりここに組み入れられる)に詳細に述べられている装置であることができる。
別の実施の形態では、電気測定装置36は、材料処理装置1の外側で放射されたRF界を測定するのに有用な広帯域RFアンテナを有することができる。市販されている広帯域RFアンテナが、アンテナリサーチモデルRAM−220(0.1MHzないし300MHz)のような広帯域アンテナである。
一般に、複数のセンサ50は、ツールデータをコントローラ55に与えるために処理ツール10に接続されることができる固有である、および固有でない任意の数のセンサを含むことができる。
コントローラ55は、マイクロプロセッサと、メモリと、入力を材料処理装置1に伝送して活性化し、ならびに材料処理装置1からの出力を監視するのに十分な制御電圧を発生させることが可能な(潜在的にD/Aおよび/またはA/D変換器を有する)デジタルI/Oポートとを有している。図2に示されるように、コントローラ55は、RF発生器30、インピーダンス整合ネットワーク32、ガス注入装置40、真空ポンプ装置58、裏面ガス送出し装置26、静電教示装置28、光検出装置34および電気測定装置36に接続されてこれらと情報を交換することができる。メモリに記憶されたプログラムが、記憶された処理レシピに従って材料処理装置1の前記構成部品と相互作用するように利用される。コントローラ55の1つの例は、デル社(テキサス州オースティン)から市販されているデルプレシジョンワークステーション530(登録商標)である。コントローラ55は、材料処理装置1に対して近くに位置決めされることができ、或いは材料処理装置1に対して遠方に位置決めされることができる。例えば、コントローラ55は、直接接続、イントラネットおよびインターネットのうちの少なくとも1つを使用して材料処理装置1とデータを交換することができる。コントローラ55は、例えば、顧客サイト(すなわち、装置メーカーなど)におけるイントラネットに接続されることができ、或いは例えば、売主サイト(すなわち、設備製造業者)におけるイントラネットに接続されることができる。また、例えば、コントローラ55は、インターネットに接続されることができる。更に、他のコンピュータ(すなわち、コントローラ、サーバなど)が、例えば、直接接続、イントラネットおよびインターネットのうちの少なくとも1つを介してデータを交換するためにコントローラ55にアクセスすることができる。
図3に示されるように、材料処理装置1は、磁界装置60を有することができる。例えば、磁界装置60は、プラズマ濃度を潜在的に増大し、および/または材料処理一様性を改良するために、静止または機械的または電気的回転DC磁界を有することができる。しかも、コントローラ55は、界強度または回転速度を調整するために磁界装置60に接続されることができる。
図4に示されるように、材料処理装置1は、上側電極70を有することができる。例えば、RF電力は、RF発生器72からインピーダンス整合ネットワーク74を介して上側電極70に結合出力されることができる。上側電極へのRF電力の付加のための周波数は、好ましくは10MHzから200MHzまでに及び、好ましくは60MHzである。また、下側電極へのRF電力の付加のための周波数は、0.1MHzから30MHzまでに及ぶことができ、好ましくは2MHzである。しかも、コントローラ55は、上側電極へのRF電力の付加を制御するためにRF発生器72およびインピーダンス整合ネットワーク74に接続されることができる。
図5に示されるように、図1の材料処理装置1は、誘導コイル80を有することができる。例えば、RF電力は、RF発生器82からインピーダンス整合ネットワーク74を介して誘導コイル80に結合出力されることができ、またRF電力は、誘導コイル80から誘電体ウインド(図示せず)を介してプラズマ処理領域45に結合出力されることができる。誘導コイル80へのRF電力の付加のための周波数は、好ましくは、10MHzから100MHzまでに及び、好ましくは13.56MHzである。同様に、チャック電極への電力の付加のための周波数は、好ましくは、0.1MHzから30MHzまでに及び、好ましくは13.56MHzである。また、誘導コイル80とプラズマとの間の要領接続を減少させるためにスロット付きファラデーシールド(図示せず)が用いられることができる。しかも、コントローラ55は、誘導コイル80への電力の付加を制御するためにRF発生器82およびインピーダンス整合ネットワーク84に接続されることができる。別の実施の形態では、誘導コイル80は、変圧器接続式プラズマ(TCP)反応器におけるように、プラズマ処理領域45と上から連通している「螺旋」コイルまたは「パンケーキ」コイルであることができる。
また、プラズマは、電子サイクロトン共振(ECR)を使用して形成されることができる。更に他の実施の形態では、プラズマは、ヘリコン波の発射から形成される。更に他の実施の形態では、プラズマは、伝播する表面波から形成される。
以上で論述したように、処理性能監視装置100は、複数のセンサ50およびコントローラ55を有しており、この場合、これらのセンサ50は、処理ツール10に接続されており、コントローラ55は、ツールデータを受信するためにセンサ50に接続されている。更に、コントローラ55は、センサ50から受信されたツールデータを最適化し、ツールデータ間の関係(モデル)を定め、そして欠陥検出のためにこの関係を使用するために少なくとも1つのアルゴリズムを実施することが可能である。
かなり多数の変数を有する多数の組のデータに出会う場合、多変数分析(MVA)がしばしば適用される。例えば、1つのこのようなMVA技術としては、主成分分析(PCA)がある。PCAでは、多数組みのデータから、多次元パラメータ空間における最も大きい分散を表す信号を抽出するために、モデルが組み立てられることができる。
例えば、所定の基板ランまたは瞬間値についての各組のデータパラメータが、行列Xに横列として記憶されることができ、従って、行列Xが集成されると、各横列は、異なる基板ランまたは瞬間値(または観測値)を表しており、各縦列は、複数のセンサ50に対応している異なるデータパラメータ(またはデータ変数)を表している。従って、行列Xは、寸法q×rの矩形行列であり、qは横列寸法を表しており、rは縦列寸法を表している。ここで示したXは、後述する式(1a)等に記載されているように、Xの上部にバーを有したものであるが、文章中で用いる場合には、上部のバーを省略して表すものとする。またTP、P、E,T,P,S,U、Λ、I,についても、同じく上部のバーを省略して表すものとする。データが行列に記憶されると、データは、一般に、平均センターリングされ、および/または正規化される。行列縦列に記憶されたデータを平均センターリングする方法は、縦列成員の平均値を算出し、各成員から平均値を差し引くことを含んでいる。しかも、行列の縦列にあるデータは、縦列におけるデータの標準偏差を定めることによって正規化されることができる。例えば、2002年5月16日に出願された「処理装置健全指標およびそれを使用する方法」と称される米国予備出願第60/470901号に記載のものと同様にPCAモデルが構成されることができる。この出願の全内容は、参照によりここに組み入れられる。
PCA技術を使用して、行列X内の相互関係構造は、低い寸法プラス誤行列Eの行列積(TP)で行列Xを近似することにより定められる。すなわち、
Figure 0004699367
「i」は、i番目の横列を表しており、「j」はj番目の縦列を表しており、下付き文字「M」は、平均値を表しており、ρは、標準偏差を表しており、Xは、横列データであり、TはX−変数を要約する評点の(q×p)行列であり、Pは、変数の影響を示す処理量の(r×p、この場合、p≦r)行列である。
一般に、処理量行列Pは、Xの共変量行列の固有ベクトルよりなるとして示されることができ、共変量行列Sは、式(2)であると示されることができる。
Figure 0004699367
共変量行列Sは、実際の対称行列であり、従って、共変量行列は、式(3)として表現されることができる。
Figure 0004699367
式(3)において、実際の対称共変量行列Uは、縦列としての正規化された共変量よりなり、∧は、対角線に沿った各共変量に対応する固有値よりなる対角行列である。(p=rすなわち、誤行列の無い全行列について)等式(1a)および(3)を使用して、式(4)および式(5)であることを示すことができる。
Figure 0004699367
Figure 0004699367
上記特性分析の結果は、各固有値がn次元空間内の対応する固有ベクトルの方向におけるデータの分散を表していると言うことである。従って、最も大きい固有値は、多次元空間内のデータにおける最も大きい分散に対応しており、他方、最も小さい固有値は、データにおける最も小さい分散に対応している。定義によれば、すべての固有ベクトルは、直交方向であり、従って2番目の最も大きい固有値は、最初の固有ベクトルの方向に対してもちろん直角である対応する固有ベクトルの方向のデータにおける2番目の最も大きい分散に対応している。一般に、かかる分析では、最初の幾つか(3つないし4つまたはそれ以上)の固有値がデータに近似するように選択され、近似の結果、誤差Eが式(1a)における表示に導入される。要するに、1組の固有値およびそれらの対応する固有ベクトルが定められると、1組の最も大きい固有値が選択されることができ、式(1a)の誤行列Eが定められる。
PCAモデルを支持する市販のソフトウエアの例は、(マスワークス社、ナチックMAから市販されている)MATLAB(登録商標)および(エイゲンベクトルリサーチ社、マンソン、WAから市販されている)PLSツールボックスである。
更に、PCAモデルが設定されたら、MATLAB(登録商標)のような市販のソフトウエアは、更に、観測についてのホテリングTパラメータのような他の統計量値またはQ−統計値を出力として生成することが可能である。観測についてのQ−統計値は、以下のごとく算出されることができる。
Figure 0004699367
式(6b)において、Iは、適切な大きさの恒等行列である。例えば、PCAモデル(処理量行列Pなど)は、「トレーニング」組のデータを使用して構成されることができる(すなわち、多数の観測についてXを集合させ、そしてMATLAB(登録商標)を使用してPCAモデルを定める)。PCAモデルが構成されたら、式(1a)におけるように、残留行列を定めるためにPCAモデルへの新たな観測の投射が利用されることができる。
同様に、ホテリングTは以下のように算出されることができる。
Figure 0004699367
上記式において、Tiaは、i番目の観測(基板ラン、瞬間値など、すなわち、i=lないしq)およびa番目のモデル寸法(すなわち、a=lないしp)ための(式(7b)からの)評点であり、S taはTaの分散である。例えば、PCAモデル(処理量行列Pなど)は、「トレーニング」組のデータを使用して構成されることができ(すなわち、多数の観測についてXを集合させ、そしてMATLAB(登録商標)を使用してPCAモデルを定める)。PCAモデルが構成されたら、新たな評点行列Tを定めるためにPCAモデルへの新たな観測値の投射が利用されることができる。
代表的には、Q−統計値またはホテリングTのような統計量値が処理のために監視され、この量が所定の制御限界を超えると、1つの処理についての欠陥が検出される。
図6Aは、処理における欠陥を定めるために処理のQ−統計値(Q−因子)を監視するためのPCAモデルの従来の使用例を示している。図6Aの例では、モデルは、C4F8/CO/Ar+O2系の化学薬品でパターン化酸化物エッチングを行なうユニティIIDRM(2極リング磁石)CCP(容量結合プラズマ)処理装置(東京エレクトロン(株)から市販されている;図3参照)から得られる処理データに適用される。この処理装置は各ロットのための固定処理レシピでバッチ式に作動する。代表的には、装置の製造における特定の処理工程のためにロットごとに単一のレシピが利用される。同じ処理装置が、しばしば、多くの異なる装置層および工程のために利用されるが、各処理工程について、レシピが同じままである。
収集されたデータパラメータとしては、チャンバ圧力、付加電力、種々の温度、および表1に示されるような圧力、電力および温度の制御に関する多くの他の変数がある。
この例で使用される処理レシピは、3つの主な工程、すなわち、ホトレジスト清浄工程と、主エッチング工程と、ホトレジスト剥離工程とを有している。この例の範囲は、主エッチング工程に適用されるが、この特定の工程または任意の特定の工程に限定されなく、従って他の工程にも同様に適用可能である。
各処理工程について、各データパラメータ(またはツール変数)のための時間トレースの観測平均および観測標準偏差が、各基板のための大まかに160個の試料から算出された。各データパラメータのための時間トレースの初めの部分は、RF電力が増大する場合、オンにされたときの電力に因る変化を除去する試みでこれらの統計計算において調整された。
図6Aの例では、単一の処理装置において同じレシピを使用して、初めの500個の基板についてPCAモデルが実現された。MATLAB(登録商標)で実施される標準PCA方法は、平均センターリングおよびユニット分散スケーリングについて使用された。また、エイゲンベクトルリサーチにより提供されているエイゲンベクトルリサーチPLSツールボックスをMATLAB(登録商標)に対する付属物として使用して、標準Q残差(SPE)およびQ寄与が算出された。
図6Aの例では、PCAモデルは、初めの処理装置における初めの500個の基板から構成され、この処理装置からの3200個の基板すべてに適用された。この図でわかるように、その結果生じたQ統計値は、PCAモデルが構成された後、250個未満の基板内のモデルの95%信頼限界を(すなわち、基板数750だけ)超えており、そのレベル以下にけっして戻らない。また、異なった外れ値および異なった段階状変化が、明らかである。かくして、図6Aは、Q統計値を監視するために前述のように構成された従来のPCAモデルが使用されることができるが、統計パラメータが制御限界以上に逸脱してそれ以下に決して戻らない時間が存在する。実際、前述の統計値(例えば、Q−統計値またはホテリングTパラメータ)のいずれも、特定の処理装置における特定の処理について所定のモデルを使用して監視されることができるが、いつかは制御限界以上に逸脱してそれ以下にはけっして戻らない。その後、モデルは、もはや、所定の処理および所定の処理装置に適用可能ではない。
長期処理ランにわたってPCAモデルの有用性を保持するための方法が知られているが、本発明者は、これらの方法が半導体製造方法の制御に対する商業的適用には実用的ではないことがわかった。例えば、PCAモデルは、処理中、休む間もなくモデルを更新するために、適応性モデル技術を使用して各処理ランで実際に再構成されることができる。この適応性モデル化技術が一般に所定の制御限界内で統計監視を安定化し得るが、商業的処理のために実用的でない算出手段を必要とする。
図6Aの統計監視の有用性を維持するための他の技術は、より複雑な制御限界スキームを用いることである。詳細には、制御限界は、PCAモデルの予想された悪化に基づいて各処理ランごとにリセットされることができる。この方法は、PCAモデルの悪化に因る処理外条件の指示を回避するが、各処理ランで制御限界を変化させるには、商業的処理のために非実用的である複雑なスキームを必要とする。
かくして、本発明者は、長期処理ランにわたって統計監視を可能にするようにPCAモデルを適合させる従来の方法が商業的処理のために非実用的であることがわかった。より詳細には、本発明者は、PCA行列においてデータをセンターリングしたり、スケーリングしたりする標準方法が、長期間(すなわち、かなりの多数の基板ラン)、使用が可能である強靭なモデルの開発を可能にしなかったことを発見した。
本発明の実施の形態では、強靭なPCAモデルを作成するために適応性多変数分析を述べる。この実施の形態では、適合スキームを使用して、センターリングおよびスケーリング係数が更新される。指数的に重みづけされた移動平均(exponentially weighted moving average:EWMA)フィルタのようなフィルタを使用して、各要約統計値のための(センターリングに利用される)平均値が、下記の如く更新される。
Figure 0004699367
式(8)において、XM、j、nは、現在のラン(または観測「n」)におけるj番目のデータパラメータの算出されたモデル平均値(「M」)を表しており、XM、j、n−1は、先のラン(観測「n−1」)におけるj番目のデータパラメータの算出されたモデル平均値(「M」)を表しており、Xj、nは、現在のランについてのj番目のデータパラメータの現在の値を表しており、λは、0の値から1までに及ぶ増量係数である。例えば、λ=1の場合、各データパラメータをセンターリングするのに利用されるモデル平均値は、先に使用された値であり、λ=0の場合、各データパラメータをセンターリングするのに利用されるモデル平均値は、現在の測定値である。
各要約統計値のための(スケーリングに利用される)モデル標準偏差は、下記の再帰的標準偏差フィルタを使用して更新される。
Figure 0004699367
式(9)において、ρX、j、nは、現在のラン(または観測「n」)におけるj番目のデータパラメータの算出されたモデル標準偏差を表しており、ρX、j、n−1は、先のラン(観測「n−1」)についてのj番目のデータパラメータの算出されたモデル標準偏差を表しており、nは、ラン(または観測)数を表しており、kは、フィルタ定数を表している。フィルタ定数kは、例えば、Nより小さいか或いはそれに等しい定数として選択されることができ、Nは、PCAモデルを構成するのに利用される基板ランまたは観測の数を表している。
Figure 0004699367
図6Bは、本発明による適合スキームを使用してセンターリングおよびスケーリング係数が更新される以外は、図6Aに示されたQ統計値を監視するためにPVAモデルを使用する同じ例を示している。この図でわかるように、初めの500個のウエーハ後、センターリングおよびスケーリング定数が前述の適応性センターリングおよびスケーリング係数(λ=0.92;k=500)を使用して適合されると、Q−統計値チャートは、残りの基板のすべてにわたって実質的により安定であり、データは主として同じ限界内にある。本発明の適合スキームは、他の統計値監視スキームに対する同様な改良(例えば、ホテリングTパラメータ)をもたらす。かくして、本発明によるPCAモデルの適合は、長期処理ランのために使用されることができるより強靭なPCAモデルを見込んでいる。
図6Aおよび図6Bを共に参照すると、かなりの大きさの第1偏りは、基板1492について生じる適応性の場合における最も大きいQ値を有するランである。静的および適応性の場合の両方についての残留寄与プロット(図7参照)において、C1−POSITION−LO平均値、RF−VPP−LO平均値およびESC−CURRENTは、極値である。後の2つのデータパラメータについての任意にスケーリングされた統計値が、図8にプロットされている。これらの3つのデータパラメータは、インピーダンス整合ネットワーク装置での問題点を指摘することができる4箇所でのデータにおける大きな上昇を説明するものである。この種類の外れ値は、両Qチャートで明らかであるが、適応性の場合のみ、常に固定限界(例えば、95%信頼限界)を見込んでいる。
他の実施の形態では、センターリングおよびスケーリング係数の相対変化は、段階要約統計値が2つのランまたは観測間で偏移したことをオペレータまたは技術者に警告するために算出されることができる。各センターリング係数について、これは、初めのランにおける推定値を最終のランにおける推定値から差し引き、次いで初めのランについての段階統計値をスケーリングするのに使用された標準偏差により各差をスケーリングすることによりなされる。すなわち、
Figure 0004699367
式(10)において、Mは、モデル平均移動計量値であり、XM、j、aは、a番目の基板についてのj番目のデータパラメータについてのモデル平均値を表しており、XM、j、bは、b番面の基板についてのj番目のデータパラメータについてのモデル平均値を表しており、ρj、aは、a番目の基板についてのj番目のデータパラメータのためのモデル標準偏差を表している。
スケーリング係数について、算出値が、段階統計値をセンターリングするのに使用された平均値でスケーリングされた標準偏差の差である。すなわち、
Figure 0004699367
式(11)において、ρj、bはb番目の基板についてのj番目のデータパラメータのためのモデル標準偏差を表している。
次いで、これらの結果は、期間中の最も大きい相対変化を示す変数を同定するためにパレトチャートに表示される。例えば、この代表的な寄与プロットに対するこの補充により、オペレータは1組のデータパラメータの全体的な変化を洞察することができる。対照的に、寄与プロットは、特定のランにおける局部的な偏差を示している。
再び図6Aおよび図6Bを参照すると、次の種類の偏りが、入力要約データにおいて諸段階で認められる。静的の場合、これらの偏りはQチャートで明確に明らかであるが、これらの変化の検出の自動化は全く困難であるとわかる。適応性の場合、Q統計値が(基板1880、2535、2683および2948で始まって)5つより多い連続基板のための信頼限界を乱すたった4つの期間がある。(期間前の基板から期間後の基板までこれらの4つの期間の各々についてモデル平均移動計量値が算出されると、ほとんどの極値は、1880および2946についてそれぞれC1−POSITION−LO平均およびWALL−TEMP平均で生じる。図9Aは、データパラメータのすべてについてのモデル平均移動計量値およびモデル標準偏差距離を表している。2つのデータパラメータについての任意にスケーリングされた要約データが、図9Bに示されている。Q統計値の2つの主な変化は、これらの2つのデータパラメータにより支配されるものと思われる。例えば、これらのデータパラメータの偏移は、ツールの清浄、例えば、キー部分を取替え、処理装置の電気または熱伝導特性を変更することにより引起こされた。温度は処理装置において調整されるが、これは、上側電極および壁部においてのみなされる。より低い温度は、制御されなく、処理装置における異なる材料または部品構成により影響されることができた。基板1880についての静的の場合および適応性の場合の両方での寄与プロットは、C1−POSITION−LOにより支配される。基板2948について、WALL−TEMPは、適応性の場合における支配的な寄与であるが、静的の場合、WALL−TEMPは、(このランでは変化しない)C1−POSITION−LO値よりほんの僅かに大きい。
また、適応性技術は、長期処理ランにわたって統計値監視に使用されることができるより強靭なPCAモデルをもたらすことに加えて、異なる処理装置の間で同じPCAモデルの使用をもたらす。図10および図11は、本発明の第2の例を示しており、この例では、1つの処理装置について時間にわたる主な変化を見た後、初めの500個の基板からの同じモデルが、第2処理装置からの1組の800個の基板に適用された。図10でわかるように、静的モデルについてのQ統計値のプロットは、モデルのための信頼限界より大きい大きさの多くの次数である。かくして、所定の処理装置における所定の処理について1つの従来のモデルから得られる統計パラメータは、同じ処理では、他の処理装置に移転可能ではない。しかも、前述の図6Aに示される例に関して、各処理装置のためのPCAモデルを再構成すること、または1つの装置のPCAモデルを他の装置に適合させるために複雑な制御限界スキームを用いることは、非実用的である。図11は、本発明の適応性センターリングおよびスケーリング係数が適応された同じモデルを示している。データは、たった25個の基板(単一のカセットの代表的な装填)の後に信頼限界以下に戻る。λの増大により、速い回復をもたらすが、その結果、行過ぎの問題が生じる。信頼限界以下であると、同じ総外れ値がまだ明らかであるが、基板445と基板455との間の領域のような他の変化が同様に強調される。
この同じモデルが第2処理装置に適応された状態では、前述のように単一の点逸れの原因を確認するために、再び寄与プロットが使用されることができる。静的モデルに基づいた寄与プロットは、明らかな単一原因の無い多数のデータパラメータをもたらし、確認されたデータパラメータのうちの幾つかが大きい外れ値特性を示す。適応性スキームに基づいた寄与が明らかに2つのパラメータ、すなわち、RF−VPP−LO平均値およびAPC標準偏差を示している。これらの外れ値は、電圧が工程全体にわたって高い値を有しており、不安定なプラズマを制御する際に圧力制御が非常に変わり易いようなプラズマの漏れの場合に一貫している。
装置の急な転移を調査するために、連続変化の期間が図11におけるデータから気づいた。基板1、91および446で生じる3つの異なる領域は、信頼限界を超えた5つより多い連続点を有していた。初めの22個の基板の移動計量値は、モデルが新たな処理装置値に適合していた場合、RF−VPP−LO平均値、ESC−VOLTAGE平均値、C2−POSITION−LO平均値、ESC−CURRENT平均値およびRF−FORWARD−LO標準偏差の顕著な変化を強調していて、電気特性の多くが2つの処理装置間で片寄ったことを示した。基板91で始まる期間は、5ラン内で大きい急上昇のうちの2つを有して、移動計量値により外れ値への適合を確認し得る。基板446で始まる最終領域では、距離が、APC平均値およびCOOL−GAS−FLOW1標準偏差を指している。これらの変数についての基板要約データは、この時点の明確な急変を示している。下側電極における温度を制御するために使用されたヘリウムの流れにおける圧力および変数を制御するために使用されたスロットル弁角度に転移により特徴付けられる問題の種類を推定するために、更なる分析が必要である。
かくして、本発明者は、静的PCAモデルが工業的材料処理装置における局部的欠陥を監視して検出するのに不適切であることがわかった。モデルにおける信頼限界は、モデルが構成された後に急に超過され、更に、信頼限界は、モデルが他の処理装置に適用されるときには不適切である。一変量のスケーリングのために使用される平均および標準偏差値は、新たなデータとゆっくり適合されることができる。適応性センターリング/スケーリング方法は、残留空間(Q)におけるモデルまでの距離を安定に保つのに十分であり、元のモデル信頼限界は偏りを検出するのに適切である。また、適応性方法から算出されたQ寄与は、全体変化を有するデータの寄与に結合出力される代わりに局部偏差のルート原因データパラメータを識別するのに有効である。寄与プロットに加えて、移動計量値により、連続した信頼限界の乱れの期間の間に鋭い段階変化を有する入力データパラメータを確認した。
図13は、半導体製造の過程中、基板を処理するための処理装置を監視する方法を説明するフローチャートを示している。方法500は、510において複数の観測について処理装置からのデータを得ることで開始する。処理装置は、例えば、エッチング装置であることができ、或いは図1で説明された他の処理装置であってもよい。処理装置からのデータは、処理装置およびコントローラに接続された複数のセンサを使用して得られることができる。これらのデータは、例えば、任意の測定可能なデータパラメータ、およびその任意の統計値(例えば、平均値、標準偏差、歪度、尖度など)を有することができる。追加のデータとしては、例えば、発光スペクトル、電圧および/または電流測定値のRF調和、または放射されたRFの放出などがある。各観測は、基板ラン、瞬間値、時間平均などに関することができる。
520において、530でデータを表すために1つまたはそれ以上の主成分を定め、そして540で得られたデータのデータパラメータに静的センターリング/スケーリング係数を前述のように適用することによって、得られたデータパラメータからPCAモデルが構成される。例えば、PCAモデルを構成するために、MATLAB(登録商標)およびPLSツールボックスのような市販のソフトウエアが利用されることができる。
550において、追加のデータが処理装置から得られ、555において、PCAモデルを得られたデータパラメータに適用するときに、適応性センターリング/スケーリング係数が利用される。560において、少なくとも1つの統計量値が、追加のデータおよびPCAモデルから定められる。例えば、追加のデータは、1つまたはそれ以上の主成分に前方に送られて1組の評点を定め、この1組の評点が、後方に送られて1つまたはそれ以上に残余誤差を定めることができる。模範組の評点と共に前記組の評点を利用して、或いは1つまたはそれ以上の残余誤差を利用して、各追加の観測についてのQ統計値またはホテリングTパラメータのような少なくとも1つの統計量が定められることができる。
570において、制御限界が設定されることができ、580において、少なくとも1つの統計量値が制御限界と比較されることができる。制御限界は、主観的方法または経験的方法を使用して設定されることができる。例えば、統計値を使用する場合、制御限界は、95%信頼限界に設定されることができる(例えば、図6A、図6Bおよび図11参照)。更に、例えば、ホテリングTパラメータを使用する場合、制御限界は、χ−分布のような統計量のための理論分布を推定することにより設定されることができるが、観測された分布が、理論で実証されるべきである。
図12は、本発明の種々の実施の形態を実施するためのコンピュータ装置1201を示している。このコンピュータ装置1201は、コントローラ55の機能のうちのいずれかまたはすべてを行なうためにコントローラ55として使用されてもよい。コンピュータ装置1201は、情報通信のためのバス(BUS)1202または他の通信機構と、バス1202と接続されていて、情報を処理するためのプロセッサ1203とを有している。また、コンピュータ装置1201は、バス1202に接続されていて、プロセッサ1203により実行される情報および指令を記憶するためのランダムアクセスメモリ(RAM)または他の動的記憶装置(例えば、動的RAM(dynamic RAM:DRAM)、静的RAM(static RAM:SRAM)および同期DRAM(synchronous DRAM:SDRAM)のようなメインメモリ1204を有している。また、メインメモリ1204は、プロセッサ1203による指令の実行中、一時的な変数または他の中間情報を記憶するために使用されてもよい。更に、コンピュータ装置1201は、バス1202に接続されていて、プロセッサ1203のための静的情報および指令を記憶するためのリードオンリーメモリ(ROM)1205または他の静的記憶装置(例えば、プログラミング可能なROM(programmable ROM:PROM)、消去可能なPROM(erasable PROM:EPROM)および電気的に消去可能なPROM(electrically erasable PROM:EEPROM))を有している。
また、コンピュータ装置1201は、磁気ハードディスク1207およびリムーバブルメディア装置1208(例えば、フロッピー(登録商標)ディスクドライブ、リードオンリーコンパクトディスクドライブ、リード/ライトコンパクトディスクドライブ、コンパクトディスクジュークボックス、テープドライブおよび除去可能な磁気光学ドライブ)のような情報および指令を記憶するための1つまたはそれ以上の記憶装置を制御するためにバス1202に接続されたディスクコントローラ1206を有している。記憶装置は、適切な装置インターフェース(例えば、小型コンピュータ装置インターフェース(small computer system interface:SCSI)、集積装置エレクトロニクス(integrated device electronics:IDE)、エンハンストIDE(E−IDE)、ダイレクトメモリアクセス(DMA)またはウルトラDMA)を使用してコンピュータ装置1201に付設されてもよい。
また、コンピュータ装置1201は、特別目的のロジック装置(例えば、用途特定の集積回路(ASIS)、または適合性のあるロジック装置(例えば、簡単なプログラミング可能なロジック装置(SPLD)、複雑なプログラミング可能なロジック装置(CPLD)およびフィールドプログラマブルゲートアレイ(FPGA))を有してもよい。
また、コンピュータ装置1201は、コンピュータ使用者に情報を表示するための陰極線管(CRT)のようなディスプレイ1210を制御するためにバス1202に接続されたディスプレイコントローラ1209を有してもよい。コンピュータ装置は、コンピュータ使用者と相互作用して情報をプロセッサ1203に供給するためのキーボード1211およびポインティングデバイス1212のような入力装置を有している。ポインティングデバイス1212は、例えば、方向情報および指令選択をプロセッサに通知するための、およびディスプレイ1210におけるカーソルの移動を制御するためのマウス、トラックボールまたはポインティングスチックであってもよい。また、プリンタが、コンピュータ装置1201により記憶されたおよび/または発生されたデータの印刷リスチングを作成してもよい。
コンピュータ装置1201は、プロセッサ1203がメインメモリ1204のようなメモリに収容された1つまたはそれ以上の指令の1つまたはそれ以上の序列を実行するに応答して(例えば、図13について説明したもののような)本発明の処理工程の一部またはすべてを行う。このような指令は、ハードディスク1207またはリムーバブルメディアドライブ1208のような他のコンピュータ読取り可能メディアからメインメモリ1204に読み込まれてもよい。メインメモリ1204に収容された指令序列を実行するのに、多処理構成における1つまたはそれ以上のプロセッサが使用されてもよい。別の実施の形態では、ソフトウエア指令の代わりに、或いはそれに加えて、ハード配線回路が使用されてもよい。かくして、実施の形態はハードウエア回路とソフトウエアとのいずれの特定の組合せにも限定されない。
前述のように、コンピュータ装置1201は、本発明の教示によりプログラミングされた指令を保持し、そしてここに記載のデータ構造、表、記録またはデータを収容するための少なくとも1つのコンピュータ読取り可能メディアまたはメモリを有している。コンピュータ読取り可能メディアの例は、コンパクトディスク、ハードディスク、フロッピー(登録商標)ディスク、テープ、磁気光学ディスク、PROM(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM、または任意の他の磁気メディア、コンパクトディスク(例えば、CD−ROM)、または任意の他の光メディア、パンチカード、ペーパテープまたは穴パターンを有する他の物理的メディア、(後述の)搬送波、またはコンピュータが読取ることができる任意の他のメディアである。
本発明は、任意の1つのコンピュータ読取り可能メディアまたはこのようなメディアの組合せに記憶されるものとして、コンピュータ装置1201を制御し、本発明を実施するための装置を駆動し、そしてコンピュータ装置1201が使用者(例えば、印刷物製造者)と総合作用させることを可能にするためのソフトウエアを含む。このようなソフトウエアとしては、限定されないが、装置ドライブ、作動装置、展開ツールおよび用途ソフトウエアがある。このようなコンピュータ読取り可能メディアとしては、更に、本発明を実施する際に行われる処理(処理が配分される場合)のすべてまたは一部を行なうための本発明のコンピュータプログラム製品がある。
本発明のコンピュータコード装置は、限定されないが、スクリプト、解明可能なプログラム、動的リンクライブラリー(DLL)java(登録商標)クラス、および完全な実行可能なプログラムを含めて、任意の解明可能または実行可能なコード機構であってもよい。しかも、本発明の処理の諸部分は、良好な性能、信頼性および/またはコストごとに配分されてもよい。
語「コンピュータ読取り可能メディア」は、ここで使用される場合、実行のために指令をプロセッサ1203に供給することに関与する任意のメディアを指している。コンピュータ読取り可能メディアは、限定されないが、非揮発性メディア、揮発性メディアおよび伝送メディアを含めて、多くの形態を採り得る。非揮発性メディアとしては、例えば、ハードディスク1207およびリムーバブルメディアドライブ1208のような光磁気ディスクおよび磁気光学ディスクがある。揮発性メディアとしては、メインメモリ1204のような動的メモリがある。伝送メディアとしては、バス1202を構成するワイヤを含めて、同軸ケーブル、銅ワイヤおよび光ファイバ光学系がある。また、伝送メディアは、電波および赤外線データ通信中に発生されるもののような音波または光波の形態を採ってもよい。
コンピュータ読取り可能メディアの種々の形態は、実行のためのプロセッサ1203に対する1またはそれ以上の指令の1またはそれ以上の順列を行なうのに携われてもよい。例えば、これらの指令は、初めに、遠隔コンピュータの磁気ディスクに伝送されてもよい。遠隔コンピュータは、本発明のすべてまたは一部を実施するための指令を動的メモリに遠隔で装填し、そしてモデムを使用してこれらの指令を電話線で送信することができる。コンピュータ装置に対して局所のモデムが、電話線でデータを受信し、そして赤外線トランスミッタを使用してこれらのデータを赤外線信号に変化してもよい。バス1202に接続された赤外線検出器が、赤外線信号で搬送されたデータを受信し、そしてこれらのデータをバス1202に配送する。バス1202は、これらのデータをメインメモリ1204に伝送し、プロセッサ1203が、これらのデータから指令を回復して指令を実行する。メインメモリ1204により受信された指令は、任意に、プロセッサ1203による実行前または後に記憶装置1207に記憶されてもよい。
コンピュータ装置1201は、また、バス1202に接続された通信インターフェース1213を有している。この通信インターフェース1213は、例えば、局所領域ネットワーク(LAN)1215またはインターネットのような他の通信ネットワーク1216に接続されているネットワークリンク1214へ2方向データ通信出力を送る。例えば、通信インターフェース1213は、任意のパケット交換式LANに取付けるべきネットワークインターフェースカードであってもよい。他の例としては、通信インターフェース1213は、対応する種類の通信線に対するデータ通信接続を行なうべき非対称デジタル加入者線(ADSL)カード、集積サービスデジタルネットワーク(ISDN)カードまたはモデムであってもよい。ワイヤレスリンクが実施されてもよい。任意のこのような実施では、通信インターフェース1213は、種々の種類の情報を表すデジタルデータ流を搬送する電気、電磁または光信号を送信したり、受信したりする。
ネットワークリンク1214は、代表的には、1つまたはそれ以上のネットワークを介して他のデータ装置に対するデータ通信を行なう。例えば、ネットワークリンク1214は、局所ネットワーク1215(例えば、LAN)を介して、或いは通信ネットワーク1216を介する通信サービスを行なうサービスプロバイダにより作動される設備を介して、他のコンピュータへの接続を行なってもよい。局所ネットワーク1215および痛心ネットワーク1216は、例えば、デジタルデータ流を搬送する電気、電磁または光信号、および関連された物理層(例えば、CAT5ケーブル、同軸ケーブル、光ファイバなど)を使用している。種々のネットワークを通る信号と、デジタルデータをコンピュータ装置へ、およびから搬送するネットワークリンク1214および通信インターフェース1213を通る信号とが、基底帯域信号または搬送波系信号で実施されてもよい。基底帯域信号は、デジタルデータビットの流れを表す未変調の電気パルスとしてデジタルデータを伝送し、語「ビット」は、符号を意味するものと広く解釈され、各符号は、少なくとも1つまたはそれ以上の情報データを伝送する。デジタルデータは、搬送波を、例えば、振幅、位相、および/または導電性メディアにわたって伝播されか、或いは伝播メディアを介して電磁波として伝送される周波数転移キード信号で変調するために使用されてもよい。かくして、デジタルデータは、未変調基底帯域データとして「配線」通信チャンネルを介して送られてもよく、および/または搬送波を変調することにより基底帯域と異なる所定の周波数帯域内で送られてもよい。コンピュータ装置1201は、ネットワーク1215、1216、ネットワークリンク1214および通信インターフェース1213を介してプログラムコードを含めて、データを送信したり受信したりすることができる。しかも、ネットワークリンク1214は、LAN1215を介して個人デジタルアシスタント(PDA)ラップトップコンピュータまたは携帯電話のようなモバイルデバイスへの接続をなしてもよい。
本発明の或る典型的な実施の形態のみを以上に詳細に説明したが、当業者は、本発明の新規な教示および利点を実質的に逸脱することなしに典型的な実施の形態において多くの変更例が可能であることを容易にわかるであろう。従って、このような変更例すべてが、本発明の範囲内に含まれるものである。
本発明の好適な実施の形態による材料処理装置を示す図である。 本発明の1つの実施の形態による材料処理装置を示す図である。 本発明の他の実施の形態による材料処理装置を示す図である。 本発明の更なる実施の形態による材料処理装置を示す図である。 本発明の他の実施の形態による材料処理装置を示す図である。 静的センターリングおよびスケーリング係数を使用した典型的な算出Q統計値を示す図である。 初めの500個の基板後の適応性センターリングおよびスケーリング係数を使用した典型的な算出Q統計値を示す図である。 典型的なQ寄与プロットを示す図である。 2つのデータパラメータについての典型的な要約統計値を示す図である。 2つの基板範囲についての典型的なモデル平均移動計量値プロットを示す図である。 図9Aの移動計量値プロットにおける最も高い値についての典型的な要約統計値を示す図である。 第2の処理装置に適用された静的センターリングおよびスケーリング係数を使用した典型的な算出Q統計値を示す図である。 第2の処理装置に適用された適応性センターリングおよびスケーリング係数を使用した典型的な算出Q統計値を示す図である。 本発明の種々の実施の形態を実施するためのコンピュータ装置を示す図である。 本発明の実施の形態による処理装置を監視する方法を示す図である。

Claims (44)

  1. 半導体製造の過程中、基板を処理するための処理装置を監視する方法であって、
    前記処理装置で処理が実施される第1の組の基板ランから複数の観測に対して前記処理装置から複数のデータパラメータを有する初めのデータを得ることと、
    前記第1の組からの前記初めのデータに対するセンターリング係数を含む、前記初めのデータの前記データパラメータからの主成分分析(PCA)モデルを構成することと、
    前記処理装置で前記処理が実施される第2の組の基板ランから前記複数のデータパラメータの追加の観測を備えた追加のデータを、前記PCAモデルを構成する工程の後に前記処理装置から得ることと、
    前記PCAモデルにおける前記データパラメータの各々に対して更新された適応性センターリング係数を生成するように、前記初めのデータと、前記第2の組で実施された前記処理からの追加の観測から得られた現在のデータとの両方を使用することによって前記第2の組からの前記追加のデータの各観測の時に前記センターリング係数を調整することと、
    前記更新された適応性センターリング係数を、前記第1の組の基板ランから生成され、変えられない前記PCAモデルにおける前記データパラメータの各々に適用することと、
    前記PCAモデルと、前記更新された適応性センターリング係数によってセンターリングされた前記追加のデータとの組み合わせを使用して少なくとも1つの統計量値を定めることと、
    前記少なくとも1つの統計量値に対し制御限界を設定することと、
    前記基板の処理が前記第2の組に対する半導体製造の前記過程中、制御内にあるかを確認するために、前記少なくとも1つの統計量値を前記制御限界と比較することと
    前記処理装置で実施される前記処理を判断する出力を提供することと、を具備する方法。
  2. データパラメータに対する適応性センターリング係数の以前の値と、前記追加の観測に対する各データパラメータの現在の値とを組合せることによって、前記センターリング係数を更に調整することを備え、
    前記適応性センターリング係数の前記以前の値は、前記処理装置から初めのデータを得る工程において前記複数の観測中に得られたデータパラメータの平均値を含んでいる請求項1に記載の方法。
  3. 前記適応性センターリング係数の前記以前の値と、前記追加の観測に対する前記データパラメータの前記現在の値とを組合せることは、
    指数的に重みづけされた移動平均(EWMA)フィルタを適用することを含んでいる請求項2に記載の方法。
  4. 前記EWMAフィルタを前記適用することは、重みづけ係数を設定することを含んでいる請求項3に記載の方法。
  5. 前記重みづけ係数を前記設定することは、
    前記重みづけ係数を0.5から1.0までの範囲の値に設定することを含んでいる請求項4に記載の方法。
  6. 前記重みづけ係数を前記設定することは、
    前記重みづけ係数を0.8から0.95までの範囲の値に設定することを含んでいる請求項5に記載の方法。
  7. 前記データパラメータの各々に対して前記更新された適応性センターリング係数を前記適用することは、
    前記データパラメータの各々から前記更新された適応性センターリング係数を差し引くことを含んでいる請求項1に記載の方法。
  8. PCAモデルに対してスケーリング係数を定めることと、
    前記PCAモデルにおける前記データパラメータの各々に対して更新された適応性スケーリング係数を生成するように、前記スケーリング係数を調整することと、
    前記更新された適応性スケーリング係数を前記PCAモデルにおける前記データパラメータの各々に適用することとを更に具備し、
    前記少なくとも1つの統計量値を定めることは、前記更新された適応性スケーリング係数によって、スケーリングもされている前記追加のデータを使用する請求項1に記載の方法。
  9. データパラメータに対する適応性スケーリング係数の以前の値と、前記追加の観測に対する各データパラメータの現在の値と、各データパラメータに対する適応性センターリング係数の以前の値とを組み合わせた再帰的標準偏差フィルタを適用することによって、前記スケーリング係数を更に調整することを備え、
    前記適応性スケーリング係数の前記以前の値は、前記複数の観測中の前記データパラメータの標準偏差を含んでおり、前記適応性センターリング係数の前記以前の値は、前記処理装置から初めのデータを得る工程において前記複数の観測中に得られた前記データパラメータの平均値を含んでいる請求項8に記載の方法。
  10. 前記再帰的標準偏差フィルタを前記適用することは、フィルタ定数を設定することを含んでいる請求項9に記載の方法。
  11. イントラネットおよびインテーネットのうちの少なくとも1つ介して、前記適応性スケーリング係数にアクセスすることを更に具備する請求項8に記載の方法。
  12. 前記更新された適応性スケーリング係数を前記データパラメータの各々に前記適用することは、前記データパラメータの各々を前記更新されたスケーリング係数で割ることを含んでいる請求項1に記載の方法。
  13. 前記PCAモデルを前記構成することは、
    主成分分析を使用して前記複数の観測に対して前記初めのデータの1つまたはそれ以上の主成分を定めることを含んでいる請求項1に記載の方法。
  14. 前記少なくとも1つの統計量値が前記制御限界を超えたときに処理欠陥が生じたと検出することを更に具備する請求項1に記載の方法。
  15. 前記複数のデータパラメータは、コンデンサー位置、前方無線周波数(RF)電力、反射RF電力、電圧、電流、位相、インピーダンス、RFピーク間電圧、RF自己誘導直流バイアス、チャンバ圧、ガス流量、温度、裏面ガス圧、裏面ガス流量、静電クランプ電圧、静電クランプ電流、フォーカスリング厚、RF時間、処理工程持続期間、フォーカスリングRF時間、発光スペクトル、およびRF調波のうちの少なくとも1つを含んでいる請求項1に記載の方法。
  16. 前記複数のデータパラメータは、瞬間値、時間平均、標準偏差、第3のモーメント、第4のモーメント、および分散のうちの少なくとも1つを含んでいる請求項1に記載の方法。
  17. 前記統計量値は、Q統計値およびホテリングTパラメータのうちの少なくとも1つを含んでいる請求項1に記載の方法。
  18. イントラネットおよびインテーネットのうちの少なくとも1つ介して、前記データ、前記追加のデータ、前記適応性センターリング係数、前記少なくとも1つの統計量値、および前記制御限界のうちの少なくとも1つにアクセスすることを更に具備する請求項1に記載の方法。
  19. 半導体製造の過程中、基板を処理する処理装置を監視する主成分分析(PCA)モデルの改良であって、
    前記処理装置で処理が実施される第1の組の基板ランからPCAモデルの構成の後にされる現在の観測中に得られたデータパラメータに対する適応性センターリング係数を具備しており、
    前記適応性センターリング係数は、前記適応性センターリング係数の以前の値と、更新された適応性センターリング係数をデータの各観測で生成するように前記処理装置で前記処理を実施する第2の組の基板ランから前記現在の観測に対する前記データパラメータの現在の値とを組合せたものであり、前記適応性センターリング係数の前記以前の値は、前記現在の観測に先立つ複数の観測中のデータパラメータの平均値を含んでおり、
    少なくとも1つの統計量値は、前記第1の組の基板ランから生成され、変えられない前記PCAモデルと、前記更新された適応性センターリング係数によってセンターリングされた、前記PCAモデルの構成の後に得られた追加のデータとの組み合わせを使用して定められ、
    前記更新された適応性センターリング係数は、前記第2の組に対する半導体製造の前記過程中に前記基板の処理を更新するために、前記統計量値に基づいて、前記基板の処理に対して改良された処理センターを提供するように前記PCAモデルにおいて実現され、
    前記改良された処理センターは、前記処理装置で実施される前記処理を判断する出力を提供する、モデルの改良。
  20. 前記適応性センターリング係数の前記以前の値と、前記現在の観測に対する前記データパラメータの前記現在の値との前記組合せは、指数的に重みづけされた移動平均(EWMA)フィルタを適用することを含んでいる請求項19に記載の改良。
  21. 前記EWMAフィルタを前記適用することは、重みづけ係数を設定することを含んでいる請求項20に記載の改良。
  22. 前記重みづけ係数を前記設定することは、
    前記重みづけ係数を0から1までの範囲の値に設定することを含んでいる請求項21に記載の改良。
  23. 前記重みづけ係数を前記設定することは、
    前記重みづけ係数を0.8から0.95までの範囲の値に設定することを含んでいる請求項22に記載の改良。
  24. 記適応性センターリング係数は、正確な再帰的な標準偏差式の適用から少なくとも導き出され、前記式は、適応性センターリング係数の以前の値と、前記現在の観測に対して得られた各データパラメータの現在の値と、適応性センターリング係数の前記以前の値とを組合せたものであり、
    前記適応性センターリング係数の前記以前の値は、前記現在の観測に先立つ複数の観測中の前記データパラメータの標準偏差を含み、前記適応性センターリング係数の前記以前の値は、前記現在の観測に先立つ複数の観測中に得られた前記データパラメータの平均値を含んでいる請求項19に記載の改良。
  25. 半導体製造の過程中、基板を処理する処理装置であって、
    処理ツールと、
    前記処理ツールに組み合わされ、この処理ツールに組み合わされた複数のセンサと、前記複数のセンサおよび前記処理ツールに接続されたコントローラとを備えた処理性能監視装置とを具備しており、
    前記コントローラは、
    前記処理装置で処理が実施される第1の組の基板ランから複数の観測に対する複数のデータパラメータを含む初めのデータを前記複数のセンサから得る手段と、
    前記第1の組の前記データパラメータから、前記初めのデータに対するセンターリング係数を含む主成分分析(PCA)モデルを構成する手段と、
    前記処理装置で前記処理が実施される第2の組の基板ランから前記PCAモデルの構成の後に、追加のデータを前記複数のセンサから得る手段と、
    前記データパラメータの各々に対して更新された適応性センターリング係数を生成するように、前記初めのデータから先のランのデータと、前記追加のデータを前記得る手段によって得られた現在のデータとの両方を使用することによって前記追加のデータの各観測の時に前記センターリング係数を調整する手段と、
    前記更新された適応性センターリング係数を、前記第1の組の基板ランから生成され、変えられない前記PCAモデルにおける前記データパラメータの各々に適用する手段と、
    前記PCAモデルと、前記更新された適応性センターリング係数によってセンターリングされた前記追加のデータとの組み合わせを使用して少なくとも1つの統計量値を定める手段と、
    前記少なくとも1つの統計量値に対して制御限界を設定する手段と、
    前記基板の処理が前記第2の組に対する半導体製造の前記過程中、制御内にあるかを確認するために、前記少なくとも1つの統計量値を前記制御限界と比較する手段と
    前記処理装置で実施される前記処理を判断する出力を提供する手段と、を有している処理装置。
  26. データパラメータに対する適応性センターリング係数の以前の値と、前記追加のデータに対する各データパラメータの現在の値とを組合せる手段によって、前記センターリング係数を更に調整する手段を含み、
    前記適応性センターリング係数の前記以前の値は、前記処理装置からデータを前記得る手段によって、前記複数の観測中に得られたデータパラメータの平均値を含んでいる請求項25に記載の処理装置。
  27. PCAモデルに対してスケーリング係数を定める手段と、
    前記PCAモデルにおける前記データパラメータの各々に対して更新された適応性スケーリング係数を生成するようにスケーリング係数を調整する手段と、
    前記更新された適応性スケーリング係数を前記PCAモデルにおける前記データパラメータの各々に適用する手段とを更に具備する請求項25に記載の処理装置。
  28. 帰的標準偏差フィルタを前記適応性スケーリング係数に適用する手段によって、前記スケーリング係数を更に調整する手段を含み、
    前記フィルタは、各データパラメータに対する適応性スケーリング係数の以前の値と、前記追加のデータに対する各データパラメータの現在の値と、各データパラメータに対する適応性センターリング係数の以前の値とを組合せるものであり、
    前記適応性スケーリング係数の前記以前の値は、前記複数の観測中の前記データパラメータの標準偏差を含み、前記適応性センターリング係数の前記以前の値は、前記処理装置からデータを前記得る手段によって、前記複数の観測中に得られた前記データパラメータの平均値を含んでいる請求項27に記載の処理装置。
  29. 前記初めのデータ、前記追加のデータ、前記適応性センターリング係数、前記適応性スケーリング係数、前記少なくとも1つの統計量値、および前記制御限界のうちの少なくとも1つにアクセスする手段を更に具備する請求項27に記載の処理装置。
  30. 前記アクセスする手段は、イントラネットおよびインターネットのうちの少なくとも一方である請求項29に記載の処理装置。
  31. 前記初めのデータ、前記追加のデータ、前記適応性センターリング係数、前記少なくとも1つの統計量値、および前記制御限界のうちの少なくとも1つにアクセスする手段を更に具備する請求項25に記載の処理装置。
  32. 前記アクセスする手段は、イントラネットおよびインターネットのうちの少なくとも一方である請求項31に記載の処理装置。
  33. 半導体製造の過程中、基板を処理する処理装置を監視する処理性能監視装置であって、
    前記処理装置に接続された複数のセンサと、
    前記複数のセンサおよび前記処理装置に接続されたコントローラとを具備しており、
    前記コントローラは、
    前記処理装置で処理が実施される第1の組の基板ランから複数の観測に対する複数のデータパラメータを備えた初めのデータを前記複数のセンサから得る手段と、
    前記第1の組の前記データパラメータから、前記初めのデータに対するセンターリング係数を含む主成分分析(PCA)モデルを構成する手段と、
    前記処理装置で前記処理を実施する第2の組の基板ランから前記PCAモデルの構成の後に得られる追加のデータを前記複数のセンサから得る手段と
    記データパラメータの各々に対して更新されたセンターリング係数を生成するように、前記初めのデータから先のランのデータと、追加のデータを前記得る手段によって得られた現在のデータとの両方を使用することによって追加のデータの各観測の時に前記センターリング係数を調整する手段と、
    前記更新された適応性センターリング係数を、前記第1の組の基板ランから生成され、変えられない前記PCAモデルにおける前記データパラメータの各々に適用する手段と、
    前記PCAモデルと、前記更新された適応性センターリング係数によってセンターリングされた前記追加のデータとの組み合わせを使用して少なくとも1つの統計量値を定める手段と、
    前記少なくとも1つの統計量値に対して制御限界を設定する手段と、
    前記基板の処理が前記第2の組に対する半導体製造の前記過程中、制御内にあるかを確認するために、前記少なくとも1つの統計量値を前記制御限界と比較する手段と
    前記処理装置で実施される前記処理を判断する出力を提供する手段と、を有している処理性能監視装置。
  34. データパラメータに対する適応性センターリング係数の以前の値と、前記追加のデータに対する各データパラメータの現在の値とを組合せる手段によって、前記センターリング係数を更に調整する手段を含み、
    前記適応性センターリング係数の前記以前の値は、前記処理装置からデータを前記得る手段によって前記複数の観測中に得られたデータパラメータの平均値を含んでいる請求項33に記載の処理性能監視装置。
  35. PCAモデルに対してスケーリング係数を定める手段と、
    前記PCAモデルにおける前記データパラメータの各々に対して更新された適応性スケーリング係数を生成するようにスケーリング係数を調整する手段と、
    前記更新された適応性スケーリング係数を前記PCAモデルにおける前記データパラメータの各々に適用する手段とを更に具備する請求項33に記載の処理性能監視装置。
  36. 帰的標準偏差フィルタを前記適応性スケーリング係数に適用する手段によって、前記スケーリング係数を更に調整する手段を含み、
    前記フィルタは、各データパラメータに対する適応性スケーリング係数の以前の値と、前記追加の観測に対する各データパラメータの現在の値と、各データパラメータに対する適応性センターリング係数の以前の値とを組合せるものであり、
    前記適応性スケーリング係数の前記以前の値は、前記複数の観測中の前記データパラメータの標準偏差を含み、前記適応性センターリング係数の前記以前の値は、初めのデータを前記得る手段によって前記複数の観測中に得られた前記データパラメータの平均値を含んでいる請求項35に記載の処理性能監視装置。
  37. 前記初めのデータ、前記追加のデータ、前記適応性センターリング係数、前記適応性スケーリング係数、前記少なくとも1つの統計量値、および前記制御限界のうちの少なくとも1つにアクセスする手段を更に具備する請求項35に記載の処理性能監視装置。
  38. 前記アクセスする手段は、イントラネットおよびインターネットのうちの少なくとも一方である請求項37に記載の処理性能監視装置。
  39. 前記初めのデータ、前記追加のデータ、前記適応性センターリング係数、前記少なくとも1つの統計量値、および前記制御限界のうちの少なくとも1つにアクセスする手段を更に具備する請求項33に記載の処理装置。
  40. 前記アクセスする手段は、イントラネットおよびインターネットのうちの少なくとも一方である請求項37に記載の処理装置。
  41. 半導体製造の過程中、基板を処理する第1処理装置を監視する方法であって、
    前記処理装置で処理が実施される第1の組の基板ランから複数の観測に対する複数のデータ変数を含む初めのデータを処理装置から得ることと、
    前記初めのデータに対するセンターリング係数を含む、前記第1の組の前記データパラメータからの主成分分析(PCA)モデルを構成することと、
    前記複数のデータパラメータの追加の観測を備えた追加のデータを、前記処理装置で前記処理が実施される第2の組の基板ランから前記PCAモデルを構成した後に前記第1処理装置から得ることと、
    記PCAモデルにおける前記データパラメータの各々に対して更新された適応性係数を生成するように、前記初めのデータから先のランのデータと、前記追加の観測から得られた現在のデータとの両方を使用することによって、前記追加のデータの各観測の時に、前記センターリング係数を調整することと
    前記更新された適応性センターリング係数を、前記第1の組の基板ランから生成され、変えられない前記PCAモデルにおける前記データパラメータの各々に適用することと、
    前記PCAモデルと、前記更新された適応性センターリング係数によってセンターリングされた前記追加のデータとの組み合わせを使用して少なくとも1つの統計量値を定めることと、
    前記少なくとも1つの統計量値に対して制御限界を設定することと、
    前記基板の処理が前記第2の組に対する半導体製造の前記過程中、制御内にあるかを確認するために、前記少なくとも1つの統計量値を前記制御限界と比較することと
    前記処理装置で実施される前記処理を判断する出力を提供することと、を具備する監視方法。
  42. PCAモデルに対してスケーリング係数を定めることと、
    スケーリング係数を調整して前記PCAモデルにおける前記データパラメータの各々に対して更新された適応性スケーリング係数を生成することと、
    前記更新された適応性スケーリング係数を前記PCAモデルにおける前記データパラメータの各々に適用することとを更に具備する請求項41に記載の方法。
  43. コンピュータ装置における実行のためのプログラム指令を収容しているコンピュータ読取り可能メディアであって、コンピュータ装置により基板の処理が実行されると、
    処理装置で処理が実施される第1の組の基板ランから複数の観測に対する複数のデータパラメータを備えた初めのデータを処理装置から得る工程と、
    前記初めのデータに対するセンターリング係数を含む、前記第1の組の前記データパラメータからの主成分分析(PCA)モデルを構成する工程と、
    前記複数のデータパラメータの追加の観測を備えた追加のデータを、前記処理装置で前記処理が実施される第2の組の基板ランから前記PCAモデルを構成した後に前記処理装置から得る工程と、
    前記初めのデータから先のランのデータと、前記PCAモデルにおける前記データパラメータの各々に対して更新された適応性センターリング係数を生成するように、前記追加の観測から得られた現在のデータとの両方を使用することによって、前記センターリング係数を調整する工程と、
    前記更新された適応性センターリング係数を、前記第1の組の基板ランから生成され、変えられない前記PCAモデルにおける前記データパラメータの各々に適用する工程と、
    前記PCAモデルと、前記更新された適応性センターリング係数によってセンターリングされた前記追加のデータとの組み合わせを使用して少なくとも1つの統計量値を定める工程と、
    前記少なくとも1つの統計量値に対して制御限界を設定する工程と、
    前記基板の処理が前記第2の組に対する半導体製造の前記過程中、制御内にあるかを確認するために、前記少なくとも1つの統計量値を前記制御限界と比較する工程と
    前記処理装置で実施される前記処理を判断する出力を提供する工程と、をコンピュータ装置に行わせるコンピュータ読取り可能メディア。
  44. コンピュータ装置における実行のためのプログラム指令を収容しているコンピュータ読取り可能メディアであって、コンピュータ装置により基板の処理が実行されると、
    処理装置で処理が実施される第1の組の基板ランから複数の観測に対する複数のデータパラメータを備えた初めのデータを第2の処理装置から得る工程と、
    前記初めのデータに対するセンターリング係数を含む、前記第1の組の前記データパラメータからの主成分分析(PCA)モデルを構成する工程と、
    前記複数のデータパラメータの追加の観測を備えた追加のデータを、前記処理装置で前記処理が実施される第2の組の基板ランから前記PCAモデルを構成した後に第1の処理装置から得る工程と、
    記PCAモデルにおける前記データパラメータの各々に対して更新された適応性センターリング係数を生成するように、前記初めのデータから先のランのデータと、前記追加の観測から得られた現在のデータとの両方を使用することによって、前記センターリング係数を調整する工程と、
    前記更新された適応性センターリング係数を、前記第1の組の基板ランから生成され、変えられない前記PCAモデルにおける前記データパラメータの各々に適用する工程と、
    前記PCAモデルと、前記更新された適応性センターリング係数によってセンターリングされた前記追加のデータとの組み合わせを使用して少なくとも1つの統計量値を定める工程と、
    前記少なくとも1つの統計量値に対して制御限界を設定する工程と、
    前記基板の処理が前記第2の組に対する半導体製造の前記過程中、制御内にあるかを確認するために、前記少なくとも1つの統計量値を前記制御限界と比較する工程と
    前記処理装置で実施される前記処理を判断する出力を提供する工程と、をコンピュータ装置に行わせるコンピュータ読取り可能メディア。
JP2006526083A 2003-09-12 2004-08-27 適応性多変数分析を使用して処理装置を診断する方法および装置 Expired - Fee Related JP4699367B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/660,697 US7328126B2 (en) 2003-09-12 2003-09-12 Method and system of diagnosing a processing system using adaptive multivariate analysis
US10/660,697 2003-09-12
PCT/US2004/025599 WO2005036314A2 (en) 2003-09-12 2004-08-27 Method and system of diagnosing a processing system using adaptive multivariate analysis

Publications (2)

Publication Number Publication Date
JP2007505494A JP2007505494A (ja) 2007-03-08
JP4699367B2 true JP4699367B2 (ja) 2011-06-08

Family

ID=34273706

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006526083A Expired - Fee Related JP4699367B2 (ja) 2003-09-12 2004-08-27 適応性多変数分析を使用して処理装置を診断する方法および装置

Country Status (7)

Country Link
US (1) US7328126B2 (ja)
EP (1) EP1665076A4 (ja)
JP (1) JP4699367B2 (ja)
KR (1) KR101047971B1 (ja)
CN (1) CN100476798C (ja)
TW (1) TWI261738B (ja)
WO (1) WO2005036314A2 (ja)

Families Citing this family (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020191102A1 (en) * 2001-05-31 2002-12-19 Casio Computer Co., Ltd. Light emitting device, camera with light emitting device, and image pickup method
JP2004240628A (ja) * 2003-02-05 2004-08-26 Yokogawa Electric Corp 生産プロセスの評価方法及び評価装置
US8676538B2 (en) * 2004-11-02 2014-03-18 Advanced Micro Devices, Inc. Adjusting weighting of a parameter relating to fault detection based on a detected fault
US7404123B1 (en) * 2005-03-28 2008-07-22 Lam Research Corporation Automated test and characterization data analysis methods and arrangement
JP2009500853A (ja) * 2005-07-07 2009-01-08 エム ケー エス インストルメンツ インコーポレーテッド プロセス環境における動的パラメータのモニタリングに用いる自己訂正型多変量解析
JP4712462B2 (ja) * 2005-07-11 2011-06-29 東京エレクトロン株式会社 基板処理監視装置、基板処理監視システム、基板処理監視プログラム及び記録媒体
US7467064B2 (en) * 2006-02-07 2008-12-16 Timbre Technologies, Inc. Transforming metrology data from a semiconductor treatment system using multivariate analysis
US7523021B2 (en) * 2006-03-08 2009-04-21 Tokyo Electron Limited Weighting function to enhance measured diffraction signals in optical metrology
US9158941B2 (en) * 2006-03-16 2015-10-13 Arm Limited Managing access to content in a data processing apparatus
US7587296B2 (en) * 2006-05-07 2009-09-08 Applied Materials, Inc. Adaptive multivariate fault detection
US7596718B2 (en) * 2006-05-07 2009-09-29 Applied Materials, Inc. Ranged fault signatures for fault diagnosis
US7657399B2 (en) * 2006-07-25 2010-02-02 Fisher-Rosemount Systems, Inc. Methods and systems for detecting deviation of a process variable from expected values
US7912676B2 (en) * 2006-07-25 2011-03-22 Fisher-Rosemount Systems, Inc. Method and system for detecting abnormal operation in a process plant
US8145358B2 (en) 2006-07-25 2012-03-27 Fisher-Rosemount Systems, Inc. Method and system for detecting abnormal operation of a level regulatory control loop
US8606544B2 (en) * 2006-07-25 2013-12-10 Fisher-Rosemount Systems, Inc. Methods and systems for detecting deviation of a process variable from expected values
JP5197610B2 (ja) * 2006-09-28 2013-05-15 フィッシャー−ローズマウント システムズ,インコーポレイテッド 熱交換器における異常状態の防止
US20080120060A1 (en) * 2006-09-29 2008-05-22 Fisher-Rosemount Systems, Inc. Detection of catalyst losses in a fluid catalytic cracker for use in abnormal situation prevention
US7853431B2 (en) * 2006-09-29 2010-12-14 Fisher-Rosemount Systems, Inc. On-line monitoring and diagnostics of a process using multivariate statistical analysis
WO2008042757A2 (en) * 2006-09-29 2008-04-10 Fisher-Rosemount Systems, Inc. Univariate method for monitoring and analysis of multivariate data
ES2431863T5 (es) * 2006-11-03 2017-07-27 Air Products And Chemicals, Inc. Sistema y método para la monitorización de procesos
US20080140345A1 (en) * 2006-12-07 2008-06-12 International Business Machines Corporation Statistical summarization of event data
US8103481B2 (en) * 2006-12-12 2012-01-24 International Business Machines Corporation Processing irregularly occuring data events in real time
US8032340B2 (en) * 2007-01-04 2011-10-04 Fisher-Rosemount Systems, Inc. Method and system for modeling a process variable in a process plant
US20080167842A1 (en) * 2007-01-04 2008-07-10 Honeywell International Inc. Method and system for detecting, analyzing and subsequently recognizing abnormal events
US8032341B2 (en) 2007-01-04 2011-10-04 Fisher-Rosemount Systems, Inc. Modeling a process using a composite model comprising a plurality of regression models
US7827006B2 (en) * 2007-01-31 2010-11-02 Fisher-Rosemount Systems, Inc. Heat exchanger fouling detection
US7630859B2 (en) * 2007-05-01 2009-12-08 Verity Instruments, Inc. Method and apparatus for reducing the effects of window clouding on a viewport window in a reactive environment
US8271103B2 (en) * 2007-05-02 2012-09-18 Mks Instruments, Inc. Automated model building and model updating
US8010321B2 (en) * 2007-05-04 2011-08-30 Applied Materials, Inc. Metrics independent and recipe independent fault classes
US7765020B2 (en) * 2007-05-04 2010-07-27 Applied Materials, Inc. Graphical user interface for presenting multivariate fault contributions
KR100885187B1 (ko) * 2007-05-10 2009-02-23 삼성전자주식회사 플라즈마 챔버의 상태를 모니터링하는 방법 및 시스템
KR100928205B1 (ko) * 2007-06-05 2009-11-25 삼성전자주식회사 반도체 제조설비 관리시스템 및 그의 통계적 공정 관리방법
US8301676B2 (en) * 2007-08-23 2012-10-30 Fisher-Rosemount Systems, Inc. Field device with capability of calculating digital filter coefficients
US7702401B2 (en) 2007-09-05 2010-04-20 Fisher-Rosemount Systems, Inc. System for preserving and displaying process control data associated with an abnormal situation
US8055479B2 (en) 2007-10-10 2011-11-08 Fisher-Rosemount Systems, Inc. Simplified algorithm for abnormal situation prevention in load following applications including plugged line diagnostics in a dynamic process
US8271122B2 (en) 2008-03-07 2012-09-18 Mks Instruments, Inc. Process control using process data and yield data
US7622308B2 (en) * 2008-03-07 2009-11-24 Mks Instruments, Inc. Process control using process data and yield data
DE102008021558A1 (de) * 2008-04-30 2009-11-12 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für die Halbleiterprozesssteuerung und Überwachung unter Verwendung von PCA-Modellen mit reduzierter Grösse
US20090282296A1 (en) * 2008-05-08 2009-11-12 Applied Materials, Inc. Multivariate fault detection improvement for electronic device manufacturing
US8335582B2 (en) * 2008-05-19 2012-12-18 Applied Materials, Inc. Software application to analyze event log and chart tool fail rate as function of chamber and recipe
KR101504594B1 (ko) * 2008-08-28 2015-03-23 삼성전자주식회사 반도체 소자의 누설전류 예측 방법
US8494798B2 (en) * 2008-09-02 2013-07-23 Mks Instruments, Inc. Automated model building and batch model building for a manufacturing process, process monitoring, and fault detection
US8527080B2 (en) * 2008-10-02 2013-09-03 Applied Materials, Inc. Method and system for managing process jobs in a semiconductor fabrication facility
US8643539B2 (en) * 2008-11-19 2014-02-04 Nokomis, Inc. Advance manufacturing monitoring and diagnostic tool
US9069345B2 (en) * 2009-01-23 2015-06-30 Mks Instruments, Inc. Controlling a manufacturing process with a multivariate model
DE102009006887B3 (de) * 2009-01-30 2010-07-15 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zur Halbleiterprozesssteuerung und Überwachung unter Anwendung eines Datenqualitätsmaßes
US8989887B2 (en) 2009-02-11 2015-03-24 Applied Materials, Inc. Use of prediction data in monitoring actual production targets
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8855804B2 (en) 2010-11-16 2014-10-07 Mks Instruments, Inc. Controlling a discrete-type manufacturing process with a multivariate model
SG190883A1 (en) * 2010-11-26 2013-07-31 Heung Seob Koo Representative-value calculating device and method
US9295148B2 (en) * 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9429939B2 (en) 2012-04-06 2016-08-30 Mks Instruments, Inc. Multivariate monitoring of a batch manufacturing process
US9541471B2 (en) 2012-04-06 2017-01-10 Mks Instruments, Inc. Multivariate prediction of a batch manufacturing process
JP5739841B2 (ja) * 2012-06-13 2015-06-24 株式会社東芝 電子デバイスの生産管理装置、生産管理システム及び生産管理プログラム
US8917261B2 (en) * 2012-06-26 2014-12-23 Atmel Corporation Pixel occlusion mitigation
TWI463426B (zh) * 2012-09-25 2014-12-01 China Steel Corp Integrated Process Monitoring Method and Its System
US9330990B2 (en) 2012-10-17 2016-05-03 Tokyo Electron Limited Method of endpoint detection of plasma etching process using multivariate analysis
FR3003034B1 (fr) 2013-03-05 2017-09-08 Polyor Sarl Protocole pour la preconisation et le dosage de la fertilisation phospho-potassique et magnesique (pkmg) de cultures agronomiques
US9400944B2 (en) * 2013-03-11 2016-07-26 Sas Institute Inc. Space dilating two-way variable selection
JP6173851B2 (ja) * 2013-09-20 2017-08-02 株式会社日立ハイテクノロジーズ 分析方法およびプラズマエッチング装置
CN103472732A (zh) * 2013-09-27 2013-12-25 上海交通大学 一种改进的基于马氏距离的多变量控制器性能监控方法
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
KR101522385B1 (ko) * 2014-05-02 2015-05-26 연세대학교 산학협력단 반도체 제조 공정에서의 이상 감지 방법, 장치 및 기록매체
FR3029620B1 (fr) * 2014-12-05 2019-06-14 Safran Aircraft Engines Procede de suivi de la fabrication de pieces base sur l'analyse simultanee d'indicateurs statistiques
FR3029622B1 (fr) * 2014-12-05 2019-06-14 Safran Aircraft Engines Procede de suivi de la fabrication de pieces base sur l'analyse de coefficients de centrage
CN104714537B (zh) * 2015-01-10 2017-08-04 浙江大学 一种基于联合相对变化分析和自回归模型的故障预测方法
CN104777831B (zh) * 2015-04-09 2017-11-17 武汉船用机械有限责任公司 一种液压式潜液泵系统的故障诊断方法
US10622197B2 (en) * 2015-07-21 2020-04-14 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US10395895B2 (en) * 2015-08-27 2019-08-27 Mks Instruments, Inc. Feedback control by RF waveform tailoring for ion energy distribution
US10656102B2 (en) 2015-10-22 2020-05-19 Battelle Memorial Institute Evaluating system performance with sparse principal component analysis and a test statistic
KR20180073700A (ko) 2015-11-16 2018-07-02 도쿄엘렉트론가부시키가이샤 진보된 광학 센서 및 플라즈마 챔버용 방법
TWI690009B (zh) * 2015-11-20 2020-04-01 財團法人工業技術研究院 設備的故障評估方法與故障評估裝置
US20170245361A1 (en) * 2016-01-06 2017-08-24 Nokomis, Inc. Electronic device and methods to customize electronic device electromagnetic emissions
US10521774B2 (en) * 2016-03-22 2019-12-31 Asm Ip Holding B.V. Preventive maintenance system and preventive maintenance method
US20170287791A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10804821B2 (en) * 2016-11-04 2020-10-13 Advanced Ion Beam Technology, Inc. Apparatus and method for monitoring the relative relationship between the wafer and the chuck
JP7112620B2 (ja) 2016-11-18 2022-08-04 東京エレクトロン株式会社 製造プロセスにおける粒子によって誘発されるアークの検出のための方法および装置
CN106647274B (zh) * 2016-12-28 2018-05-18 中南大学 一种连续生产过程中运行工况稳态判别方法
US10606253B2 (en) * 2017-02-08 2020-03-31 United Microelectronics Corp. Method of monitoring processing system for processing substrate
US10448864B1 (en) 2017-02-24 2019-10-22 Nokomis, Inc. Apparatus and method to identify and measure gas concentrations
KR20190121864A (ko) 2017-03-17 2019-10-28 도쿄엘렉트론가부시키가이샤 에칭 메트릭 향상을 위한 표면 개질 제어
FR3066289B1 (fr) * 2017-05-09 2021-03-19 Quantics Tech Procede, mise en oeuvre par ordinateur, de recherche de regles d'association dans une base de donnees
JP6524274B2 (ja) * 2017-05-26 2019-06-05 ローム株式会社 信号灯モニタ
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
US11489847B1 (en) 2018-02-14 2022-11-01 Nokomis, Inc. System and method for physically detecting, identifying, and diagnosing medical electronic devices connectable to a network
JP7139734B2 (ja) * 2018-07-09 2022-09-21 日新電機株式会社 異常検知方法及び異常検知システム
JP6990634B2 (ja) * 2018-08-21 2022-02-03 株式会社日立ハイテク 状態予測装置及び半導体製造装置
JP7068583B2 (ja) * 2018-09-20 2022-05-17 日本電信電話株式会社 学習装置、推定装置、学習方法、推定方法およびプログラム
US10976718B2 (en) * 2019-03-06 2021-04-13 Honeywell Limited System and method for monitoring changes in process dynamic behavior by mapping parameters to a lower dimensional space
CN109933049B (zh) * 2019-03-29 2020-10-13 国网山东省电力公司费县供电公司 一种电力调度日志故障分类方法及系统
CN110109435B (zh) * 2019-05-22 2020-07-24 杭州电子科技大学 一种改进两步子空间划分的在线监测方法
TW202113312A (zh) 2019-05-23 2021-04-01 日商東京威力科創股份有限公司 使用高光譜成像的半導體製程光學診斷
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching
JP7450358B2 (ja) * 2019-09-25 2024-03-15 東京エレクトロン株式会社 基板処理制御方法、基板処理装置、及び記憶媒体
US11293981B2 (en) * 2020-01-15 2022-04-05 Toyota Motor Engineering & Manufacturing North America, Inc. Systems and methods for false-positive reduction in power electronic device evaluation
JP7482651B2 (ja) * 2020-03-04 2024-05-14 キヤノン株式会社 情報処理装置、監視方法、プログラムおよび物品製造方法
CN110987436B (zh) * 2020-03-05 2020-06-09 天津开发区精诺瀚海数据科技有限公司 基于激励机制的轴承故障诊断方法
TWI742693B (zh) * 2020-05-26 2021-10-11 國立中正大學 感測器安裝最佳化裝置及其方法
US11893004B2 (en) 2020-08-26 2024-02-06 Ford Global Technologies, Llc Anomaly detection in multidimensional sensor data
CN112463264B (zh) * 2020-12-11 2022-12-09 西安交通大学 一种用于反应堆破口事故分析程序的交互功能实现方法
CN117519052B (zh) * 2023-12-12 2024-05-28 博纯(泉州)半导体材料有限公司 基于电子气体生产制造系统的故障分析方法及系统

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11502609A (ja) * 1993-12-22 1999-03-02 テレフオンアクチーボラゲツト エル エム エリクソン 可変数プロセスをモニタリングするための方法
JP2000252179A (ja) * 1999-03-04 2000-09-14 Hitachi Ltd 半導体製造プロセス安定化支援システム
JP2001521280A (ja) * 1997-10-23 2001-11-06 マサチューセッツ インスティテュート オブ テクノロジー プラズマ放射スペクトルの多変量統計分析を伴うプラズマ処理モニタ
US20020107858A1 (en) * 2000-07-05 2002-08-08 Lundahl David S. Method and system for the dynamic analysis of data
US20030055523A1 (en) * 1999-03-19 2003-03-20 Bunkofske Raymond J. User configurable multivariate time series reduction tool control method
JP2003519922A (ja) * 2000-01-04 2003-06-24 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 超小型電子機器製造において最適な加工ターゲットを定めるための方法
US20030144746A1 (en) * 2000-03-10 2003-07-31 Chang-Meng Hsiung Control for an industrial process using one or more multidimensional variables

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5442562A (en) 1993-12-10 1995-08-15 Eastman Kodak Company Method of controlling a manufacturing process using multivariate analysis
US5796606A (en) * 1996-02-07 1998-08-18 Noranda Inc. Process information and maintenance system for distributed control systems
JP2000114338A (ja) * 1998-09-30 2000-04-21 Mitsubishi Electric Corp 半導体装置の特性変動評価方法、特性変動評価装置および特性変動評価プログラムを記憶した記録媒体
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6419846B1 (en) * 1999-09-08 2002-07-16 Advanced Micro Devices, Inc. Determining endpoint in etching processes using principal components analysis of optical emission spectra
GB0007063D0 (en) * 2000-03-23 2000-05-10 Simsci Limited Mulitvariate statistical process monitors
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
EP1317694B1 (en) 2000-09-15 2004-08-04 Advanced Micro Devices, Inc. Adaptive sampling method for improved control in semiconductor manufacturing
US6549864B1 (en) 2001-08-13 2003-04-15 General Electric Company Multivariate statistical process analysis systems and methods for the production of melt polycarbonate
CN100419983C (zh) * 2003-05-16 2008-09-17 东京毅力科创株式会社 处理系统健康指数及其使用方法
US6952657B2 (en) * 2003-09-10 2005-10-04 Peak Sensor Systems Llc Industrial process fault detection using principal component analysis

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11502609A (ja) * 1993-12-22 1999-03-02 テレフオンアクチーボラゲツト エル エム エリクソン 可変数プロセスをモニタリングするための方法
JP2001521280A (ja) * 1997-10-23 2001-11-06 マサチューセッツ インスティテュート オブ テクノロジー プラズマ放射スペクトルの多変量統計分析を伴うプラズマ処理モニタ
JP2000252179A (ja) * 1999-03-04 2000-09-14 Hitachi Ltd 半導体製造プロセス安定化支援システム
US20030055523A1 (en) * 1999-03-19 2003-03-20 Bunkofske Raymond J. User configurable multivariate time series reduction tool control method
JP2003519922A (ja) * 2000-01-04 2003-06-24 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 超小型電子機器製造において最適な加工ターゲットを定めるための方法
US20030144746A1 (en) * 2000-03-10 2003-07-31 Chang-Meng Hsiung Control for an industrial process using one or more multidimensional variables
US20020107858A1 (en) * 2000-07-05 2002-08-08 Lundahl David S. Method and system for the dynamic analysis of data

Also Published As

Publication number Publication date
US7328126B2 (en) 2008-02-05
JP2007505494A (ja) 2007-03-08
TWI261738B (en) 2006-09-11
TW200515112A (en) 2005-05-01
KR101047971B1 (ko) 2011-07-13
EP1665076A2 (en) 2006-06-07
WO2005036314A3 (en) 2005-11-10
EP1665076A4 (en) 2007-06-20
KR20060123098A (ko) 2006-12-01
CN1849599A (zh) 2006-10-18
WO2005036314A2 (en) 2005-04-21
CN100476798C (zh) 2009-04-08
US20050060103A1 (en) 2005-03-17

Similar Documents

Publication Publication Date Title
JP4699367B2 (ja) 適応性多変数分析を使用して処理装置を診断する方法および装置
US7713760B2 (en) Process system health index and method of using the same
JP4971132B2 (ja) ランtoラン制御の方法とシステム
US7844559B2 (en) Method and system for predicting process performance using material processing tool and sensor data
US7167766B2 (en) Controlling a material processing tool and performance data
JP2006501684A (ja) プラズマプロセスのデータを解析するための方法とシステム
JP4659359B2 (ja) 材料処理の方法および材料処理のためのシステム
US20040004708A1 (en) Method and system for data handling, storage and manipulation
TWI280617B (en) Method and system of determining chamber seasoning condition by optical emission
JP4660091B2 (ja) 材料処理システムおよび材料処理システムを特徴づける方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070815

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100622

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101005

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110201

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110302

R150 Certificate of patent or registration of utility model

Ref document number: 4699367

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees