JP2003519922A - 超小型電子機器製造において最適な加工ターゲットを定めるための方法 - Google Patents

超小型電子機器製造において最適な加工ターゲットを定めるための方法

Info

Publication number
JP2003519922A
JP2003519922A JP2001550802A JP2001550802A JP2003519922A JP 2003519922 A JP2003519922 A JP 2003519922A JP 2001550802 A JP2001550802 A JP 2001550802A JP 2001550802 A JP2001550802 A JP 2001550802A JP 2003519922 A JP2003519922 A JP 2003519922A
Authority
JP
Japan
Prior art keywords
machining
defining
processing
modification
measured
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001550802A
Other languages
English (en)
Other versions
JP2003519922A5 (ja
JP5063846B2 (ja
Inventor
トプラク,アンソニー・ジェイ
ミラー,マイケル・エル
ソンダーマン,トーマス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2003519922A publication Critical patent/JP2003519922A/ja
Publication of JP2003519922A5 publication Critical patent/JP2003519922A5/ja
Application granted granted Critical
Publication of JP5063846B2 publication Critical patent/JP5063846B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

(57)【要約】 製造のための方法が提供され、方法は、加工ステップ(105)でワークピース(105)を加工するステップと、加工ステップ(105)でワークピースに対して行われる加工に特有のパラメータ(110)を測定するステップと、測定された特徴パラメータ(110)に対応する出力信号(125)を形成するステップとを含む。この方法は、出力信号(125)に基づいて、加工ステップ(105)で行なわれる加工のためのターゲット値(145)を設定するステップ(130)も含む。

Description

【発明の詳細な説明】
【0001】
【技術分野】
この発明は、半導体製造技術に一般的に関し、より特定的には、半導体製造の
監視および最適化のための方法に関する。
【0002】
【背景技術】
半導体業界内では、たとえば、マイクロプロセッサ、メモリデバイスなどの集
積回路デバイスの品質、信頼性およびスループットを向上させる取組みが常に行
なわれている。この取組みは、より高い信頼性をもって動作する、より高品質の
コンピュータおよび電子デバイスに対する消費者の要求によって推進されている
。これらの要求の結果、たとえばトランジスタなどの半導体デバイスの製造と、
そのようなトランジスタを組入れる集積回路デバイスの製造とにおいて、絶え間
なく改良がもたらされてきた。さらに、典型的なトランジスタの構成要素の製造
における欠点を減らすことによっても、トランジスタ当りの全体的コストと、そ
のようなトランジスタを組入れる集積回路デバイスのコストとが低下している。
【0003】 半導体加工ツールの基礎となる技術は、過去数年間ますます注目を集めており
、その結果、かなりの洗練がもたらされた。しかしながら、この分野で達成され
た進歩にもかかわらず、現在市販されている加工ツールの多くはある欠点を有し
ている。特に、そのようなツールは、ユーザが利用しやすいフォーマットでの履
歴パラメータデータおよび現在の加工パラメータとラン全体の加工パラメータと
の両者のイベントロギング的リアルタイムグラフィカルディスプレイを提供でき
ることならびに、リモート、すなわちローカルサイトおよびワールドワイドなモ
ニタなどの、高度なプロセスデータモニタ能力に欠けていることがしばしばであ
る。これらの欠点は、スループット精度、安定性および反復性、加工温度、ツー
ルの機械的パラメータなどの、臨界加工パラメータの最適でない制御をもたらし
得る。ラン内のばらつき、ランごとのばらつきおよびツールごとのばらつきとし
て、この変動性が顕在化し、これが製品品質および性能の偏りに伝わり得る一方
で、そのようなツール向けの理想的なモニタおよび診断システムには、この変動
性をモニタする手段と、臨界パラメータの制御を最適化するための手段とが設け
られている。
【0004】 パラメータのうちモニタおよび制御が有用なものは、臨界寸法(CD)、トラ
ンジスタ(および他の半導体デバイス)のドーピングレベルおよびフォトリソグ
ラフィにおけるオーバーレイエラーである。CDとは、特定の加工装置が作製可
能であろう最小フィーチャサイズである。たとえば、金属酸化物半導体電界効果
トランジスタ(MOSFETまたはMOSトランジスタ)用の多結晶(ポリシリ
コンまたはポリ)ゲートラインの最小幅wは、そのようなトランジスタを有する
半導体デバイスの1つのCDに対応し得る。同様に、接合深さdj(ドープされ
た基板内に形成される濃くドープされたソース/ドレイン領域の底部までの、ド
ープされた基板の表面から下の深さ)は、MOSトランジスタなどの半導体デバ
イスの別のCDであろう。ドーピングレベルは半導体デバイスに注入されるイオ
ンの量に依存し得る。その量は、典型的にkeVで与えられるイオン注入エネル
ギでの平方センチメートル当りのイオンの数で与えられるのが典型的である。
【0005】 しかしながら、半導体および超小型電子デバイス製造において、CDおよびド
ーピングレベルを正確に制御して、デバイスの性能および歩留まりを最適化する
には、伝統的な統計プロセス制御(SPC)技術では不十分なことがしばしばあ
る。典型的に、SPC技術は、CD、ドーピングレベルおよび/またはフォトリ
ソグラフィにおけるオーバーレイエラーに対して、ターゲット値およびターゲッ
ト値付近の広がりを設定する。次にSPC技術は、それぞれのターゲット値を自
動的に調節したり適合したりせずにターゲット値からの偏差を最小化して、たと
えば、ウェハ電気テスト(wafer electrical test)(WET)測定特徴で測定
されるように、半導体デバイスの性能を最適化および/または半導体デバイスの
歩留まりとスループットとを最適化しようとする。さらに、ターゲット値付近の
適合的でないプロセス広がりをむやみに最小化しても、プロセスの歩留りおよび
スループットは向上しないであろう。
【0006】 この発明は、上述の問題の1つ以上を克服するまたは少なくともその影響を低
減することに向けられる。
【0007】
【発明の開示】
この発明の1つの局面では、製造方法が提供される。この方法は、加工ステッ
プでワークピースを加工するステップと、加工ステップでワークピースに対して
行なわれる加工に特有のパラメータを測定するステップと、測定された特徴パラ
メータに対応する出力信号を形成するステップとを含む。この方法は、出力信号
に基づいて、加工ステップで行なわれる加工のためのターゲット値を設定するス
テップも含む。
【0008】 この発明の別の局面では、コンピュータ読出可能プログラム記憶装置が提供さ
れ、これは、コンピュータによって実行されるときに方法を行なう命令でエンコ
ードされる。この方法は、加工ステップでワークピースを加工するステップと、
加工ステップでワークピースに対して行なわれる加工に特有のパラメータを測定
するステップと、測定された特徴パラメータに対応する出力信号を形成するステ
ップとを含む。この方法は、出力信号に基づいて、加工ステップで行なわれる加
工のためのターゲット値を設定するステップも含む。
【0009】 この発明のさらに別の局面では、方法を行なうようにプログラムされるコンピ
ュータである。この方法は、加工ステップでワークピースを加工するステップと
、加工ステップでワークピースに対して行なわれる加工に特有のパラメータを測
定するステップと、測定された特徴パラメータに対応する出力信号を形成するス
テップとを含む。この方法は、出力信号に基づいて、加工ステップで行なわれる
加工のためのターゲット値を設定するステップも含む。
【0010】 この発明は、添付の図面と関連して以下の説明を参照することによって理解さ
れるであろう。図面中では、参照番号中の最も左の有効桁が、それぞれの参照番
号が現れる最初の図面を表わしている。
【0011】 なお、図1から図13は、この発明に従う製造方法のさまざまな実施例を概略
的に示すものである。
【0012】 この発明はさまざまな変更例および代替的な形が可能であり、その特定の実施
例が図面に例示の目的のために示され、本明細書中に詳細に説明される。しかし
ながら、特定の実施例の本明細書中の説明が、開示された特定の形態にこの発明
を限定することを意図するものではなく、反対に、その意図は、添付の請求項に
よって規定されるように、この発明の精神および範囲内のすべての変更例、均等
物および代替例を含むことを理解されたい。
【0013】
【発明を実行するためのモード】
この発明の例示的な実施例が以下に説明される。明瞭さのために、実際の実現
例のすべての特徴が本明細書中で説明されるわけではない。当然ながら、いずれ
のそのような実際の実現例の開発においても、実現例によって異なるシステム関
連および業務関連の制約の順守など、開発者の特定の目標を達成するための数多
くの実現例特有の決定をなさなければならないことが認められる。さらに、その
ような開発努力は複雑でありかつ時間がかかるものであり得るが、それにもかか
わらず、この開示から利益を得る当業者にとっては日常業務であることが認めら
れる。
【0014】 この発明に従う製造方法の例示的な実施例が図1から図13に示される。図1
に示されるように、たとえば、1つもしくはそれ以上のプロセス層および/もし
くはMOSトランジスタなどの半導体デバイスがその上に配置される半導体基板
またはウェハなどのワークピーク100が加工ステップj105に配送される。
ここでjは、j=1からj=Nまでのいずれの値も有し得る。完成したワークピ
ーク100を形成するのに用いられる、マスキング、エッチング、材料の堆積な
どの加工ステップの総数Nは、N=1からほぼいずれの有限の値までの範囲に及
び得る。
【0015】 図2に示されるように、ワークピース100は加工ステップj105から送ら
れ、測定ステップj110に配送される。測定ステップj110で、ワークピー
ス100は、ある計測法または測定ツール(図示せず)に、前の加工ステップ(
加工ステップj105など、ここでjはj=1からj=Nまでのいずれの値も有
し得る)のいずれにおいても行なわれる加工に特有の1つ以上のパラメータを測
定させることによって測定される。測定ステップj110での測定値は、測定ス
テップj110で測定された1つ以上の特徴パラメータを示す走査データ115
を発生する。図2に示されるように、(j<Nの場合)ワークピース100上で
行なうべきさらなる加工が存在すれば、ワークピース100は測定ステップj1
10から送られ、さらなる加工のために加工ステップj+1 140に配送され
、次に加工ステップj+1 140からさらに送られ得る。
【0016】 さまざまな例示的な実施例では、さらなる加工は存在せず(j=N)、測定ス
テップj=N 110は、半導体デバイスおよび/もしくは複数のデバイスなら
びに/またはワークピース100上に形成されるプロセス層のウェハ電気テスト
(WET)であり得る。WETは、たとえば、ワークピース100上に形成され
るMOSトランジスタの電流および/もしくは電圧応答ならびに/またはワーク
ピース100上に形成されるMOSトランジスタの要素のキャパシタンスおよび
/もしくは抵抗を測定し得る。たとえば、ワークピース100上に形成されるM
OSトランジスタの飽和ドレイン−ソース電流Idsatは、ワークピース100上
に形成されるMOSトランジスタがどのくらいの速さで「オン」から「オフ」状
態にスイッチされ得るかの指標として測定され得る。
【0017】 図3に示されるように、走査データ115は測定ステップj110から送られ
、特徴パラメータモデル化ステップ120に配送される。特徴パラメータモデル
化ステップ120では、測定ステップj110で測定された1つ以上の特徴パラ
メータが特徴パラメータモデルに入力され得る。特徴パラメータモデルは、測定
ステップj110で測定された1つ以上の特徴パラメータを、以前の加工ステッ
プ(加工ステップj105など、ここでjはj=1からj=Nまでのいずれの値
も有し得る)のいずれにおいても行なわれる加工を特定する1つ以上のパラメー
タにマッピングし得る。特徴パラメータモデル化ステップ120で走査データ1
15を特徴パラメータモデルに配送することで、出力信号125が発生する。
【0018】 図4に示されるように、出力信号125は特徴パラメータモデル化ステップ1
20から送られ、ターゲット値設定ステップ130に配送される。ターゲット値
設定ステップ130では、特徴パラメータモデルを反転して、測定ステップj1
10で測定される1つ以上の特徴パラメータ値を仕様値の範囲内にもってこさせ
る必要がある、以前の加工ステップ(加工ステップj105など、ここでjはj
=1からj=Nまでのいずれの値も有し得る)のいずれにおいても行なわれる加
工の1つ以上の変更を規定し得る。
【0019】 ターゲット値設定ステップ130での(出力信号125に基づく)特徴パラメ
ータモデルの反転は、以前の加工ステップ(加工ステップj105など、ここで
jはj=1からj=Nまでのいずれの値も有し得る)のいずれにおいても行なわ
れる加工を調節する必要性を技術者に警告するのに用い得る。技術者はまた、た
とえば、特徴パラメータモデル化ステップ120でモデル化された特徴パラメー
タのタイプを変更して、発生される出力信号125に影響を及ぼし得る。
【0020】 図5に示されるように、フィードバック制御信号135は、ターゲット値設定
ステップ130から加工ステップj105に送られて、加工ステップj105で
行なわれる加工を調節し得る。さまざまな代替的な例示的な実施例(図示せず)
では、フィードバック制御信号135は、ターゲット値設定ステップ130から
以前の加工ステップ(加工ステップj105と同様のもの、ここでjはj=1か
らj=Nまでのいずれの値も有し得る)のいずれかに送られ、以前の加工ステッ
プのいずれにおいても行なわれる加工を調節し得る。
【0021】 図6に示されるように、フィードバック制御信号135に加えておよび/また
はその代わりに、ターゲット値設定ステップ130からプロセス変更および制御
ステップ150にターゲット値145を送り得る。プロセス変更および制御ステ
ップ150では、ターゲット値145を高レベル監視制御ループで用い得る。そ
の後、図7に示されるように、プロセス変更および制御ステップ150から加工
ステップj105にフィードバック制御信号155を送り、加工ステップj10
5で行なわれる加工を調節し得る。さまざまな代替的な例示的な実施例(図示せ
ず)では、フィードバック制御信号155は、プロセス変更および制御ステップ
150から前の加工ステップ(加工ステップj105と同様のもの、ここでjは
j=1からj=Nまでのいずれの値も有し得る)のいずれかに送られて、前の加
工ステップのいずれにおいても行なわれる加工を調節し得る。さまざまな例示的
な実施例では、各動作または加工ステップj105、なおjはj=1からj=N
までのいずれの値も有し得る、で行なわれた測定および可逆トランジスタモデル
と関連して、最終WET測定からの出力信号を用いて、監視的態様で1つ以上の
加工ステップj105で設定値を変更し、それによりその後の生産をWET測定
ターゲット値のより近くに駆動する。
【0022】 さまざまな例示的な実施例では、上述のように、さらなる加工は存在せず(j
=N)、測定ステップj=N 110は、半導体デバイスおよび/もしくは複数
のデバイスならびに/またはワークピース100上に形成されるプロセス層のウ
ェハ電気テスト(WET)であり得る。WETは、たとえば、ワークピース10
0上に形成されるMOSトランジスタの電流および/もしくは電圧応答ならびに
/またはワークピース100上に形成されるMOSトランジスタの要素のキャパ
シタンスおよび/もしくは抵抗を測定し得る。図8に示されるように、金属酸化
物半導体電界効果トランジスタ(MOSFETまたはMOSトランジスタ)80
0は、ドープトシリコンなどの半導体基板805上に形成され得る。MOSトラ
ンジスタ800は、半導体基板805上に形成された、ゲート酸化物815上に
形成されたドープトポリゲート810を有し得る。ドープトポリゲート810お
よびゲート酸化物815は、誘電体スペーサ825により、MOSトランジスタ
800のN+ドープされた(P+ドープされた)ソース/ドレイン領域820から
分離され得る。誘電スペーサ825は、N-ドープされた(P-ドープされた)薄
くドープされたドレイン(LDD)領域830上に形成され得る。
【0023】 N-ドープされた(P-ドープされた)LDD領域830は、典型的に、MOS
トランジスタ800のN+ドープされた(P+ドープされた)ソース/ドレイン領
域820の近くに見られる最大チャネル電界の大きさを減じ、それにより、関連
のホットキャリア効果を低減するように設けられる。MOSトランジスタ800
のN+ドープされた(P+ドープされた)ソース/ドレイン領域820に対する、
-ドープされた(P-ドープされた)LDD領域830のより低い(またはより
薄い)ドーピングは、MOSトランジスタ800のN+ドープされた(P+ドープ
された)ソース/ドレイン領域820の近くに見られる最大チャネル電界の大き
さを減じるが、N-ドープされた(P-ドープされた)LDD領域830のソース
−ドレイン抵抗を増大させてしまう。
【0024】 チタン(Ti)金属層(図示せず)は、MOSトランジスタ800上に一面に
堆積され(blanket-deposited)、次に、約15−60秒にわたる時間の間、約
450−800℃にわたる温度で行なわれる初期高速熱アニール(RTA)プロ
セスを受けたであろう。N+ドープされた(P+ドープされた)ソース/ドレイン
領域820およびドープトポリゲート810などの活性区域845の表面840
では、露出したSiは、加熱されるとTi金属と反応して、活性区域845の表
面840上にチタンシリサイド(TiSi2)層835を形成する。Ti金属は
、加熱されても、誘電体スペーサ825と反応するとは考えられない。Ti金属
の湿性化学性(wet chemical)ストリップは、Ti金属層235の過剰な未反応
部分(図示せず)を除去し、活性区域845の表面840におよびその下のみに
、自己整列シリサイド化(サリサイド化)TiSi2層835を残す。次に、サ
リサイド化TiSi2 835は、約10−60秒にわたる時間の間、約800
−1000℃にわたる温度で行なわれる最終RTAプロセスを受け得る。
【0025】 図8に示されるように、MOSトランジスタ800はいくつかの加工パラメー
タによって特定され得る。たとえば、ドープトポリゲート810は幅wを有し得
、これは次にチャネル長さLを決定する。チャネル長さLは、N−MOS(P−
MOS)トランジスタ800のためにゲート酸化物815下に形成される2つの
金属N-−P(P-−N)接合の間の距離であり、2つの金属N-−P(P-−N)
接合は、N-ドープされた(P-ドープされた)LDD領域830と半導体基板8
05との間に存在する。さらに、N+ドープされた(P+ドープされた)ソース/
ドレイン領域820下の(接合深さdjを有する)別の接合が、N+ドープされた
(P+ドープされた)ソース/ドレイン領域820と半導体基板805との間に
形成され得る。半導体基板805は、N型(P型)半導体基板805に対して、
平方センチメートル当りのイオンの数で典型的に与えられるドナー(アクセプタ
)不純物の濃度を反映するドーピングレベル(ND(NA))を有し得る。さらに
、N+ドープされた(P+ドープされた)ソース/ドレイン領域820およびN-
ドープされた(P-ドープされた)LDD領域830は各々が、それぞれのドー
ピングレベルND+およびND-(NA+およびNA-)を有し得る。それぞれのドーピ
ングレベルは、N+ドープされた(P+ドープされた)ソース/ドレイン領域82
0およびN-ドープされた(P-ドープされた)LDD領域830に注入されるイ
オンの量に依存し得る。その量は、典型的にkeVで与えられるイオン注入エネ
ルギでの平方センチメートル当りのイオンの数で与えられるのが典型的である。
さらに、ゲート酸化物815は厚みtoxを有し得る。
【0026】 測定ステップ110で行なわれる、半導体デバイスおよび/もしくは複数のデ
バイスならびに/またはワークピース100上に形成されるプロセス層のウェハ
電気テスト(WET)は、たとえば、ワークピース100上に形成されるMOS
トランジスタ800の電流および/もしくは電圧応答ならびに/またはワークピ
ース100上に形成されるMOSトランジスタ800の要素のキャパシタンスお
よび/もしくは抵抗を測定し得る。たとえば、ワークピース100上に形成され
るMOSトランジスタの飽和ドレイン−ソース電流Idsatは、ワークピース10
0上に形成されるMOSトランジスタがどのくらいの速さで「オン」から「オフ
」状態にスイッチされ得るかの指標として測定され得る。同様に、ワークピース
100上に形成されるMOSトランジスタ800のWETは、ドレイン電圧VD
、ゲート電圧VGおよび/または基板電圧(もしくはバイアス)VBSの異なる値
でドレイン−ソース電流IDを測定し得る。ドレイン電圧VDの変化によるドレイ
ン−ソース電流IDの変化を測定することにより、一定のゲート電圧VGで、チャ
ネルコンダクタンスgD
【0027】
【数1】
【0028】 から定められ得る。式中、Zは(図8のMOSトランジスタ800の平面に対し
て垂直方向の)チャネル幅であり、μnは(E=VD/Lがドレイン/ソースを横
切る電界であるとき、vndrift=μnEによる電子のドリフト速度vndriftに関
する)電子の移動度であり、Ciは、単位面積当りのキャパシタンスであり(Ci =εox/tox、式中εox(4はゲート酸化物815の誘電率である)、VTは、M
OSトランジスタ800のしきい値電圧である。同様に、ゲート電圧VGの変化
によるドレイン−ソース電流IDの変化を測定することにより、一定のドレイン
電圧VDで、相互コンダクタンスgm
【0029】
【数2】
【0030】 から定められ得る。ここで、ドレイン電圧VDに対するドレイン−ソース電流Id の線形領域を用いると、ここで、VD<<(VG−VT)に対して
【0031】
【数3】
【0032】 であり、しきい値電圧VT
【0033】
【数4】
【0034】 で与えられる。式中、ΨBは、ドープトポリゲート810のフェルミレベルEF
P型半導体基板805の真性(フラットバンド)フェルミレベルEFiとの間の電
位差であり、εsはP型半導体基板805の誘電率であり、qは電子に対する電
荷の絶対値であり(q=1.60218×10-19クーロン)、ドーピングレベ
ルNAはP型半導体基板805のアクセプタ不純物の濃度を反映する。一般的に
、有効ドレイン−ソース電流IDは、それら自身がさまざまな他の変数などの関
数であり得るさまざまな変数の複雑関数であり得る。すなわち、ID,eff=f(
T,Leff,…)かつID,eff=f(VT,Leff,…)=f(VT,Leff(ゲー
トCD,スペーサ幅,…),…)である。
【0035】
【数5】
【0036】
【数6】
【0037】
【数7】
【0038】 この発明の1つの例示的な実施例では、特徴の計測は、ワークピース(ワーク
ピース100など)の所与のロットに対してまたは各ロット内の特定のワークピ
ースに対して、各動作においてまたは加工ステップ(加工ステップj105など
、ここでjはj=1からj=Nまでのいずれの値も有し得る)において行なわれ
得、行なわれた特徴計測の結果はデータベースに記憶され得る。場合によっては
、データベースに記憶されたデータは、所与の動作または加工ステップ(加工ス
テップj105など、ここでjはj=1からj=Nまでのいずれの値も有し得る
)での、特定の加工ツール向けの最新の特徴付けまたは「性格付け」(qualific
ation)データであろう。これは、高速熱アニール(RTA)後の膜抵抗率測定
の場合など、ワークピース上で行なう特定のプロセスの直接計測が不正確または
実行不可能である場合に特に当てはまる。そのような場合、パターニングされて
いないウェハ基板または他の非製品またはテストウェハからの特徴付けまたは「
性格付け」データを、その所与のロットに対して特徴プロセス情報として記憶し
得る。
【0039】 これらの計測データ結果は、トランジスタモデルT(i)=oに必要な入力を
完全に特定する入力ベクトルi(ここでi=i1,i2,i3,…,ij,…,iN
)として表わされ得る。入力ベクトルiの成分の各々は、計測ツールが行なう測
定の関数であり得る。たとえば、単純化された入力ベクトルi(ここでi=i1
およびi2)は、それぞれポリおよびソース/ドレイン領域で計測ツールが測定
する抵抗率測定値ρpolyおよびρsource/drainの関数であり得るため、i1(ρp oly )およびi2(ρsource/drain)は、それぞれポリドーピング濃度およびソー
ス/ドレイン領域ドーピング濃度である。ポリドーピングおよびソース/ドレイ
ン領域ドーピング濃度i1(ρpoly)およびi2(ρsource/drain)はそれぞれ、
トランジスタモデルの中に直接に入れてもよいが、一方、それぞれの抵抗率測定
値ρpolyおよびρsource/drainはトランジスタモデルの中に直接に入れられない
であろう。
【0040】 そのようなトランジスタモデルは技術分野で周知であり、さまざまな商用ベン
ダおよび商業目的でない学術的ソースからシミュレーションソフトウェアとして
入手可能である。この発明のさまざまな例示的な実施例で用いられるトランジス
タモデルは、ベクトルo(ここでo=o1,o2,o3,…,ok,…,oM)とし
て表わされ得る出力を発生する。トランジスタモデルからの出力oは、トランジ
スタの、対応する値を有し得るかまたは、対応する値にマッピングされ得るかま
たは、対応する値と関連付けられ得、かつ、ウェハ電気テスト(WET)での、
(加工ステップN105の後のワークピース100などの)完成した製品ワーク
ピース上のテスト構造が測定する他の電磁的パラメータ値を有し得る。
【0041】 これらの対応する値は、測定されたWET値のベクトルm(ここでm=m1
2,m3,…,mk,…,mM)として表わされ得、測定されたWET値mはマッ
ピングされおよび/またはトランジスタモデル出力oと関連付けられることによ
り、m=F(o)およびF-1(m)=oとなる。これらの対応する値は、それぞ
れのWETエラー値分だけそれらのそれぞれのWETターゲット値から異なり得
る。特定されたWETターゲット値はベクトルt(ここでt=t1,t2,t3
…,tk,…,tM)として表わされ得、WETエラー値はベクトルe(ここでe
=e1,e2,e3,…,ek,…,eM)として表わされ得る。ここでe=m−t
である(したがってk=1からk=Mの場合、ek=mk−tkである)。このエ
ラーe=m−tを低減するおよび/または排除するため、mcorr=m−e=tと
なるように訂正WET値mcorrを規定し得る。この訂正WET値mcorrを用いて
、ocorr=F-1(mcorr)またはocorr=F-1(m−e)またはocorr=F-1
t)で与えられる、訂正トランジスタモデル出力ocorrを規定し得る。
【0042】 訂正トランジスタモデル出力ocorrは反転トランジスタモデルに入力されて、
それぞれの訂正トランジスタモデル入力icorr=T-1(ocorr)=T-1(F-1
t))を生成し得る。さまざまな例示的な実施例では、トランジスタモデルは、
トライアル・アンド・エラー入力値iapproxを用いて、T(iapprox)=ocorr となるように所望の訂正トランジスタモデル出力ocorrを予め設定された限界内
に発生する入力ベクトルを識別するまで、反復実行によって反転され得る。次に
、訂正トランジスタモデル入力icorrを、動作または加工ステップでのレシピに
対する変更または訂正として、ウェハ製造ライン中の関連のNの個別の動作また
は加工ステップ(加工ステップj105など、ここでjはj=1からj=Nまで
のいずれの値も有し得る)のうちいずれかまたはすべてに適用し得る。さまざま
な例示的な実施例では、全訂正を適用する。さまざまな代替的な例示的な実施例
では、「減衰不足の」(underdamped)制御移動(move)として部分訂正を適用
する。
【0043】 この発明のさまざまな代替的な例示的な実施例では、少なくとも1つのサブモ
デルを設け得、トランジスタモデルが必要とする入力iに、動作または加工ステ
ップ(加工ステップj105など、ここでjはj=1からj=Nまでのいずれの
値も有し得る)でのレシピ変数をマッピングする。各々のそのようなサブモデル
も上述のフィードバックモードで反転されなければならない。
【0044】 たとえば、イオン注入加工ステップj105では、イオン注入サブモデルに入
力されるレシピ変数は、注入電流、量、角度および種を含み得る。イオン注入サ
ブモデルは、トランジスタモデルに入れられ得るドーピングプロファイル j
生成し得る。WET測定の後、上述のように j corrを生成し得、 j corrを反転
イオン注入サブモデルに入れ、連続して加工されたワークピーク100のWET
測定値をそれらのそれぞれのターゲット値により近づけるのに必要な、注入電流
、量、角度および/または種に対する必要な変更などの、イオン注入動作または
加工ステップj105でのイオン注入レシピに対する必要な変更または訂正を生
成し得る。
【0045】 この発明のさらに他のさまざまな例示的な実施例では、1つ以上の加工ステッ
プ(加工ステップj105など、ここでjはj=1からj=Nまでのいずれの値
も有し得る)におけるレシピ変数の許容される変化量に対する制約が実現され得
る。たとえば、ゲートの臨界寸法は、高いおよび低い値の間にあるように制約さ
れ得る。これに代えて、所与の制御移動および/または所与の時間量の変化量が
制約され得る。
【0046】 この発明のまた他のさまざまな例示的な実施例では、重み付けおよび/または
ペナルティは、1つ以上の加工ステップ(加工ステップj105など、ここでj
はj=1からj=Nまでのいずれの値も有し得る)に適用される1つ以上の制御
移動の間に、どの変数および/または複数の変数が、操作される他の変数に対し
て優先的に操作されるかを決定し得る。たとえば、さまざまな例示的な実施例で
は、ソース/ドレイン領域のドーピングレベルを調節するよりも、ゲート臨界寸
法を調節することがより好ましいことがあると、ゲート臨界寸法変数は、ドーピ
ングレベル変数よりも大きな重みを有し得る。同様に、さまざまな代替的な実施
例では、ゲート臨界寸法を調節するよりもソース/ドレイン領域のドーピングレ
ベルを調節する方がより好ましいことがあると、ゲート臨界寸法変数は、ドーピ
ングレベル変数よりも、それに関連するより大きなペナルティを有し得る。
【0047】 この発明のさまざまな代替的な例示的な実施例では、トランジスタモデルの入
力iおよび/もしくは出力oを適切に重み付け得ならびに/または、トランジス
タモデルをパラメータ化する変数および/もしくは関数を好適に重み付け得るの
で、トランジスタモデルの出力oおよび/または予測はWET測定値の対応する
値によりよく一致する。好ましくはこれらの重み付けを入力iに適用し得る。こ
れに代えて、これらの重み付けを出力oに適用し得る。さらに、これらの重み付
けは、制御機構の一部として、WET測定値へのトランジスタモデルデータの一
致を向上させるように更新されおよび/または適合され得る。
【0048】 この発明のさらに他のさまざまな例示的な実施例では、フィードフォワード法
が適用され得る。公称からずれる、1つ以上の加工ステップ(加工ステップj1
05など、ここでjはj=1からj=Nまでのいずれの値も有し得る)からのプ
ロセス結果を、まだ行なわれていないプロセスステップの公称値とともにトラン
ジスタモデルに適用し得る。次に、トランジスタモデル出力の公称からのずれを
、上述のようにエラーの尺度として用いてもよくかつ、その後のステップのレシ
ピに対する望ましい変更を定めるのに用いてもよいため、識別されるエラーは完
全にまたは少なくとも部分的に補償され得る。
【0049】
【数8】
【0050】 たとえば、MOSトランジスタモデル関数T(x)の1つの例示的な実施例は
、(ドープトポリゲート810の幅wに関する)最小チャネル長さLminを与え
る。これについて、長チャネルサブしきい値挙動を観察することができる。この
例示的な実施例では、MOSトランジスタモデル関数T(x)は、単純な経験的
関係、すなわちLmin=0.4[djox(WS+WD21/3によって、μmで測
定される最小チャネル長さLminを与える。式中、接合深さdjはμmで測定され
、ゲート酸化物815の厚みtoxはÅ単位の数の数値であり(したがって寸法が
決まる)、かつ(WS+WD)は、これもμmで測定される、それぞれソースおよ
びドレインの空乏深さの和である。1次元階段型接合式では、ソースの空乏深さ
S
【0051】
【数9】
【0052】 によって与えられ得、かつ、ドレイン空乏深さWD
【0053】
【数10】
【0054】 で与えられ得る。式中、Vbiは接合のビルトイン電圧である。 MOSトランジスタモデル関数T(x)の別の例示的な実施例は、より複雑な
経験的関係によって最小チャネル長さLminを与える。すなわち、Lmin=Af1
(δVT/δVD)[f2(tox)+B][f3(WS+WD)+C][f4(dj)+
D]である。式中、i=1,2,3,4である関数fiおよび定数A,B,C,
Dは、最小チャネル長さLminのこの式をデバイスシミュレーションに当てはめ
ることによって定められ得る。たとえば、f1(δVT/δVD)=(δVT/δV D-0.37,f2(tox)=tox,f3(WS+WD)=WS+WD,f4(dj)=dj
,A=2.2μm-2,B=0.012μm,C=0.15μmおよびD=2.9
μmは、よい適合を与えているように思われる。この例示的な実施例では、反転
MOSトランジスタモデル関数T-1(y)は、たとえば、より複雑な経験的関係
により、ドレイン電圧VDによるしきい値電圧VTの変化(δVT/δVD)を与え
る。すなわち、δVT/δVD=f1 -1(Lmin/{A[f2(t)+B][f3(W S +WD)+C][f4(dj)+D]})である。f1(δVT/δVD)=(δVT /δVD-0.37である適合については、たとえばf1 -1(y)=(y)-1/(0.37) である。
【0055】 さまざまな例示的な実施例では、技術者は、ユーザが利用しやすいフォーマッ
トで履歴的パラメータデータならびに現在の加工パラメータおよびラン全体の加
工パラメータの両者のイベントロギング的リアルタイムグラフィカルディスプレ
イを提供できることならびに、リモート、すなわちローカルサイトおよびワール
ドワイドなモニタなどの、高度なプロセスデータモニタ能力を与えられ得る。こ
れらの能力により、スループット精度、安定性および反復性、加工温度、ツール
の機械的パラメータなどの臨界加工パラメータのより最適な制御がもたらされ得
る。臨界加工パラメータのこのより最適な制御がこの変動性を低減する。この変
動性の減少は、より少ないラン内のばらつき、より少ないランごとのばらつきお
よびより少ないツールごとのばらつきとして現われる。伝播し得るこれらのばら
つきの数のこの減少は、製品品質および性能における偏りがより少なくなること
を意味する。この発明に従う製造方法のそのような例示的な実施例では、この変
動性をモニタしかつ臨界パラメータの制御を最適化するモニタおよび診断システ
ムが提供され得る。
【0056】 図9は、この発明に従って実践される方法900の1つの特定の実施例を図示
する。図10は1つの特定の装置1000を図示し、これを用いて方法900を
実践し得る。明瞭さのためにおよびこの発明の理解をより深めるため、方法90
0は装置1000の文脈で開示される。しかしながら、この発明はそのように限
定されるものではなく、以下にさらに説明されるように幅広い変形を許すもので
ある。
【0057】 図9と図10との両者を参照して、ワークピースまたはウェハ1005のバッ
チまたはロットがMOSFET加工ツール1010によって加工されている。M
OSFET加工ツール1010は、それが必要な制御能力を含む限りは、イオン
注入器、プロセス層堆積および/またはエッチングツール、フォトリソグラフィ
ツールなど、技術分野で公知のいずれのMOSFET加工ツールであってもよい
。MOSFET加工ツール1010は、この目的のためにMOSFET加工ツー
ルコントローラ1015を含む。MOSFET加工ツールコントローラ1015
の性質および機能は実現例に特有のものである。
【0058】 たとえば、MOSFET加工ツールコントローラ1015は、MOSFET加
工レシピ制御入力パラメータなどのMOSFET加工制御入力パラメータを制御
し得る。図8に示されるように、MOSトランジスタ800は、いくつかの加工
パラメータによって特定され得る。たとえば、ドープトポリゲート810は幅w
を有し得、これは次にチャネル長さLを定める。チャネル長さLは、N−MOS
(P−MOS)トランジスタ800のためのゲート酸化物815の下に形成され
る2つの金属N-−P(P-−N)接合の間の距離である。2つの金属N-−P(
-−N)接合は、N-ドープされた(P-ドープされた)LDD領域830と半
導体基板805との間にある。さらに、N+ドープされた(P+ドープされた)ソ
ース/ドレイン領域820下の(接合深さdjを有する)別の接合が、N+ドープ
された(P+ドープされた)ソース/ドレイン領域820と半導体基板805と
の間に形成され得る。半導体基板805は、N型(P型)半導体基板805につ
いて平方センチメートル当りのイオンの数で典型的に与えられるドナー(アクセ
プタ)不純物の濃度を反映するドーピングレベルND(NA)を有し得る。さらに
、N+ドープされた(P+ドープされた)ソース/ドレイン領域820およびN-
ドープされた(P-ドープされた)LDD領域830は、各々がそれぞれドーピ
ングレベルND+およびND-(NA+およびNA-)を有し得る。それぞれのドーピン
グレベルは、N+ドープされた(P+ドープされた)ソース/ドレイン領域820
およびN-ドープされた(P-ドープされた)LDD領域830に注入されるイオ
ンの量に依存し得る。その量は、典型的にkeVで与えられるイオン注入エネル
ギでの平方センチメートル当りのイオンの数で与えられるのが典型的である。さ
らに、ゲート酸化物815は厚みtoxを有し得る。図10には4つのワークピー
ス1005が示されるが、ワークピースまたはウェハのロット、すなわち「ウェ
ハロット」は、1から任意の有限の数までのいずれの実際的な数のウェハであっ
てもよい。
【0059】 ボックス920に示されるように、方法900は、MOSFET加工ツール1
010中のワークピース1005に対して行なわれるMOSFET加工に特有の
パラメータを測定することによって始まる。特徴パラメータの性質、アイデンテ
ィティおよび測定は、非常に実現例に特有でありかつツールに特有ですらある。
たとえば、プロセスパラメータをモニタする能力は、ツールによってある程度異
なる。より高い感知能力により、識別され測定される特徴パラメータのより広い
許容範囲と、これが行なわれる態様とが許され得る。これに対して、より低い感
知能力はこの許容範囲を制限し得る。たとえば、ゲートポリエッチMOSFET
加工ツールは、計測ツール(図示せず)を用いて、ワークピース1005のゲー
ト臨界寸法および/またはロット中のワークピース1005のゲート臨界寸法の
平均を読取る。ワークピース1005のゲート臨界寸法および/またはロット中
のワークピース1005のゲート臨界寸法の平均は、MOSFET加工ツール1
010でワークピースに対して行なわれるMOSFET加工に特有のパラメータ
の例示的な例である。
【0060】 図10を参照して、この特定の実施例では、MOSFET加工プロセス特徴パ
ラメータは、ツールセンサ(図示せず)によって測定されおよび/またはモニタ
される。これらのツールセンサの出力は、線1020を介してコンピュータシス
テム1030に伝送される。コンピュータシステム1030はこれらのセンサ出
力を分析して特徴パラメータを識別する。
【0061】 図9に戻って、特徴パラメータを一旦識別しかつ測定すると、方法900は、
ボックス930に示されるように、測定されかつ識別された特徴パラメータをモ
デル化することにより先に進む。図10のコンピュータシステム1030は、こ
の特定の実施例では、特徴パラメータをモデル化するようにプログラムされる。
このモデル化が行なわれる態様は実現例特有である。
【0062】 図10の実施例で、データベース1035は、どの特徴パラメータが測定され
るかに依存して、潜在的に適用され得る複数のモデルを記憶する。したがって、
この特定の実施例は、測定され得る特徴パラメータのアプリオリな知識をいくら
か必要とする。次にコンピュータシステム1030は、測定された特徴パラメー
タを適用すべき潜在的モデルのデータベース1035から適切なモデルを抽出す
る。データベース1035が適切なモデルを含まなければ、特徴パラメータは無
視され得るかまたは、そのようにプログラムされていればコンピュータシステム
1030がそれを作り出そうと試み得る。データベース1035は、光ディスク
1040、フロッピー(R)ディスク1045またはコンピュータシステム10
30のハードディスクドライブ(図示せず)などのいずれの種類のコンピュータ
読出可能プログラム記憶媒体に記憶されてもよい。データベース1035はまた
、コンピュータシステム1030とインターフェイスする別個のコンピュータシ
ステム(図示せず)に記憶されてもよい。
【0063】 測定された特徴パラメータのモデル化は、代替的な実施例では異なって実現さ
れ得る。たとえば、コンピュータシステム1030は、何らの形の人工知能を用
いてプログラムされて、センサ出力およびコントローラ入力を分析し、リアルタ
イム実現例でオンザフライでモデルを作り出し得る。この方策は、データベース
1035が適切なモデルを有しない特徴パラメータを測定し識別する、図10に
図示されかつ上述された実施例に付属する有用なものであろう。
【0064】 次に、図9の方法900は、ボックス940に示されるように、モデルを適用
してMOSFET加工制御入力パラメータを変更することによって先に進む。実
現例に依存して、モデルの適用は、MOSFET加工制御入力パラメータの新た
な値または既存のMOSFET加工制御入力パラメータへの訂正のいずれかを生
じ得る。次に、新たなMOSFET加工制御入力が、モデルが生じる値から与え
られ、線1020を介してMOSFET加工ツールコントローラ1015に伝送
される。次に、MOSFET加工ツールコントローラ1015は、新たなMOS
FET加工制御入力に従って、その後のMOSFET加工プロセス動作を制御す
る。
【0065】 いくつかの代替的な実施例は、フィードバックの形態を用いて特徴パラメータ
のモデル化を改良し得る。このフィードバック実現例は、ツールの感知能力およ
び経済性を含むいくつかの異なる事実に依存する。これを行なう1つの技術は、
モデルの実現例の少なくとも1つの影響をモニタすることと、モニタされた影響
に基づいてモデルを更新することとであろう。更新もモデルに依存し得る。たと
えば、線形モデルは、すべての他のファクタが同じならば、非線形モデルが必要
とするのとは異なる更新を必要とし得る。
【0066】 上記説明から明らかなように、この発明のいくつかの特徴はソフトウェアで実
現される。たとえば、図9のボックス920−940に示されたステップは、例
示的な実施例では、全体がまたは一部がソフトウェアで実現される。したがって
、この発明のいくつかの特徴は、コンピュータ読出可能プログラム記憶媒体にエ
ンコードされる命令として実現される。プログラム記憶媒体は、特定の実現例に
好適ないずれのタイプのものであってもよい。しかしながら、プログラム記憶媒
体は典型的には、フロッピー(R)ディスク1045もしくはコンピュータ10
30のハードディスクドライブ(図示せず)などの磁気的なものまたは光ディス
ク1040などの光学的なものであろう。コンピュータがこれらの命令を実行す
ると、命令は開示された機能を実行する。コンピュータは、コンピュータ103
0などのデスクトップコンピュータであってもよい。しかしながら、コンピュー
タは、代替的に、MOSFET加工ツール1010に埋込まれるプロセッサであ
ってもよい。コンピュータは、さまざまな他の実施例では、ラップトップ、ワー
クステーションまたはメインフレームであってもよい。この発明の範囲は、この
発明の実施例が実現され得るプログラム記憶媒体またはコンピュータのタイプま
たは性質によって限定されるものではない。
【0067】 このように、本明細書中の詳細な説明のいくつかの部分は、アルゴリズム、関
数、技術および/またはプロセスの観点から提示されるまたは提示され得る。こ
れらの観点により、当業者は、他の当業者に彼らの研究の実質を最も効果的に伝
えることができる。これらの観点は、ここでは一般的に、所望の結果をもたらす
一貫したステップのシーケンスであると考えられる。このステップは、物理量の
物理的操作を必要とするものである。必須ではないものの、通常これらの量は、
記憶、転送、組合せ、比較およびその以外の方法で操作可能な電磁信号の形をと
る。
【0068】 時には、主に一般的使用という理由のために、これらの信号を、ビット、値、
要素、シンボル、キャラクタ、項、数などと称することが好都合であることがわ
かっている。これらおよび同様の用語のすべては、適切な物理量と関連するもの
とされかつ、これらの量および作用に当てられる単なる好都合な標識である。特
に言及されなければまたは説明から明らかであろうように、本明細書中で用いら
れる、「加工」「算出」「計算」「決定」「ディスプレイ」などの用語は、コン
ピュータシステムのレジスタおよび/もしくはメモリ内の物理(電磁)量として
表わされるデータを操作しかつ、それを、コンピュータシステムのメモリおよび
/もしくはレジスタおよび/もしくは他のそのような情報記憶、伝送および/も
しくはディスプレイ装置内の物理量として同様に表わされる他のデータに変換す
る、コンピュータシステムまたは同様の電子および/もしくは機械計算装置のプ
ロセスおよび作用を指す。
【0069】 例示的な装置の構造 図10の装置1000の例示的な実施例1100が図11−12に図示される
。ここで、装置1100は高度プロセス制御(APC)システムの一部を含む。
図11−12はそれぞれ装置1100の概念化された構造的かつ機能的ブロック
図である。MOSFET加工ツール1110上で、ウェハ1105のロットに対
して、1組の加工ステップが行なわれる。装置1100はAPCシステムの一部
であるため、ウェハ1105はランごとに加工される。したがって、プロセス調
節は、ランレベルでの測定または平均に基づいて、ランの持続時間にわたって行
なわれかつ一定に保持される。「ラン」は、ロット、ロットのバッチまたは個々
のウェハですらあり得る。
【0070】 この特定の実施例では、ウェハ1105はMOSFET加工ツール1110に
よって加工され、プロセス中のさまざまな動作は、MOSFET加工ツール11
10とワークステーション1130との間の線1120上の複数のMOSFET
加工制御入力信号によって制御される。この実施例のための例示的なMOSFE
T加工制御入力は、ゲート臨界寸法、ソース/ドレイン接合深さ、ドーピングプ
ロファイルなどのためのものを含み得る。上述のようにおよび図8に示されるよ
うに、MOSトランジスタ800はいくつかの加工パラメータによって特定され
得る。たとえば、ドープトポリゲート810は幅wを有し得、これは次にチャネ
ル長さLを定める。チャネル長さLは、N−MOS(P−MOS)トランジスタ
800のためのゲート酸化物815の下に形成される2つの金属N-−P(P-
N)接合の間の距離である。2つの金属N-−P(P-−N)接合は、N-ドープ
された(P-ドープされた)LDD領域830と半導体基板805との間にある
。さらに、N+ドープされた(P+ドープされた)ソース/ドレイン領域820の
下の(接合深さdjを有する)別の接合は、N+ドープされた(P+ドープされた
)ソース/ドレイン領域820と半導体基板805との間に形成され得る。半導
体基板805は、N型(P型)半導体基板805について平方センチメートル当
りのイオンの数で典型的に与えられるドナー(アクセプタ)不純物の濃度を反映
するドーピングレベルND(NA)を有し得る。さらに、N+ドープされた(P+
ープされた)ソース/ドレイン領域820およびN-ドープされた(P-ドープさ
れた)LDD領域830は各々、それぞれのドーピングレベルND+およびND-
A+およびNA-)を有し得る。それぞれのドーピングレベルは、N+ドープされ
た(P+ドープされた)ソース/ドレイン820およびN-ドープされた(P-
ープされた)LDD領域830に注入されるイオンの量に依存し得る。その量は
、典型的にkeVで与えられるイオン注入エネルギでの平方センチメートル当り
のイオンの数で与えられるのが典型的である。さらに、ゲート酸化物815は厚
みtoxを有し得る。
【0071】 MOSFET加工ツール1110のプロセスステップが完了すると、MOSF
ET加工ツール1110で加工中の半導体ウェハ1105はレビューステーショ
ン1117で検査される。MOSFET加工制御入力は一般的に半導体ウェハ1
105の特徴パラメータに影響を及ぼし、したがって、ウェハ1105に対して
MOSFET加工ツール1110が行なう作用の変動性および特性に影響を及ぼ
す。ウェハ1105のロットのラン後の検査から一旦エラーを判定すると、線1
120上のMOSFET加工制御入力は、ウェハ1105のロットのその後のラ
ンに対して変更される。線1120上の制御信号の変更は、MOSFET加工ツ
ール1110の次のプロセスステップを改良するように設計される。この変更は
、図9に示された方法900の1つの特定の実施例に従って行なわれ、以下によ
り詳述される。MOSFET加工ツール1110のための関連のMOSFET加
工制御入力信号が一旦更新されると、新たな設定を有するMOSFET加工制御
入力信号が半導体デバイスのその後のランに用いられる。
【0072】 図11および12の両者をここで参照して、MOSFET加工ツール1110
は、加工モジュールのネットワークを含む製造フレームワークと通信する。1つ
のそのようなモジュールは、コンピュータ1140に常駐するAPCシステムマ
ネージャ1240である。加工モジュールのこのネットワークがAPCシステム
を構成する。MOSFET加工ツール1110は一般的に、機器インターフェイ
ス1210とセンサーインターフェイス1215とを含む。マシンインターフェ
イス1230はワークステーション1130に常駐する。マシンインターフェイ
ス1230は、たとえばAPCシステムマネージャ1240などのAPCフレー
ムワークと機器インターフェイス1210との間のギャップの橋渡しをする。し
たがって、マシンインターフェイス1230はMOSFET加工ツール1110
とAPCフレームワークとをインターフェイスし、マシンのセットアップ、活性
化、モニタおよびデータ収集をサポートする。センサーインターフェイス121
5は適切なインターフェイス環境を与えて、LabView(R)またはその他
のセンサーバスベースのデータ獲得ソフトウェアなどの外部センサーと通信する
。マシンインターフェイス1230とセンサーインターフェイス1215の両者
とも(通信規格などの)1組の機能性を用いて、用いるべきデータを収集する。
機器インターフェイス1210およびセンサーインターフェイス1215は、線
1120を介して、ワークステーション1130に常駐するマシンインターフェ
イス1230と通信する。
【0073】 より特定的には、マシンインターフェイス1230は、機器インターフェイス
1210からコマンド、ステータスイベントおよび収集データを受取り、必要に
応じて、これらを他のAPCコンポーネントおよびイベントチャネルに転送する
。次に、APCコンポーネントからの応答は、マシンインターフェイス1230
によって受取られ、機器インターフェイス1210に再ルーティングされる。マ
シンインターフェイス1230はまた、必要に応じてメッセージおよびデータを
再フォーマットおよび再構築する。マシンインターフェイス1230は、APC
システムマネージャ1240内でスタートアップ/シャットダウン手順をサポー
トする。それはAPCデータコレクタとしても働き、機器インターフェイス12
10が収集したデータをバッファし、適切なデータ収集信号を発する。
【0074】 図示された特定の実施例では、APCシステムは工場全体のソフトウェアシス
テムであるが、これがこの発明の実践に必要なわけではない。この発明が教示す
る制御ストラテジは、工場のフロアの事実上どの半導体MOSFET加工ツール
にも適用可能である。実際に、この発明は、同じ工場または同じ作製プロセス中
の複数のMOSFET加工ツール上で同時に用い得る。APCフレームワークは
プロセス性能のモニタおよびリモートアクセスを可能にする。さらに、APCフ
レームワークを利用することにより、ローカルドライブへのデータ記憶よりもデ
ータ記憶がより好都合でより柔軟でかつより安価になり得る。しかしながら、い
くつかの代替的な実施例では、この発明をローカルドライブで用いてもよい。
【0075】 例示的な実施例は、多数のソフトウェアコンポーネントを用いて、この発明を
APCフレームワーク上に展開する。APCフレームワーク内のコンポーネント
に加えて、制御システムに含まれる半導体MOSFET加工ツールの各々ごとに
コンピュータスクリプトが書かれる。制御システム中の半導体MOSFET加工
ツールが半導体製造工場で始動されると、半導体MOSFET加工ツールは一般
的にスクリプトを呼出して、MOSFET加工ツールコントローラが必要とする
作用を開始する。制御方法は、これらのスクリプトを用いて、一般的に規定され
かつ実行される。これらのスクリプトの展開は、制御システムの展開のかなりの
部分を含み得る。
【0076】 この特定の実施例では、MOSFET加工動作を制御するのに含まれるタスク
を行なういくつかの別個のソフトウェアスクリプトが存在する。レビューステー
ション1117およびMOSFET加工ツールコントローラ1115を含むMO
SFET加工ツール1110のためのスクリプトが1つ存在する。また、レビュ
ーステーション1117からの実際のデータ捕捉を扱うスクリプトと、他のスク
リプトのいずれもが参照し得る共通の手順を含む別のスクリプトとも存在する。
APCシステムマネージャ1240用のスクリプトも存在する。しかしながら、
スクリプトの正確な数は実現例特有であり、代替的な実施例は他の数のスクリプ
トを用い得る。
【0077】 例示的な装置の動作 図13は図9の方法900の1つの特定の実施例1300を図示する。方法1
300は図11−12に図示された装置1100を用いて実践され得るが、この
発明はそのように限定されるものではない。方法1300は、図13に示された
機能を実行し得るいずれの装置を用いて実践されてもよい。さらに、図9の方法
900は、図13の方法1300の代替の実施例で実践されてもよい。
【0078】 ここで図11−13のすべてを参照して、方法1300は、ボックス1310
に示されるように、MOSFET加工ツール1110などのMOSFET加工ツ
ールを介してウェハ1105のロットを加工することによって始まる。この特定
の実施例では、MOSFET加工ツール1110は、マシンインターフェイス1
230および機器インターフェイス1210を介して、APCシステムマネージ
ャ1240による加工のために初期化されている。この特定の実施例では、MO
SFET加工ツール1110を走らせる前に、APCシステムマネージャスクリ
プトを呼出してMOSFET加工ツール1110を初期化する。このステップで
、スクリプトはMOSFET加工ツール1110の識別番号と、ウェハ1105
のロット番号とを記録する。次に、ロット番号に対してデータ記憶1160中に
識別番号を記憶する。APCData呼出しならびにSetupおよびStartMachine呼出しな
どのスクリプトの残余は、マシンにデフォルト設定を使わせるために、ブランク
またはダミーデータで表わされる。
【0079】 この初期化の一部として、MOSFET加工制御のための初期設定値が、線1
120を介してMOSFET加工ツールコントローラ1115に与えられる。こ
れらの初期設定値は、技術分野で公知のいずれの好適な態様で定められかつ実現
されてもよい。図示される特定の実施例では、MOSFET加工制御は制御スレ
ッドによって実現される。各制御スレッドは別個のコントローラのように働き、
さまざまなプロセス条件によって差別化される。MOSFET加工制御について
は、制御スレッドは異なる条件の組合せによって分離される。これらの条件は、
たとえば、現在ウェハロットを加工している半導体MOSFET加工ツール11
10、半導体製品、半導体製造動作および以前に半導体ウェハロットを加工した
1つ以上の半導体加工ツール(図示せず)を含み得る。
【0080】 異なるプロセス条件が異なってMOSFET加工エラーに影響を及ぼすために
、制御スレッドは分離される。プロセス条件の各々をそれ自身の対応する制御ス
レッドに分離することにより、MOSFET加工エラーは、制御スレッド中のそ
の後の半導体ウェハロットを加工する条件をより正確に写したものとなり得る。
エラー測定はより関連性が高いため、エラーに基づくMOSFET加工制御入力
信号に対する変更がより適切であろう。
【0081】 MOSFET加工制御機構のための制御スレッドは、現在のMOSFET加工
ツール、現在の動作、現在のロットのための製品コードおよび前の加工ステップ
での識別番号に依存する。最初の3つのパラメータは一般的に、MOSFET加
工ツール1110からスクリプトに伝えられる文脈情報の中に見られる。4番目
のパラメータは、一般的に、ロットが予め加工されるときに記憶される。すべて
の4つのパラメータを一旦規定すると、それらを組合せて制御スレッド名を形成
する。すなわち、MOSP02_OPER01_PROD01_MOSP01は
制御スレッド名の一例である。制御スレッド名はまた、データ記憶1160の中
に、ウェハロット番号に対応して記憶される。
【0082】 ロットが一旦制御スレッド名と関連づけられると、その制御スレッドに対する
初期設定は一般的にデータ記憶1160から検索される。情報が呼出されるとき
、少なくとも2つの可能性が存在する。1つの可能性は、現在の制御スレッド名
で記憶された設定が存在しない場合である。これは、制御スレッドが新しい場合
または情報が失われたもしくは削除された場合に起こり得る。こうした場合、ス
クリプトは、それと関連のエラーがないものとして制御スレッドを初期化し、M
OSFET加工エラーのターゲット値をMOSFET加工制御入力設定として用
いる。コントローラがデフォルトマシン設定を初期設定として用いることが好ま
しい。いくつかの設定を仮定することにより、MOSFET加工エラーは、フィ
ードバック制御を容易にするために、制御設定に戻して関連づけられ得る。
【0083】 別の可能性は、初期設定が制御スレッド名で記憶されている場合である。この
場合、現在のウェハロットと同じ制御スレッド名で1つ以上のウェハロットが加
工されており、また、レビューステーション1117を用いてMOSFET加工
エラーも測定されている。この情報が存在するとき、MOSFET加工制御入力
信号設定がデータ記憶1160から検索される。次にこれらの設定はMOSFE
T加工ツール1110にダウンロードされる。
【0084】 ウェハ1105はMOSFET加工ツール1110によって加工される。図示
された実施例では、これは、誘電膜または層のエッチおよび/または堆積および
/またはエッチ/堆積を含む。ウェハ1105は、MOSFET加工ツール11
10に対するそれらのMOSFET加工の後にレビューステーション1117上
で測定される。レビューステーション1117は、ウェハが加工された後に多数
のエラーについてウェハ1105を検査する。レビューステーション1117の
器具が生成したデータは、センサーインターフェイス1215および線1120
を介してマシンインターフェイス1230に伝えられる。レビューステーション
のスクリプトは、データ収集のための多数のAPCコマンドによって始まる。次
に、レビューステーションスクリプトはそれ自身を定位置にロックし、データ入
手可能スクリプトを活性化する。このスクリプトは、レビューステーション11
17からAPCフレームワークへの実際のデータ転送を容易にする。一旦転送が
完了すると、スクリプトは終了し、レビューステーションスクリプトのロックを
解除する。次にレビューステーション1117との対話が一般的に完了する。
【0085】 この開示による利益を有する当業者には認められるように、レビューステーシ
ョン1117が生成するデータは使用のために前処理する必要がある。KLAレ
ビューステーションなどのレビューステーションは、制御エラーを測定するため
の制御アルゴリズムを与える。この特定の実施例では、エラー測定値の各々は、
直接的な態様で線1120上のMOSFET加工制御入力信号の1つに対応する
。エラーを用いてMOSFET加工制御入力信号を訂正可能になる前に、ある量
の前処理が一般的に完了する。
【0086】 たとえば、前処理は、アウトライアー拒否を含み得る。アウトライアー拒否は
、プロセスの履歴性能に照らして、受取ったデータが妥当であるのを確実にする
全体的なエラーチェックである。この手順は、MOSFET加工エラーの各々を
その対応する予め定められた境界パラメータとを比較するステップを含む。1つ
の実施例では、予め定められた境界の1つを超えたとしても、半導体ウェハロッ
ト全体からのエラーデータが一般的に拒否される。
【0087】 アウトライアー拒否の限界を定めるため、何千もの実際の半導体製造作製(フ
ァブ)データ点が収集される。次に、この収集されたデータ中の各エラーパラメ
ータごとの標準偏差が計算される。1つの実施例では、アウトライアー拒否のた
めに、予め定められた境界として(プラスとマイナスの両者の)標準偏差の9倍
が一般的に選ばれる。これは主に、プロセスの通常の動作条件を大きく外れる点
のみを確実に拒否するために行なわれた。
【0088】 前処理はデータも平滑化し得る。これはフィルタリングとしても公知である。
エラー測定値はある量のランダムさを有しやすいためにエラーの値が大きく偏る
ため、フィルタリングが重要である。レビューステーションのデータをフィルタ
リングすることにより、MOSFET加工制御入力信号設定におけるエラーのよ
り正確な評価がもたらされる。1つの実施例では、MOSFET加工制御機構は
、指数重みづけ移動平均(EWMA)フィルタとして公知のフィルタリング手順
を用いるが、他のフィルタリング手順をこの文脈で利用することができる。
【0089】 EWMAフィルタの1つの実施例が式(1)で表わされる。 AVGN=W*C+(1−W)*AVGP (1) 式中、
【0090】
【数11】
【0091】 重みは、フィルタリングの量を制御するのに用い得る調節可能なパラメータで
あり、一般的に0と1との間にある。重みは現在のデータ点の正確さの信頼度を
表わす。測定が正確であると考えられる場合、重みは1に近い。プロセス中にか
なりの量の変動が存在すれば、0により近い数字が適切であろう。
【0092】 1つの実施例では、EWMAフィルタリングプロセスを利用するための少なく
とも2つの技術が存在する。第1の技術は、上述のように、以前の平均、重みお
よび現在の測定値を用いる。第1の実現例を用いる利点は、利用しやすさおよび
最小データ記憶である。第1の実現例を用いる欠点の1つは、この方法が一般的
にプロセス情報をあまり保持しないことである。さらに、この態様で計算される
以前の平均は、それに先立つあらゆるデータ点から構成されるが、これは望まし
くないであろう。第2の技術はデータのうちいくつかのみを保持し、その都度生
データから平均を計算する。
【0093】 半導体製造工場の製造環境はいくつかの独自の課題を提示する。MOSFET
加工ツールによって半導体ウェハロットを加工する順序が、レビューステーショ
ンでそれらを読出す順序と対応しないことがある。これは、データ点がシーケン
スを外れてEWMA平均に加えられることに繋がり得る。半導体ウェハロットを
1度よりも多く分析してエラー測定値を検証してもよい。データ保持がない場合
、両者の読取りがEWMA平均に寄与するが、これは望ましくない特徴であろう
。さらに、制御スレッドのいくつかは低いボリュームを有し得るが、これにより
以前の平均が古くなってしまい、したがってMOSFET加工制御入力信号設定
におけるエラーを正確に表わすことができないであろう。
【0094】 MOSFET加工ツールコントローラ1115は、この特定の実施例では、限
られたデータ記憶を用いて、EWMAフィルタされたエラーを計算する。すなわ
ち第1の技術である。ロット番号、ロットが加工された時間および多数のエラー
推定を含むウェハロットデータは、制御スレッド名でデータ記憶1160に記憶
される。新たな1組のデータが収集されると、データのスタックがデータ記憶1
160から検索されかつ分析される。加工中の現在のロットのロット番号がスタ
ック中のものと比較される。そこに存在するデータのいずれかとロット番号とが
一致すれば、エラー測定値が置換えられる。一致しなければ、ロットが加工され
た期間に従って、現在のスタックにデータ点が時系列順に加えられる。1つの実
施例では、128時間よりも古いスタック内のいずれのデータ点も除去される。
上述のステップが一旦完了すると、新たなフィルタ平均が計算され、データ記憶
1160に記憶される。
【0095】 こうして、データが収集されかつ前処理され、次に加工されて、MOSFET
加工制御入力信号設定中の現在のエラーの推定を生成する。まず、上述のアウト
ライアー拒否基準を実行するコンパイルされたMatlab(R)プラグインに
データが伝えられる。プラグインインターフェイスへの入力は、多数のエラー測
定値および境界値を含むアレイである。プラグインインターフェイスからの戻り
は単一のトグル変数である。0でない戻りは、拒否基準に満たなかったことを示
し、それ以外では変数はデフォルト値である0を戻し、スクリプトはプロセスを
継続する。
【0096】 アウトライアー拒否が完了した後、データはEWMAフィルタリング手順に伝
えられる。ロットと関連の制御スレッド名のためのコントローラデータが検索さ
れ、ロットデータのスタック上の関連動作のすべてが実行される。これは、冗長
データを置換えることまたはより古いデータを除去することを含む。一旦データ
スタックの準備が十分に整うと、それはエラー値に対応する時間昇順アレイにパ
ーズ解析される。これらのアレイは、実行に必要なパラメータのアレイとともに
EWMAプラグインに与えられる。1つの実施例では、プラグインからの戻りは
フィルタされた6つのエラー値を含む。
【0097】 図13に戻って、データ前処理は、ボックス1320に示されるように、最終
WET測定ステップでワークピース1105WET値を測定することを含む。公
知の潜在的特徴パラメータは、特徴データパターンによって識別され得るかまた
は、MOSFET加工制御への公知の変更の結果として識別され得る。ゲート臨
界寸法の変更が、上に与えられたエッチ/堆積された誘電膜の堆積の変動性にど
のように影響を及ぼすかの例は、この後者のカテゴリに入る。
【0098】 制御プロセスの次のステップは、MOSFET加工ツール1110のMOSF
ET加工ツールコントローラ1115の新たな設定を計算することである。現在
のウェハロットに対応する制御スレッドの前の設定がデータ記憶1160から検
索される。このデータは現在の組のMOSFET加工エラーと対にされる。新た
な設定は、コンパイルされたMatlab(R)プラグインを呼出すことによっ
て計算される。このアプリケーションは多数の入力を組入れ、別個の実行コンポ
ーネントで計算を行ない、多数の出力をメインスクリプトに戻す。一般的に、M
atlab(R)プラグインの入力は、MOSFET加工制御入力信号設定、レ
ビューステーションエラー、制御アルゴリズムに必要なパラメータのアレイおよ
び現在未使用のフラグエラーである。Matlab(R)プラグインの出力は、
上述のコントローラアルゴリズムに従うプラグインで計算された新たなコントロ
ーラ設定である。
【0099】 制御作用の実際の形態および範囲を一般的に定めるMOSFET加工プロセス
技術者または制御技術者がパラメータを設定することができる。それらは、しき
い値、最大ステップサイズ、コントローラ重みおよびターゲット値を含む。新た
なパラメータ設定が一旦計算されると、スクリプトはデータ記憶1160にその
設定を記憶し、それにより、MOSFET加工ツール1110は、加工すべき次
のウェハロットのためにそれらを検索することができる。この発明が教示する原
則は他のタイプの製造フレームワークで実現可能である。
【0100】 再び図13に戻って、新たな設定の計算は、ボックス1330に示されるよう
に、MOSFET加工レシピパラメータの関数としてワークピース1105WE
T値をモデル化することを含む。このモデル化は、Matlab(R)プラグイ
ンによって行なわれ得る。この特定の実施例では、公知の潜在的特徴パラメータ
のみがモデル化され、モデルはマシンインターフェイス1230がアクセスする
データベース1135に記憶される。データベース1135は、示されるように
、ワークステーション1130にまたはAPCフレームワークの何らかの他の部
分に常駐し得る。たとえば、モデルは、代替的な実施例では、APCシステムマ
ネージャ1240が管理するデータ記憶1160に記憶され得る。モデルは一般
的に数学モデルである。すなわち、MOSFET加工レシピ制御の変更が最終W
ETにおけるWET測定およびMOSFET加工性能などにどのように影響を及
ぼすかを説明する式である。上に与えられたさまざまな例示的な実施例に説明さ
れたトランジスタモデルおよび/または加工ステップサブモデルがそのようなモ
デルの例である。
【0101】 用いられる特定のモデルは、特定のMOSFET加工ツール1110およびモ
デル化される特定の特徴パラメータに依存して、実現例特有である。モデルにお
ける関係が線形であるかまたは非線形であるかは、含まれる特定のパラメータに
依存する。
【0102】 次に、新たな設定は、MOSFET加工ツールコントローラ1115に伝送さ
れ、それによって適用される。したがって、ここで図13に戻って、ワークピー
ス1105WET値が一旦モデル化されると、ボックス1340に示されるよう
に、モデルは、少なくとも1つのMOSFET加工レシピ制御入力パラメータを
変更するのに適用される。この特定の実施例では、マシンインターフェイス12
30がデータベース1135からモデルを検索し、それぞれの値をプラグインし
、MOSFET加工レシピ制御入力パラメータの必要な変更を定める。次にこの
変更は、マシンインターフェイス1230により、線1120を介して機器イン
ターフェイス1210に通信される。次に機器インターフェイス1210がこの
変更を実現する。
【0103】 この実施例はさらに、モデルが更新されることを提供する。これは、図13の
ボックス1350−1360に示されるように、MOSFET加工レシピ制御入
力パラメータを変更することの少なくとも1つの影響をモニタすること(ボック
ス1350)と、モニタされた影響に基づいて、適用されたモデルを更新するこ
と(ボックス1360)とを含む。たとえば、MOSFET加工ツール1110
の動作のさまざまな局面は、MOSFET加工ツール1110が古くなるにつれ
て変化する。特徴パラメータ(たとえば、ワークピース1105のゲート臨界寸
法)測定の結果として実現されるMOSFET加工レシピ変更の影響をモニタす
ることにより、必要な値を更新して、より優れた性能をもたらすことができる。
【0104】 上記のように、この特定の実施例がAPCシステムを実現する。したがって、
変更はロットの「間」に実現される。ボックス1320−1360に示される作
用は、現在のロットを加工した後および第2のロットを加工する前に、図13の
ボックス1370に示されるように実現される。しかしながら、この発明はその
ように限定されるものではない。さらに、上記のように、ロットは1から数千(
または実際にはいずれの有限な数)までのいずれの実際的な数のウェハを構成し
てもよい。「ロット」を構成するものは実現例特有であり、したがって、更新が
行なわれる作製プロセス中の点は実現例によって異なる。
【0105】 この発明に従う製造方法の、上に開示された実施例のいずれによっても、測定
ツールおよび/またはウェハ電気テスト(WET)から送られるパラメータ測定
の中央値および広がりを用いることでマニュアルでおよび/または自動的に監視
的加工調節を行ない、歩留まりを向上させおよび/またはよりよく制御できるよ
うになる。さらに、この発明に従う製造方法の、上に開示された実施例のいずれ
によっても、向上したデバイスの正確さおよび精度、向上した効率および向上し
たデバイス歩留まりを備える半導体デバイス作製が可能になり、能率化され単純
化されたプロセスフローが可能になり、それにより複雑さを減じかつ製造プロセ
スのコストを低下させ、スループットを向上させる。
【0106】 上に開示された特定の実施例は例示のみのものであり、この発明は、本明細書
中の教示の利益を有する当業者には明らかな、異なるがしかし均等な態様で変更
されかつ実践され得る。さらに、添付の請求項に記載のもの以外の、本明細書中
に示された構成または設計の詳細に対していかなる限定も意図されるものではな
い。したがって、上に開示された特定の実施例が変形されたりまたは変更された
りしてもよく、すべてのそのような変形はこの発明の精神および範囲内にあると
考えられることが明らかである。したがって、本明細書中で求められる保護範囲
は添付の請求項に述べられるとおりである。
【図面の簡単な説明】
【図1】 この発明に従う製造方法のさまざまな実施例のフローチャートを
概略的に示す図である。
【図2】 この発明に従う製造方法のさまざまな実施例のフローチャートを
概略的に示す図である。
【図3】 この発明に従う製造方法のさまざまな実施例のフローチャートを
概略的に示す図である。
【図4】 この発明に従う製造方法のさまざまな実施例のフローチャートを
概略的に示す図である。
【図5】 この発明に従う製造方法のさまざまな実施例のフローチャートを
概略的に示す図である。
【図6】 この発明に従う製造方法のさまざまな実施例のフローチャートを
概略的に示す図である。
【図7】 この発明に従う製造方法のさまざまな実施例のフローチャートを
概略的に示す図である。
【図8】 この発明に従う製造方法のさまざまな実施例でテストされるMO
Sトランジスタの代表のMOSトランジスタを概略的に示す図である。
【図9】 この発明に従って実践される半導体デバイス製造方法を概略的に
示す図である。
【図10】 この発明に従う、MOSFET加工ツールを用いて、複数の制
御入力信号を用いて加工されるワークピースを概略的に示す図である。
【図11】 図10のプロセスおよびツールの1つの特定の実施例を概略的
に示す図である。
【図12】 図10のプロセスおよびツールの1つの特定の実施例を概略的
に示す図である。
【図13】 図11−図12のプロセスおよびツールを用いて実践され得る
ような、図9の方法の1つの特定の実施例を概略的に示す図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ミラー,マイケル・エル アメリカ合衆国、78613 テキサス州、セ ダー・パーク、リトル・エルム・トレイ ル、2614 (72)発明者 ソンダーマン,トーマス アメリカ合衆国、78717 テキサス州、オ ースティン、ブラエスゲイト・ドライブ、 16010

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 製造方法であって、 加工ステップ(105)でワークピース(100)を加工するステップと、 加工ステップ(105)でワークピース(100)に対して行なわれる加工に
    特有のパラメータ(110)を測定するステップと、 測定された特徴パラメータ(110)に対応する出力信号(125)を形成す
    るステップと、 出力信号(125)に基づいて、加工ステップ(105)で行なわれる加工の
    ためのターゲット値(145)を設定するステップ(130)とを含み、特徴パ
    ラメータを測定するステップは、トランジスタ(800)のウェハ電気テスト(
    WET)パラメータ値を測定するステップを含み、測定された特徴パラメータ(
    110)に対応する出力信号(125)を形成するステップは、測定されたWE
    Tパラメータ値をトランジスタモデル(120)への入力として用いるステップ
    を含み、出力信号(125)に基づいて、加工ステップ(105)で行なわれる
    加工のためのターゲット値(145)を設定するステップ(130)は、トラン
    ジスタモデル(120)を反転して、測定されたWETパラメータ値を仕様値の
    範囲内にもってくるのに必要な、加工ステップ(105)で行なわれる加工の変
    更を規定するステップを含む、方法。
  2. 【請求項2】 トランジスタモデル(120)を反転して、加工ステップ(
    105)で行なわれる加工の変更を規定するステップは、測定されたWETパラ
    メータ値を仕様値の範囲内にもってくるのに必要な、加工ステップ(105)で
    形成されるフィーチャの臨界寸法の変更を規定するステップを含む、請求項1に
    記載の方法。
  3. 【請求項3】 加工ステップ(105)で形成されるフィーチャの臨界寸法
    の変更を規定するステップは、MOSトランジスタ(800)のポリゲートライ
    ン(810)幅の臨界寸法の変更を規定するステップを含む、請求項2に記載の
    方法。
  4. 【請求項4】 加工ステップ(105)で形成されるフィーチャの臨界寸法
    の変更を規定するステップは、MOSトランジスタ(800)のソース/ドレイ
    ン領域(820)と構造層との接合深さの臨界寸法の変更を規定するステップを
    含む、請求項3に記載の方法。
  5. 【請求項5】 トランジスタモデル(120)を反転して、加工ステップ(
    105)で行なわれる加工の変更を規定するステップは、測定されたWETパラ
    メータ値を仕様値の範囲内にもってくるのに必要な、加工ステップ(105)で
    形成されたフィーチャのドーピングレベルの変更を規定するステップを含む、請
    求項1に記載の方法。
  6. 【請求項6】 加工ステップ(105)で形成されたフィーチャのドーピン
    グレベルの変更を規定するステップは、MOSトランジスタ(800)のソース
    /ドレイン領域(820)のドーピングレベルの変更を規定するステップを含む
    、請求項5に記載の方法。
  7. 【請求項7】 加工ステップ(105)で形成されたフィーチャのドーピン
    グレベルの変更を規定するステップは、MOSトランジスタ(800)の薄くド
    ープされたドレイン(LDD)領域(830)のドーピングレベルの変更を規定
    するステップを含む、請求項5に記載の方法。
  8. 【請求項8】 製造方法であって、 第1および第2の加工ステップ(105,140)でワークピース(100)
    を加工するステップと、 第1および第2の加工ステップ(105,140)でワークピース(100)
    に対して行なわれる加工に特有のパラメータ(110)を測定するステップと、 測定された特徴パラメータ(110)に対応する出力信号(125)を形成す
    るステップと、 出力信号(125)に基づいて、第1および第2の加工ステップ(105,1
    40)の少なくとも1つで行なわれる加工のためのターゲット値(145)を設
    定するステップ(130)とを含み、特徴パラメータを測定するステップは、ト
    ランジスタ(800)の少なくとも1つのウェハ電気テスト(WET)パラメー
    タ値を測定するステップを含み、測定された特徴パラメータ(110)に対応す
    る出力信号(125)を形成するステップは、測定された少なくとも1つのWE
    Tパラメータ値をトランジスタモデル(120)への入力として用いるステップ
    を含み、出力信号(125)に基づいて、第1および第2の加工ステップ(10
    5,140)の少なくとも1つで行なわれる加工のためのターゲット値(145
    )を設定するステップ(130)は、トランジスタモデル(120)を反転して
    、測定された少なくとも1つのWETパラメータ値を仕様値の範囲内にもってく
    るのに必要な、第1および第2の加工ステップ(105,140)の少なくとも
    1つで行なわれる加工の変更を規定するステップを含み、トランジスタモデル(
    120)を反転して、第1および第2の加工ステップ(105,140)の少な
    くとも1つで行なわれる加工の変更を規定するステップは、測定された少なくと
    も1つのWETパラメータ値を仕様値の範囲内にもってくるのに必要な、第1お
    よび第2の加工ステップ(105,140)の少なくとも1つで形成されるフィ
    ーチャのドーピングレベルと臨界寸法とのうち1つの変更を規定するステップを
    含む、方法。
  9. 【請求項9】 製造方法であって、 複数の加工ステップ(105,140)でワークピース(100)を加工する
    ステップと、 ワークピース(100)が完全に加工された後に、複数の加工ステップ(10
    5,140)でワークピース(100)に対して行なわれる加工に特有のパラメ
    ータ(110)を特定するステップと、 測定された特徴パラメータ(110)に対応する出力信号(125)を形成す
    るステップと、 出力信号(125)に基づいて、複数の加工ステップ(105,140)の少
    なくとも1つで行なわれる加工のためのターゲット値(145)を設定するステ
    ップ(130)とを含み、特徴パラメータを測定するステップは、トランジスタ
    (800)のウェハ電気テスト(WET)パラメータ値を測定するステップを含
    み、測定された特徴パラメータ(110)に対応する出力信号(125)を形成
    するステップは、測定されたWETパラメータ値をトランジスタモデル(120
    )への入力として用いるステップを含み、出力信号(125)に基づいて、加工
    ステップで行なわれる加工のためのターゲット値(145)を設定するステップ
    (130)は、トランジスタモデル(120)を反転して、測定されたWETパ
    ラメータ値を仕様値のそれぞれの範囲内にもってくるのに必要な、複数の加工ス
    テップ(105,140)の少なくとも1つで行なわれる加工の変更を規定する
    ステップを含み、トランジスタモデル(120)を反転して、複数の加工ステッ
    プ(105,140)の少なくとも1つで行なわれる加工の変更を規定するステ
    ップは、測定されたWETパラメータ値を仕様値のそれぞれの範囲内に持ってく
    るのに必要な、複数の加工ステップ(105,140)の少なくとも1つで形成
    されるフィーチャの臨界寸法の変更を規定するステップを含み、複数の加工ステ
    ップ(105,140)の少なくとも1つで形成されるフィーチャの臨界寸法の
    変更を規定するステップは、MOSトランシジタ(800)のポリゲートライン
    (810)幅の臨界寸法の変更を規定するステップを含む、方法。
  10. 【請求項10】 複数の加工ステップ(105,140)の少なくとも1つ
    で形成されるフィーチャの臨界寸法の変更を規定するステップは、MOSトラン
    シジタ(800)のソース/ドレイン領域(820)と構造層との接合深さの臨
    界寸法の変更を規定するステップを含み、トランジスタモデル(120)を反転
    して、複数の加工ステップ(105,140)の少なくとも1つで行なわれる加
    工の変更を規定するステップは、測定されたWETパラメータ値を仕様値のそれ
    ぞれの範囲内にもってくるのに必要な、複数の加工ステップ(105,140)
    の少なくとも1つで形成されるフィーチャのドーピングレベルの変更を規定する
    ステップを含む、請求項9に記載の方法。
JP2001550802A 2000-01-04 2000-12-04 超小型電子機器製造において最適な加工ターゲットを定めるための方法 Expired - Lifetime JP5063846B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/477,464 2000-01-04
US09/477,464 US6470230B1 (en) 2000-01-04 2000-01-04 Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
PCT/US2000/032948 WO2001050522A1 (en) 2000-01-04 2000-12-04 Method for determining optimal process targets in microelectronic fabrication

Publications (3)

Publication Number Publication Date
JP2003519922A true JP2003519922A (ja) 2003-06-24
JP2003519922A5 JP2003519922A5 (ja) 2008-01-10
JP5063846B2 JP5063846B2 (ja) 2012-10-31

Family

ID=23896015

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001550802A Expired - Lifetime JP5063846B2 (ja) 2000-01-04 2000-12-04 超小型電子機器製造において最適な加工ターゲットを定めるための方法

Country Status (5)

Country Link
US (1) US6470230B1 (ja)
EP (1) EP1245044B1 (ja)
JP (1) JP5063846B2 (ja)
KR (1) KR100727049B1 (ja)
WO (1) WO2001050522A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007505494A (ja) * 2003-09-12 2007-03-08 東京エレクトロン株式会社 適応性多変数分析を使用して処理装置を診断する方法および装置
JP2009521800A (ja) * 2005-12-21 2009-06-04 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 情報信憑性に基づく改良された状態推定

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7200459B1 (en) * 2000-01-04 2007-04-03 Advanced Micro Devices, Inc. Method for determining optimal photolithography overlay targets based on process performance and yield in microelectronic fabrication
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
DE10037243C2 (de) * 2000-07-31 2002-06-20 Infineon Technologies Ag Regelsystem für photolithographische Prozesse
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US7123978B2 (en) * 2000-12-27 2006-10-17 Insyst Ltd. Method for dynamically targeting a batch process
US6728587B2 (en) * 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
TWI252516B (en) * 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
US7225047B2 (en) * 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US7069104B2 (en) * 2002-04-30 2006-06-27 Canon Kabushiki Kaisha Management system, management apparatus, management method, and device manufacturing method
JP2003324055A (ja) * 2002-04-30 2003-11-14 Canon Inc 管理システム及び装置及び方法並びに露光装置及びその制御方法
JP4018438B2 (ja) * 2002-04-30 2007-12-05 キヤノン株式会社 半導体露光装置を管理する管理システム
JP4353498B2 (ja) 2002-04-30 2009-10-28 キヤノン株式会社 管理装置及び方法、デバイス製造方法、並びにコンピュータプログラム
US6787376B1 (en) * 2002-05-22 2004-09-07 Advanced Micro Devices, Inc. Creating a process recipe based on a desired result
US7092110B2 (en) * 2002-07-25 2006-08-15 Timbre Technologies, Inc. Optimized model and parameter selection for optical metrology
US7330279B2 (en) * 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
US6773931B2 (en) * 2002-07-29 2004-08-10 Advanced Micro Devices, Inc. Dynamic targeting for a process control system
US8185230B2 (en) * 2002-08-22 2012-05-22 Advanced Micro Devices, Inc. Method and apparatus for predicting device electrical parameters during fabrication
JP3799314B2 (ja) * 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
US6842661B2 (en) 2002-09-30 2005-01-11 Advanced Micro Devices, Inc. Process control at an interconnect level
US6912438B2 (en) * 2002-10-21 2005-06-28 Advanced Micro Devices, Inc. Using scatterometry to obtain measurements of in circuit structures
US6959224B2 (en) * 2002-11-08 2005-10-25 Advanced Micro Devices, Inc. Probability constrained optimization for electrical fabrication control
AU2003290932A1 (en) 2002-11-15 2004-06-15 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7424392B1 (en) * 2002-12-18 2008-09-09 Advanced Micro Devices, Inc. Applying a self-adaptive filter to a drifting process
US20040167655A1 (en) * 2003-02-22 2004-08-26 Scott Middlebrooks Optimal model predictive control of overlay implemented in a ASIC fab
ATE470629T1 (de) * 2003-04-25 2010-06-15 Sig Technology Ltd Verfahren und system zur überwachung eines verpackungs-oder abfüllvorgangs
US7684887B2 (en) * 2003-04-30 2010-03-23 Infineon Technologies Ag Advanced process control method and advanced process control system for acquiring production data in a chip production installation
US8013301B2 (en) * 2003-10-08 2011-09-06 Applied Materials Israel, Ltd. Measurement system and a method
US6980873B2 (en) 2004-04-23 2005-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for real-time fault detection, classification, and correction in a semiconductor manufacturing environment
US7437404B2 (en) 2004-05-20 2008-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for improving equipment communication in semiconductor manufacturing equipment
US7263408B1 (en) * 2004-11-02 2007-08-28 Advanced Micro Devices, Inc. Method and system for converting tool process ability based upon work in progress characteristics
KR101365226B1 (ko) * 2005-02-28 2014-02-18 어드밴스드 마이크로 디바이시즈, 인코포레이티드 자동화된 쓰루풋 제어 시스템 및 그 동작 방법
JP3732220B1 (ja) * 2005-03-28 2006-01-05 株式会社リコー イオン注入量分布評価方法
US7558986B2 (en) * 2005-05-26 2009-07-07 United Parcel Service Of America, Inc. Software process monitor
US7823021B2 (en) * 2005-05-26 2010-10-26 United Parcel Service Of America, Inc. Software process monitor
US8332826B2 (en) * 2005-05-26 2012-12-11 United Parcel Service Of America, Inc. Software process monitor
US7355728B2 (en) * 2005-06-16 2008-04-08 Timbre Technologies, Inc. Optical metrology model optimization for repetitive structures
US20070135956A1 (en) * 2005-12-13 2007-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Data location systems and methods
US20080140590A1 (en) * 2006-12-12 2008-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Process control integration systems and methods
US7424331B2 (en) * 2006-12-19 2008-09-09 Intel Corporation System for implementing intelligent and accurate updates to state-based advanced process control (APC) models
US7844927B2 (en) * 2007-01-19 2010-11-30 Globalfoundries Inc. Method for quality assured semiconductor device modeling
US8046086B2 (en) * 2007-05-15 2011-10-25 Fisher-Rosemount Systems, Inc. Methods and systems for batch processing and execution in a process system
US7991577B2 (en) * 2007-08-30 2011-08-02 HSB Solomon Associates, LLP Control asset comparative performance analysis system and methodology
JP2009224374A (ja) * 2008-03-13 2009-10-01 Oki Semiconductor Co Ltd Peb装置及びその制御方法
WO2011022696A1 (en) 2009-08-21 2011-02-24 Federspiel Corporation Method and apparatus for efficiently coordinating data center cooling units
US8391999B2 (en) * 2010-06-09 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Auto device skew manufacturing
JP2013543569A (ja) 2010-08-20 2013-12-05 ヴィジレント コーポレイション Hvacシステムに対するエネルギー最適制御決定
JP6313217B2 (ja) 2011-12-12 2018-04-18 ヴィジレント コーポレイションVigilent Corporation Hvacユニットの気温制御
US11110648B2 (en) * 2012-07-31 2021-09-07 Makerbot Industries, Llc Build material switching
JP6247746B2 (ja) 2013-05-08 2017-12-13 ヴィジレント コーポレイションVigilent Corporation 環境に管理されるシステムにおける影響の学習
WO2015171624A1 (en) 2014-05-05 2015-11-12 Vigilent Corporation Point-based risk score for managing environmental systems
US10935962B2 (en) * 2015-11-30 2021-03-02 National Cheng Kung University System and method for identifying root causes of yield loss
KR102517966B1 (ko) * 2017-12-19 2023-04-03 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 기법 기반 정정 및 제어
EP3872567A1 (en) * 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55150221A (en) * 1979-05-10 1980-11-22 Toshiba Corp Semiconductor fabricating process control system
JPS63174331A (ja) * 1987-01-14 1988-07-18 Toshiba Corp 半導体製造自動制御システム

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0616475B2 (ja) * 1987-04-03 1994-03-02 三菱電機株式会社 物品の製造システム及び物品の製造方法
US5711843A (en) 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
KR0174993B1 (ko) * 1996-02-23 1999-04-01 김광호 반도체 설비의 통계적 공정관리 시스템 및 그 방법
KR100213195B1 (ko) * 1996-03-07 1999-08-02 윤종용 반도체 공정의 제어방법
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US6288431B1 (en) * 1997-04-04 2001-09-11 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US5866437A (en) 1997-12-05 1999-02-02 Advanced Micro Devices, Inc. Dynamic process window control using simulated wet data from current and previous layer data
US6041270A (en) 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6103559A (en) * 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55150221A (en) * 1979-05-10 1980-11-22 Toshiba Corp Semiconductor fabricating process control system
JPS63174331A (ja) * 1987-01-14 1988-07-18 Toshiba Corp 半導体製造自動制御システム

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007505494A (ja) * 2003-09-12 2007-03-08 東京エレクトロン株式会社 適応性多変数分析を使用して処理装置を診断する方法および装置
JP4699367B2 (ja) * 2003-09-12 2011-06-08 東京エレクトロン株式会社 適応性多変数分析を使用して処理装置を診断する方法および装置
JP2009521800A (ja) * 2005-12-21 2009-06-04 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 情報信憑性に基づく改良された状態推定

Also Published As

Publication number Publication date
EP1245044B1 (en) 2011-05-11
KR100727049B1 (ko) 2007-06-12
US6470230B1 (en) 2002-10-22
JP5063846B2 (ja) 2012-10-31
WO2001050522A1 (en) 2001-07-12
EP1245044A1 (en) 2002-10-02
KR20020087047A (ko) 2002-11-21

Similar Documents

Publication Publication Date Title
JP5063846B2 (ja) 超小型電子機器製造において最適な加工ターゲットを定めるための方法
US6622059B1 (en) Automated process monitoring and analysis system for semiconductor processing
US6368884B1 (en) Die-based in-fab process monitoring and analysis system for semiconductor processing
US6819963B2 (en) Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US6859746B1 (en) Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
US6773931B2 (en) Dynamic targeting for a process control system
US6133132A (en) Method for controlling transistor spacer width
US6810296B2 (en) Correlating an inline parameter to a device operation parameter
CN101834114B (zh) 栅极轮廓的进阶工艺控制方法与制造集成电路元件的系统
US6856849B2 (en) Method for adjusting rapid thermal processing (RTP) recipe setpoints based on wafer electrical test (WET) parameters
US6597447B1 (en) Method and apparatus for periodic correction of metrology data
KR20040004632A (ko) 처리층 컨포멀리티의 결정을 위한 방법 및 장치
US6905895B1 (en) Predicting process excursions based upon tool state variables
US6376261B1 (en) Method for varying nitride strip makeup process based on field oxide loss and defect count
US6698009B1 (en) Method and apparatus for modeling of batch dynamics based upon integrated metrology
US7200459B1 (en) Method for determining optimal photolithography overlay targets based on process performance and yield in microelectronic fabrication
US6834211B1 (en) Adjusting a trace data rate based upon a tool state
US20040088068A1 (en) Method and apparatus for providing first-principles feed-forward manufacturing control
US9852956B2 (en) Extraction of resistance associated with laterally diffused dopant profiles in CMOS devices
US6968303B1 (en) Automated system for extracting and combining tool trace data and wafer electrical test (WET) data for semiconductor processing

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071116

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071116

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110920

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111226

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120124

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120522

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120619

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120703

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120731

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120808

R150 Certificate of patent or registration of utility model

Ref document number: 5063846

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150817

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term