TW202349438A - 用於電漿處理應用的脈衝電壓源 - Google Patents
用於電漿處理應用的脈衝電壓源 Download PDFInfo
- Publication number
- TW202349438A TW202349438A TW112100301A TW112100301A TW202349438A TW 202349438 A TW202349438 A TW 202349438A TW 112100301 A TW112100301 A TW 112100301A TW 112100301 A TW112100301 A TW 112100301A TW 202349438 A TW202349438 A TW 202349438A
- Authority
- TW
- Taiwan
- Prior art keywords
- terminal
- coupled
- transformer
- voltage
- switch
- Prior art date
Links
- 238000004804 winding Methods 0.000 claims abstract description 121
- 239000000758 substrate Substances 0.000 claims abstract description 71
- 238000000034 method Methods 0.000 claims abstract description 55
- 230000009466 transformation Effects 0.000 claims description 71
- 239000004065 semiconductor Substances 0.000 claims description 9
- 229910044991 metal oxide Inorganic materials 0.000 claims description 3
- 150000004706 metal oxides Chemical class 0.000 claims description 3
- 230000005669 field effect Effects 0.000 claims description 2
- 238000010586 diagram Methods 0.000 description 30
- 230000008569 process Effects 0.000 description 24
- 150000002500 ions Chemical class 0.000 description 17
- 230000000694 effects Effects 0.000 description 11
- 239000007789 gas Substances 0.000 description 11
- 230000001960 triggered effect Effects 0.000 description 7
- 239000003990 capacitor Substances 0.000 description 6
- 230000004907 flux Effects 0.000 description 6
- 229910052751 metal Inorganic materials 0.000 description 6
- 239000002184 metal Substances 0.000 description 6
- 239000002131 composite material Substances 0.000 description 5
- 238000009826 distribution Methods 0.000 description 5
- 239000012212 insulator Substances 0.000 description 5
- 239000010453 quartz Substances 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- 230000000903 blocking effect Effects 0.000 description 4
- 238000005530 etching Methods 0.000 description 4
- 230000001965 increasing effect Effects 0.000 description 4
- 239000000463 material Substances 0.000 description 4
- 239000004020 conductor Substances 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 239000011888 foil Substances 0.000 description 3
- 238000001208 nuclear magnetic resonance pulse sequence Methods 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000005315 distribution function Methods 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000000231 atomic layer deposition Methods 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000001914 filtration Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 230000002401 inhibitory effect Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 238000009877 rendering Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910001256 stainless steel alloy Inorganic materials 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium(II) oxide Chemical compound [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H03—ELECTRONIC CIRCUITRY
- H03K—PULSE TECHNIQUE
- H03K17/00—Electronic switching or gating, i.e. not by contact-making and –breaking
- H03K17/51—Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used
- H03K17/56—Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices
- H03K17/687—Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices the devices being field-effect transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/327—Arrangements for generating the plasma
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Plasma Technology (AREA)
Abstract
本文中提供的實施例概略包括設備,例如電漿處理系統,以及用於在處理腔室中基板之電漿處理的方法。一些實施例乃針對波形產生器。該波形產生器一般包括第一電壓級,其具有:第一電壓源;第一開關;接地參考點;具有第一變壓比的變壓器,該第一變壓器包含:經耦接至該第一電壓源及該接地參考點的主要繞組;以及具有第一末端和第二末端的次要繞組,其中該第一末端經耦接至該接地參考點,及該第二末端經配置以藉由共同節點耦接至負載;及與該第一變壓器之該主要繞組並聯耦接的第一二極體。該波形產生器一般也包括藉由該共同節點耦接至負載的一或更多個額外電壓級。
Description
本揭示案之實施例概略關於使用在半導體裝置製造中的系統。更特定地,本揭示案之實施例關於用以處理基板的電漿處理系統。
對於下一代半導體裝置的關鍵技術挑戰之一是可靠地製造高長寬比的特徵。形成高長寬比特徵的一種方法利用電漿輔助蝕刻程序來轟擊形成在基板之一表面上的材質,通過形成在該基板表面上所形成之有圖案遮罩層中的開口。
隨著技術節點朝2nm進步,製造具有較大長寬比之較小特徵需要用於電漿處理的原子級精確度。對於其中電漿扮演主要角色的蝕刻程序而言,離子能量控制永遠是半導體設備產業的挑戰。在典型的電漿輔助蝕刻程序中,基板經定位在處理腔室中設置的靜電卡盤(ESC)上,電漿形成在該基板之上,而離子跨於一電漿鞘層(sheath)(即電子耗盡區,形成在電漿與基板表面之間)從電漿朝向該基板加速。傳統上射頻(RF)基板偏壓方法(其利用正弦曲線RF波形來激發電漿及形成電漿鞘層,已經無法如預期地形成這些較小的裝置特徵尺寸。最近,已經發現運經脈衝電漿源來向處理腔室內之一或更多個電極遞送高電壓直流(DC)脈衝,在如預期地控制形成於基板表面之上的電漿鞘層方面可能是有用的。
傳統上,經脈衝電源向陰極(已知金屬板,其能藉由介電層利用電容性耦接來耦接至電漿)提供經脈衝DC偏壓。在設計這類經脈衝電源的同時,開關頻率、及電力消耗能力是主要設計考量的其中一些。為促進高開關速度,在經脈衝電源中主要使用MOSFET。然而,儘管在SiC及GaN MOSFET領域中的顯著進步,這些MOSFET的最大操作開關頻率受限於幾十kHz到幾百kHz。隨著半導體產業中元件尺寸縮小到10nm以下,開關頻率在幾百kHz範圍之上半部分中的經脈衝DC偏壓源可以是進一步控制關鍵高長寬比特徵(像是蝕刻可選擇性及均勻度)的強大工具。
因此,在本領域中需要有能夠致能在基板上完成所欲之電漿輔助程序的經脈衝電壓源及偏壓方法。
本文中提供的實施例概略包括設備(例如電漿處理系統)及用於在處理腔室中之基板的電漿處理的方法。
一些實施例乃針對一種波形產生器。該波形產生器一般包括一第一電壓級,具有:一第一電壓源;一第一開關,其中該第一電壓源的一第一端子經耦接至該第一開關的一第一端子;一接地參考點,其中該第一開關的一第二端子經耦接至該接地參考點;具有一第一變壓比的一變壓器,該第一變壓器包含:一主要繞組,該主要繞組經耦接至該第一電壓源的一第二端子及該接地參考點;及一次要繞組,該次要繞組具有一第一末端及一第二末端,其中該第一末端經耦接至該接地參考點;及一第一二極體,該第一二極體與該第一變壓器之該主要繞組並聯耦接。該波形產生器一般也包括一第二電壓級,該第二電壓級具有:一第二電壓源;一第二開關,其中該第二電壓源的一第一端子經耦接至該第二開關的一第一端子;一第二接地參考點,其中該第二開關的一第二端子經耦接至該第二接地參考點;具有一第二變壓比的一第二變壓器,該第二變壓器包含:一主要繞組,該主要繞組經耦接至該第二電壓源的一第二端子及該第二接地參考點;及一次要繞組,該次要繞組具有一第一末端及一第二末端,其中該第一末端經耦接至該第一變壓器之該次要繞組的該第二末端,而該第二末端經配置以藉由一共同節點耦接至一負載;及一第二二極體,該第二二極體與該第二變壓器之該主要繞組並聯耦接。
一些實施例乃針對一種用於波形產生的方法。該方法概略包括藉由關閉一第一開關來於一共同節點處產生一第一電壓脈衝,該第一開關具有一第一端子及一第二端子,其中:該第一開關的該第一端子經耦接至該第一電壓源的一第一端子;該第一電壓源的一第二端子經耦接至一第一變壓器的一主要繞組的一第一端子,該第一變壓器具有一第一變壓比;該第一開關的該第二端子經耦接至該第一變壓器之該主要繞組的一第二端子且接地;及該共同節點經耦接至該第一變壓器之一次要繞組的一第一端子。該用於波形產生的方法也概略包括藉由關閉一第二開關來於該共同節點處產生一第二電壓脈衝,該第二開關具有一第一端子及一第二端子,其中:該第二開關的該第一端子經耦接至該第二電壓源的一第一端子;該第二電壓源的一第二端子經耦接至一第二變壓器的一主要繞組的一第一端子,該第二變壓器具有一第二變壓比;該第一開關的該第二端子經耦接至該第二變壓器之該主要繞組的一第二端子且接地;由該第二電壓源在該第二電壓源之該第一端子與該第二端子之間產生一第二偏壓電壓;及該第二變壓器之一次要繞組的一第一端子經耦接至該第一變壓器之該次要繞組的一第二端子,其中該共同節點經設置在該第一變壓器之該次要繞組之該第一端子與一負載之間。
一些實施例乃針對用於產生一波形的非暫態電腦可讀取媒體,該非暫態電腦可讀取媒體包括可由一或更多個處理器執行的指令。該等指令概略包括藉由關閉一第一開關來於一共同節點處產生一第一電壓脈衝,該第一開關具有一第一端子及一第二端子,其中:該第一開關的該第一端子經耦接至該第一電壓源的一第一端子;該第一電壓源的一第二端子經耦接至一第一變壓器的一主要繞組的一第一端子,該第一變壓器具有一第一變壓比;該第一開關的該第二端子經耦接至該第一變壓器之該主要繞組的一第二端子且接地;及該共同節點經耦接至該第一變壓器之一次要繞組的一第一端子。該指令概略也包括藉由關閉一第二開關來於該共同節點處產生一第二電壓脈衝,該第二開關具有一第一端子及一第二端子,其中:該第二開關的該第一端子經耦接至該第二電壓源的一第一端子;該第二電壓源的一第二端子經耦接至一第二變壓器的一主要繞組的一第一端子,該第二變壓器具有一第二變壓比;該第一開關的該第二端子經耦接至該第二變壓器之該主要繞組的一第二端子且接地;由該第二電壓源在該第二電壓源之該第一端子與該第二端子之間產生一第二偏壓電壓;及該第二變壓器之一次要繞組的一第一端子經耦接至該第一變壓器之該次要繞組的一第二端子,其中該共同節點經設置在該第一變壓器之該次要繞組之該第一端子與一負載之間。
本揭示案的特定態樣概略針對用於產生電漿處理系統的電壓波形的技術。傳統上,經脈衝電源將經脈衝直流(DC)偏壓提供至陰極(金屬板,其藉由介電層耦接至電漿)。在設計這類經脈衝電源的同時,開關頻率、及電力消耗能力是主要設計考量的其中一些。為促進高開關速度,在經脈衝電源中主要使用MOSFET。然而,儘管在SiC及GaN MOSFET領域中的顯著進步,這些MOSFET的最大操作開關頻率受限於幾十kHz到幾百kHz。隨著半導體產業中元件尺寸縮小到10nm以下,開關頻率在幾百kHz範圍之上半部分中的經脈衝DC偏壓源可以是進一步控制關鍵高長寬比特徵(像是蝕刻可選擇性及均勻度)的強大工具。
在本揭示案的態樣中,經脈衝電源(例如波形產生器)可包括幾個堆疊模組,其允許在一經生成波形之不同特性中有較大彈性。在一些實施例中,能調整一波形產生器以針對各種應用及其個別需求來產生可配置輸出電壓波形,該些需求可包括各異的特性像是電流、電壓、開關頻率(例如脈衝頻率)、脈衝寬度、峰值幅度、脈衝形狀、及其他需求。以此方式,波形產生器可以較佳地控制關鍵高長寬特徵,包括蝕刻可選擇性及均勻度。在一些實施例中,波形產生器可遮蔽對特定組件的限制(像是例如最大操作開關頻率或在典型使用於波形產生中之半導體開關中典型可得的電晶體的最大電壓),以為了較佳地控制關鍵高長寬特徵,包括增加的蝕刻可選擇性、均勻度、及處理系統10中的產出量。因此,波形產生器可致能具有基板表面處的所欲能量分佈函數(IEDF)的電壓波形生成。
電漿處理系統範例
第1圖是一處理系統10的示意截面圖,該處理系統10經配置以進行本文中闡述之電漿處理方法中一或更多者。在一些實施例中,處理系統10經配置用於電漿輔助蝕刻程序,像是反應離子蝕刻(RIE)電漿處理。然而,應注意本文中所述實施例也可藉經配置使用在其他電漿輔助程序中的處理系統來使用,該些其他電漿輔助程序像是電漿增強沉積程序,例如電漿增強化學汽相沉積(PECVD)程序、電漿增強物理汽相沉積(PEPVD)程序、電漿增強原子層沉積(PEALD)程序、電漿處置處理或基於電漿的離子植入處理(例如電漿摻雜(PLAD)處理)。
如圖示,處理系統10經配置以形成一電容耦合電漿(CCP),同時處理腔室100包括經設置在一處理容積129中的一上部電極(例如腔室蓋123),該上部電極面向也設置在處理容積129中的一下部電極(例如基板支撐組合件136)。在一典型電容耦合電漿(CCP)處理系統中,一射頻(RF)來源(例如RF產生器118)經電耦接至上部電極或下部電極中之一者,並遞送經配置以點燃及維持電漿(例如電漿101)的一RF信號。在此配置方式中,電漿經電容耦接至上部電極或下部電極中之各者且經設置在兩者之間的一處理區域中。常見地,上部電極或下部電極中的另一者經耦接至地或耦接至一第二RF電源。基板支撐組合件136的一或更多個組件,像是支撐座107經電耦接至一電漿產生器組合件163(其包括RF產生器118),而腔室蓋123經電耦接至地面。如圖示,處理系統10包括一處理腔室100、一基板支撐組合件136、及一系統控制器126。
處理腔室100典型包括一腔室體113,該腔室體包括腔室蓋123、一或更多個側壁122、及一腔室座124,以上全部界定了處理容積129。一或更多個側壁122及腔室座124一般包括的材料經調整大小及形狀以形成用於處理腔室100之元件的結構性支撐件,且該些材料經配置以經受在處理期間於處理腔室100之處理容積129中維持之真空環境內生成電漿101的同時被施加至該等材料的壓力及增加的能量。在一例中,一或更多個側壁122及腔室座124自金屬形成,像是鋁、鋁合金、或不鏽鋼合金。
藉由腔室蓋123設置的一氣體入口128被用以從與該氣體入口流體耦接的一處理氣體源119遞送一或更多個處理氣體至處理容積129。一基板103藉由在一或更多個側壁122之一側壁中的一開口(未圖示)載入至處理容積129中及從處理容積129移出,該開口在基板103的電漿處理期間藉一狹縫閥(未圖示)所密封。
系統控制器126(也在本文中稱為處理腔室控制器)包括一中央處理單元(CPU)133、一記憶體134、及支援電路135。系統控制器126經用以控制用來處理基板103的程序序列,包括本文中所述的基板偏壓方法。CPU 133是一通用目的電腦處理器,其經配置用於產業設定中以供控制處理腔室及與其相關的子處理器。本文中所述記憶體134(一般為非揮發性記憶體)可包括隨機存取記憶體、唯讀記憶體、軟碟機或硬碟機、或其他適當形式的數位儲存(本端或遠端的)。支援電路135習用上經耦接至CPU 133且包含快取、時脈電路、輸入/輸出子系統、電力供應、及類似者、以及以上之組合。軟體指令(程式)及資料能經編碼和儲存在記憶體134內以用於指示CPU 133內的一處理器。系統控制器126中之CPU 133可讀取的一軟體程式(或電腦指令)決定哪些任務是可由處理系統10中之組件進行的。
常見地,該程式(其可由系統控制器126中之CPU 133讀取)包括代碼,當該等代碼被CPU 133執行時,進行有關本文中所述電漿處理方案的任務。該程式可包括被用來控制處理系統10內之各種硬體及電性組件以進行實施本文中所述方法的各種不同程序任務及各種程序順序的指令。在一實施例中,該程式包括的指令被用以進行以下相關於第13圖所述之操作中一或更多者。
該處理系統可包括一電漿產生器組合件163、用於在一偏壓電極104處建立第一PV波形的一第一經脈衝電壓(PV)源組合件196、及用於在一邊緣控制電極115處建立第二PV波形的一第二PV源組合件197。可利用針對第4圖~第11圖所更詳細說明的波形產生器來產生第一PV波形或第二PV波形。在一些實施例中,電漿產生器組合件163遞送一RF信號至支撐座107(例如電力電極或陰極),該RF信號可被用以產生(維持及/或點燃)設置在基板支撐組合件136與腔室蓋123之間之處理區域中的一電漿101。在一些實施例中,RF產生器118經配置以遞送的RF信號所具頻率為大於1 MHz或更多、或大約2 MHz或更多,像是大約13.56 MHz或更多。
如上討論,在一些實施例中,電漿產生器組合件163(其包括一RF產生器118及一RF產生器組合件160)概略經配置基於從系統控制器126所提供之控制信號,以一所欲實質上固定的正弦波波形頻率來遞送所欲數量的連續波(CW)或經脈衝RF電力至基板支撐組合件136的支撐座107。在處理期間,電漿產生器組合件163經配置以遞送RF電力(例如RF信號)至設置於靠近基板支撐件105、且在基板支撐組合件136內的支撐座107。被遞送至支撐座107的RF電力經配置以點燃及維持設置在處理容積129內之處理氣體的電漿101。
在一些實施例中,支撐座107是經由RF匹配電路162及第一濾波組合件161電耦接至RF產生器118的一RF電極,RF匹配電路162及第一濾波組合件161兩者都設置在RF產生器組合件160內。第一濾波組合件161包括一或更多個電性元件,其經配置以實質上防止由PV波形產生器150之輸出所產生的電流流經一RF電力遞送線167和破壞RF產生器118。第一濾波組合件161作為對於生成自PV波形產生器150內之一PV脈衝產生器P1的PV信號的一高阻抗(例如高Z)作動,因此抑制往RF匹配電路162及RF產生器118的電流流動。
在一些實施例中,RF產生器組合件160及RF產生器118被使用來點燃及維持利用設置在處理容積129中之處理氣體的電漿101,以及由RF產生器118遞送至支撐座107之RF電力(RF信號)所產生的場域。處理容積129藉由一真空出口120流體耦接至一或更多個專屬真空泵,該真空出口將處理容積129維持於次大氣壓力狀態並從其排空處理及/或其他氣體。在一些實施例中,經設置在處理容積129中的基板支撐組合件136,被設置在接地且通過腔室座124延伸的一支撐軸桿138上。然而,在一些實施例中,RF產生器組合件160經配置以遞送RF電力至相對於支撐座107設置在基板支撐件105中的偏壓電極104。
如以上簡短討論的,基板支撐組合件136一般包括基板支撐件105(例如ESC基板支撐件)及支撐座107。在一些實施例中,基板支撐組合件136能額外地包括一絕緣器板111及一接地板112,如以下所進一步討論。支撐座107被絕緣器板111電性隔絕於腔室座124,且接地板112被內插在絕緣器板111與腔室座124之間。基板支撐件105經熱耦接至且設置在支撐座107上。在一些實施例中,支撐座107經配置以在基板處理期間調節基板支撐件105(以及設置在基板支撐件105上的基板103)的溫度。
典型地,基板支撐件105是介電材料形成的,像是大塊燒結陶瓷材料,像是抗腐蝕金屬氧化物或金屬氮材料(例如氧化鋁(Al
2O
3)、氮化鋁(AlN)、氧化鈦(TiO)、氮化鈦(TiN)、氧化釔(Y
2O
3)、以上之混合物、或以上之組合)。在本文中之實施例中,基板支撐件105進一步包括嵌入在其介電材料中的偏壓電極104。在一些實施例中,藉由測量建立於偏壓電極104處的RF波形,來決定及/或監測經使用以維持偏壓電極104之上的處理區域中之電漿101的RF電力的一或更多個特性。
在一種配置方式中,偏壓電極104是一夾持極點(pole),用以將基板103緊固(即夾持)至基板支撐件105的基板支撐表面105A並利用本文中所述之脈衝電壓偏壓方案中一或更多者來將基板103相對於電漿101偏壓。常見地,偏壓電極104由一或更多個電傳導性部件形成,像是一或更多個金屬網格、箔片、板、或其組合。
在一些實施例中,偏壓電極104經電耦接至一夾鉗網路116,其利用一導電體(像是同軸電力遞送線106(例如同軸電纜))向偏壓電極104提供夾持電壓(像是在大約-5000 V及大約5000 V之間的靜態DC電壓)。如以下將進一步討論,夾鉗網路116包括偏壓補償電路元件116A、一DC電力供應155、及一偏壓補償模組阻隔電容器(其也在本文中稱為阻隔電容器C
5)。阻隔電容器C
5經設置在經脈衝電壓(PV)波形產生器150之輸出端與偏壓電極104之間。
基板支撐組合件136可進一步包括邊緣控制電極115,其經定位在邊緣環114下方且圍繞偏壓電極104及/或經設置在離偏壓電極104之中心一距離處。一般,對於經配置以處理圓形基板的處理腔室100,邊緣控制電極115的形狀是圓的,其由一傳導性材料製成,且經配置以圍繞至少一部分的偏壓電極104。在一些實施例中(像是第1圖中所示),邊緣控制電極115經定位在基板支撐件105的一區域內。在一些實施例中(如第1圖中描繪的),邊緣控制電極115包括一傳導性網格、箔片、及/或板,其經設置在與偏壓電極104距離基板支撐件105之基板支撐表面105A的距離(即Z方向)類似處。在一些其他實施例中,邊緣控制電極115包括一傳導性網格、箔片、及/或板,其經定位在圍繞至少一部分的偏壓電極104及/或基板支撐件105的石英管110上、或在離石英管110的一區域內。替代地,在一些其他實施例中(未圖示),邊緣控制電極115經定位在邊緣環114內或經耦接至邊緣環114,該邊緣環經定位在基板支撐件105上或相鄰於基板支撐件105。在此配置方式中,邊緣環114自半導體或介電材料(例如AlN、及其他)形成。
能藉由利用PV波形產生器來偏壓邊緣控制電極115,該PV波形產生器不同於用來將偏壓電極104偏壓的PV波形產生器150。在一些實施例中,藉由將部分的電力拆分給邊緣控制電極115,能利用同時也用來將偏壓電極104偏壓的PV波形產生器150來將邊緣控制電極115偏壓。在一種配置方式中,第一PV源組合件196的第一PV波形產生器150經配置以將偏壓電極104偏壓,而第二PV源組合件197的第二PV波形產生器150經配置以將邊緣控制電極115偏壓。
一電力遞送線157將第一PV源組合件196之PV波形產生器150的輸出端電性連接至一可選擇的濾波組合件151與偏壓電極104。儘管以下討論主要討論的是第一PV源組合件196的電力遞送線157(其用以耦接PV波形產生器150至偏壓電極104),然而第二PV源組合件197的電力遞送線158( 其耦接PV波形產生器150至邊緣控制電極115)將包括相同或類似的組件。電力遞送線157之各不同部件內的電導體可包括:(a)一同軸電纜或同軸電纜的組合,像是與一剛性同軸電纜串接的一可撓同軸電纜,(b)一絕緣高電壓抗電暈的勾線(hookup wire),(c)一裸線,(d)一金屬桿,(e)一電連接器,或(f) (a)~(e)中之電性元件的任意組合。可選擇的濾波組合件151包括一或更多個電性元件,該一或更多個電性元件經配置以實質上防止RF產生器118之輸出端所產生的電流流過電力遞送線157及破壞PV波形產生器150。可選擇的濾波組合件151作為對於由RF產生器118產生的RF信號的一高阻抗(例如高Z)作動,因此抑制往PV波形產生器150的電流流動。
第二PV源組合件197包括一夾鉗網路116,使得經施加至邊緣控制電極115的偏壓能與被耦接在第一PV源組合件196內之夾鉗網路116所施加至偏壓電極104的偏壓類似地配置。對偏壓電極104與邊緣控制電極115施加經相似地配置的PV波形和夾鉗電壓能協助改善在處理期間跨於基板表面的電漿均勻度,而因此改善電漿處理程序的結果。
在一些實施例中,處理腔室100進一步包括石英管110(或柱),其至少部分地環繞基板支撐組合件136之部分以防止基板支撐件105及/或支撐座107接觸腐蝕性處理氣體或電漿、清潔氣體或電漿、或其副產品。常見地,石英管110、絕緣器板111、及接地板112被一陰極襯裡108環繞。在一些實施例中,一電漿屏109經定位在陰極襯裡108與側壁122之間以防止電漿形成在陰極襯裡108與一或更多個側壁122之間的電漿屏109底下的容積中。
處理腔室的範例代表性電路
第2圖描繪關聯於處理腔室的雜散電容及逃逸(escape)電容。雜散電容204(C
stray)代表在處理腔室之一電極與地面之間的電容,而基板支撐件電容202(也在本文中稱為靜電卡盤電容(C
esc))代表在偏壓電極104與基板支撐表面105A之間的電容。如圖示,C
esc經產生在一輸出節點(標記為U
out)及一複合負載(例如電漿負載)之間,該複合負載僅由電阻元件206表示。為了讓負載(例如節點U
load處)上的電壓脈衝有正方形狀,對於跨於C
esc的電壓與跨於C
stray的電壓(例如U
out處的電壓)實施一斜率,如以下將更詳細說明。跨於C
stray的電流(例如補償電流(I
comp))可等於跨於C
esc的負載電流(I
load)乘上C
stray的電容值及C
esc的電容值。輸出電流(I
out)可等於I
load與Icomp的總和,其可由以下等式表示:
針對處理腔室的範例電壓波形
第3A圖描繪一電壓波形的範例,該電壓波形可被遞送至設置在處理腔室內的一電極(像是第1圖中顯示的偏壓電極104)。該波形概略包括兩主要階段,離子電流階段及鞘層崩解(sheath collapse)階段。在離子電流階段的開始,晶圓電壓下降產生了基板上方的高電壓鞘層,加速往基板103的正離子。該等正離子在基板表面沉積正電荷且傾向逐漸正向增加基板電壓。若供給一方波,朝向基板的離子電流製造了基板電壓的正斜率(例如第2圖中顯示之U
load處)。為了讓負載上的電壓脈衝(例如U
load處)如第3C圖中所示地有正方形,在離子電流階段期間對U
out(如第3A圖中所示)處的電壓實施負斜率,此被用以形成跨於靜電卡盤電容元件C
esc的電壓,如第3B圖中所示。第3C圖描繪一所欲電壓波形的範例,該電壓波形可被建立於處理腔室100內設置之基板支撐組合件136上所設置的一基板處。在離子電流階段期間實施偏壓電極104與靜電卡盤電容器C
esc處的斜率一般被稱為電流補償,其被用以形成在此階段期間U
load處所見的恆定電壓。在離子電流階段的開始與結束之間的電壓差決定離子能量分佈函數(IEDF)的寬度。電壓差越大,離子能量的分佈越寬,而因此有越寬的IEDF寬度。為達成單能量離子與較窄的IEDF寬度,進行電流補償操作以將離子電流時期中的基板電壓波形平坦化。在一些實施例中,該等電壓波形能以在大約50 kHz與1000 kHz之間的頻率(1/T
p)遞送。在一些實施例中,建立於電極處的電壓波形具有一啟動時間(on-time),其經定義為離子電流時間週期(例如離子電流階段的長度)與波形週期T
P(例如鞘層崩解階段的長度+離子電流階段的長度)的比值,該啟動時間是大於50%、或大於70%,像是在80%與95%之間。在一些實施例中,具有波形週期為週期T
P(例如大約2.5 µs)的一電壓波形經連續地重複在短脈衝週期為大約100微秒(µs)與大約10毫秒(ms)之間的一波形短脈衝內。PV波形的短脈衝能具有在大約5%~100%之間的短脈衝工作週期,像是在大約50%與大約95%之間,其中工作週期是短脈衝週期除以短脈衝週期加上分隔該等短脈衝週期的非短脈衝週期(即沒有生成PV波形)的比例。如圖示,鞘層崩解階段可具有一持續時間T
SH,其可為大約200ns。
波形產生器範例
第4圖描繪按照本揭示案之特定實施例的一脈衝器400(也在本文中稱為波形產生器)。在一些實施例中,脈衝器400可被包括一電力供應中(例如PV波形產生器150)。如圖示,脈衝器400包括數個堆疊的感應加法器模組(例如440、442、444),且可包括脈衝電容元件402、404、及406(經標記為C1、C2、C3、及C4)還有電晶體410、412、及414(經標記為Q1、Q2、及Q3)。電晶體410、412、及414可為具有平行二極體(例如主體(body)二極體D1、D2、及D3)的電力電晶體(例如金屬氧化物半導體場效電晶體(MOSFET))。各電晶體410、412、414可當作開關作動,且可在本文中稱為開關。在一些實施例中,電晶體410、412、414可經實施在一印刷電路板上。電容元件402、404、及406可作為可利用充電電路(像是描繪在第12圖中的電路)來充電的電壓儲存元件。在一些實施例中,電晶體410、412、及414可各經耦接至一閘極驅動電路418、420、422。各閘極驅動電路418、420、422可具有一觸發(trigger),其可從系統控制器126接收信號以被啟用或停用來設定個別電晶體410、412、414內之閘的狀態。描繪在第4圖、第6圖和第12圖中的電容元件在效應上乃作為電壓源。儘管範例脈衝器400描繪了電容元件來促進理解,可使用任何適用的電壓源。
電阻元件424(標記為R1)代表經耦接至負載426的脈衝器的一內部串聯電阻元件。複合負載426(其可由電漿處理腔室中形成的電漿所形成)可由電容元件428(標記為C4)及電阻元件430(經標記為R2)代表。如圖示,電容元件406及電晶體414形成一第一電壓級444(例如模組),而電容元件404及電晶體412形成一第二電壓級442(例如模組)。脈衝器400也包括具有電容元件402及電晶體410的一第三電壓級440(例如模組)。脈衝器400的輸出經耦接至一共同節點480,該共同節點耦接(例如電容耦接)至複合負載426。儘管脈衝器400經實施為有三個電壓級,然本揭示案的態樣可經實施為具有一個、兩個、或超過三個電壓級。在脈衝器400的一些實施例中,該等電壓級中一或更多者可被重複一或更多次,像是一種配置方式包括一第一電壓級444、兩個或更多個第二電壓級442、以及一第三電壓級440。
在一些實施例中,共同節點480及負載426經配置以經電容耦接至支撐座107,因為由RF產生器118提供給支撐座107的RF電力遞送。在一些實施例中,共同節點480可經配置以經電容耦接至藉由節點190(第1圖)提供的一陰極RF饋入。在電漿處理期間,電漿101將當作複合負載426作動。
在一些實施例中,且如第4圖中所示,脈衝器400可包括一第一電壓級444。第一電壓級444具有一第一開關(例如電晶體414、閘極驅動電路422、及觸發的組合),該第一開關有一第一端子在節點N9處經耦接至一第一電壓源(例如電容元件406)的第一端子。該第一開關的第二端子在節點N11處經耦接至一接地參考點。第一電壓級444可進一步包括具有一核心476的第一變壓器470、在節點N10經耦接至第一電壓源406之第二端子的一主要繞組472、及具有其第一末端經由節點N12耦接至該第一接地參考點的一次要繞組474。第一變壓器的主要繞組也於節點N11處經耦接至該接地參考點。第一電壓級444可進一步包括一第一二極體D3,該第一二極體平行於第一變壓器470的主要繞組472。二極體D3的第一末端可於節點N10經耦接至第一電壓源406之第二端子與主要繞組,而二極體D3的第二末端可經由節點N12耦接至第一開關414的第一端子與主要繞組。該第一變壓器可具有一第一變壓比。該變壓器的比例是主要繞組472中主要轉彎(W
p)之個數與次要繞組474中次要轉彎(W
s)之個數之間相對於彼此的比例。該變壓比(即W
p:W
s)產生升壓電壓變壓器(即W
s>W
p)抑或降壓電壓變壓器(即W
s<W
p)。
在一些實施例中,且如第4圖中所示,脈衝器400可包括一第二電壓級442。第二電壓級442具有一第二開關(例如電晶體412、閘極驅動電路420、及觸發的組合),該第二開關有第一端子在節點N5處經耦接至一第二電壓源(例如電容元件404)的第一端子。該第二開關的第二端子在節點N7處經耦接至一第二接地參考點。第二電壓級442可進一步包括具有一核心466的一第二變壓器460、在節點N6處經耦接至第二電壓源404之第二端子的一主要繞組462、及一次要繞組464其第一末端耦接至第一變壓器470之次要繞組474的第二末端。第二變壓器460的主要繞組也於節點N7處經耦接至接地參考點。第二電壓級442可進一步包括一第二二極體D2,其平行於第二變壓器460的主要繞組462。二極體D2的第一末端可於節點N6經耦接至第二電壓源404之第二端子與主要繞組,而二極體D2的第二末端可經由節點N8耦接至第二開關412的第一端子與主要繞組。第二變壓器460可具有一第二變壓比,如以上所述。在脈衝器400的一些實施例中,像是其中僅有兩電壓級(即電壓級442及444)的配置方式中,第二變壓器460的次要繞組464的第二末端可經配置以藉由共同節點480耦接至設置在處理腔室100內的一電極,像是偏壓電極104。
在一些實施例中,且如第4圖中所示,脈衝器400可包括一第三電壓級440。第三電壓級440具有一第三開關(例如電晶體410、閘極驅動電路418、及觸發的組合),該第三開關有第一端子在節點N1處經耦接至第三電壓源(例如電容元件402)的第一端子。第三開關的第二端子於節點N3處經耦接至第三接地參考點。第三電壓級440可進一步包括具有一核心456的一第三變壓器450、於節點N2處經耦接至第三電壓源402之第二端子的一主要繞組452、及具有其第一末端經耦接至第二變壓器460的次要繞組464之第二末端的一次要繞組454。第三變壓器的主要繞組也於節點N3經耦接至接地參考點。第三電壓級440可進一步包括一第三二極體D1,其平行於第三變壓器450的主要繞組452。二極體D1的第一末端可於節點N2耦接至第三電壓源402的第二端子及主要繞組,而二極體D1的第二末端可經由節點N4耦接至第三開關410的第一端子及主要繞組。該第三變壓器可具有一第三變壓比,如以上所述。在脈衝器400的一些實施例中(如第4圖中所示),第三變壓器450的次要繞組454的第二末端可經配置以藉由共同節點480耦接至設置在處理腔室100內的一電極,像是偏壓電極104。
在一些實施例中,運用在脈衝器400之模組440、442、444的變壓器450、460、470中的核心(例如456、466、476)被用以調整對於脈衝器400為可行的輸出波形。該等核心(例如456、466、476)的特性指示出脈衝器400所能產生之輸出波形的最大允許開關頻率和脈衝寬度。核心(例如456、466、476)能對脈衝器400所產生之輸出波形有影響的一些特性包括磁飽和通量密度、磁通量擺幅、殘餘通量密度、截面面積、體積、及重量。在一些實施例中,核心(例如456、466、476)之各者具有以下之至少一者:在1.4特斯拉(T)與1.8 T之間的磁飽和通量密度、在2.4 T與3.6 T之間的磁通量擺幅、在0.2 T與0.8 T之間的殘餘通量密度、在6平方公分(cm
2)與9 cm
2之間的截面面積、在2公斤(kg)與4 kg之間的重量、及在700立方公分(cm
3)與1500 cm
3之間的體積。
在一些實施例中,不同核心(例如456、466、476)可被使用在脈衝器400的不同模組440、442、444中,以自訂輸出波形的特性。在一些實施例中,各模組440、442、444具有其自身的接地參考點。在一些實施例中,變壓器450、460、470之各者中的第一、第二及第三變壓比是相同的。在其他實施例中,脈衝器400之變壓器中的一或更多者與脈衝器400內其他級中的其他變壓器有不同變壓比。此外,被接地的各模組也可減少在較高電壓之閘極驅動電路之間的隔絕崩潰(isolation breakdown)(例如電弧),其能證實在某些習用脈衝器設計中是有問題的。在一些實施例中,各模組440、442、444的輸出端經綁定到與閘極驅動電路輸入端相同的接地參考點,因此脈衝器400可在操作期間受到較少的電磁干擾。
在一些實施例中,第一變壓器的變壓比(即第一變壓比)可與第二變壓器的變壓比(即第二變壓比)相同。在其他實施例中, 第一變壓器的變壓比可不同於第二變壓器的變壓比。例如,第一變壓比可為小於第二變壓比,或者大於第二變壓比。在一些實施例中,第三變壓器的變壓比(即第三變壓比)可相同於第一變壓器和第二變壓器的變壓比。在其他實施例中,第三變壓器的變壓比可不同於第一變壓器和第二變壓器的變壓比。對於各電壓級440、442、444(例如模組)的變壓器之中可有任何的變壓比組合。該等變壓器的變壓比可經修改以藉不同電壓與不同脈衝寬度來自訂脈衝器400之輸出電壓波形。各模組440、442、444能遞送一脈衝,而於共同節點480處看到的各脈衝依儲存在相應模組之電容器402、404、406中的電壓與變壓比而定。藉相異變壓比來觸發一脈衝器400的多個模組可允許修改產生在該等模組之次要繞組中的輸出信號形狀及脈衝寬度以適合一所欲IEDF。在一些實施例中,電壓級440、442、444(例如模組)之各者的變壓器(例如450、460、470)以串聯連接。
如圖示,依照所實施之波形的特性,電容元件402、404、及406之各者可經充電至一特定電壓。各模組440、442、444中的電晶體、閘極驅動電路、及觸發可作為被系統控制器126所控制之開關來操作。例如,當各模組440、442、444中的閘極驅動電路、以及觸發(例如電晶體410、412、414)作為一關閉的開關操作時,電容元件402、404、及406之各者經充電至800伏特。在一些實施方式中,電容元件402、404、及406可經充電至較高或較低電壓以實施不同電壓位準來得到適合不同實施方式的波形。在一些實施例中,電壓級440、442、及444之各者可具有一模組化設計,其促進在故障的情況下的簡單更換。用於產生第3A圖中顯示之波形的脈衝器400的操作將針對第6圖~第11圖更詳細說明。
所產生的電壓波形範例
在一些實施例中,電晶體410、412、及414可被不同時間實例中的閘極信號觸發,以增加開關頻率並解決商業電晶體在各種處理應用(像是電漿蝕刻應用)中電壓脈衝之遞送上受限制的開關頻率。以此方式,能於不同時間戳記時獲得輸出電壓,藉而增加輸出端(例如共同節點480)處的有效開關頻率且有效地解決典型商業電晶體的開關頻率限制。在一些實施例中,經施加至電晶體410、412、及414之閘極信號的一些參數可被改變。例如,可修改觸發閘極信號開始時間及/或觸發閘極信號寬度以使能產生具有不同波形特性的輸出電壓,如本文中所描繪及說明。在一些實施例中,使能夠在處理腔室100內之一電極處有不同幅度之輸出電壓的多個不同DC電力模組可(例如)於共同節點480處經耦接至模組440、442、及444,該共同節點480經耦接至偏壓電極104,經電容耦接至複合負載426。在一些實施例中,模組440、442、及444之中各異的工作週期比例能導致在一脈衝中具有不同峰值幅度的輸出電壓。額外地,經施加至模組440、442、及444的閘極信號可經觸發以在所產生電壓波形製造重疊,此可協助致能輸出波形的更佳的IEDF。
第5A圖是顯示按照本揭示案之特定實施例在一操作模式期間開關(例如電晶體410、412、414)之狀態與脈衝器400之所產生電壓的圖。關聯於第5圖~第11D圖中所描繪之各不同操作模式與電路元件的電壓大小,其本意為提供可在生成經脈衝波形之期間建立的電壓的範例,而非意圖對本文中所揭露內容的範疇設限。圖500A是顯示在閘極信號(例如觸發)被同時地施加至電晶體410、412、及414(例如Q1、Q2、Q3)之相應閘極驅動電路418、420、422之各者(例如閘極驅動418觸發電晶體410,閘極驅動420觸發電晶體412,閘極驅動422觸發電晶體414)時電晶體410、412、及414(例如Q1、Q2、Q3)之各者的狀態的圖。所施加的閘極信號可為電晶體對電晶體邏輯(TTL)信號,且可在1.5V及5V之間。在一些實施例中,系統控制器126可控制閘極信號對閘極驅動電路418、420、422的施加,以啟用模組440、442、及444的電晶體410、412、及414。在第5A圖中的圖500A中,一閘極信號(例如V
1)經同時地(例如在時間T
1與T
2之間)分別施加至模組440、442、及444之各者,而各模組產生一脈衝觸發TR1、TR2、TR3。模組440產生脈衝TR1,模組442產生脈衝TR2,而模組444產生脈衝TR3。第5B圖中顯示的圖500B描繪在時間T
1到T
2之間經產生脈衝P1、P2、P3所造成的於共同節點480處可見的電壓(例如V
2)。該脈衝可如所描繪地在區間(interval)T
3與T
4期間重複。同時地觸發脈衝器400的多個模組可允許共同節點480處的輸出電壓(例如負載426處的電壓)被增加。例如,若脈衝器的各模組在各變壓器450、460、470的次要繞組部分產生一1200V脈衝,則經耦接至共同節點480的電極將看到3600V,因為同時的脈衝P1、P2、P3之各者的電壓將加在一起。
第6圖描繪在第4圖中所描繪之脈衝器400的進一步簡化示意圖,且描繪按照本揭示案之特定實施例之一脈衝器400的操作模式的範例。描繪在第6圖中的操作模式及電路元件圖示了在一經脈衝波形之生成期間的不同時間期間如何建立不同電壓的範例,而因此本意不為本文中提供揭示內容的範疇作出限制。其他實施例可包括模組440、442、及444之其他組合被同時地或在不同時間被其對應的閘極驅動電路418、420、及422觸發,以為了在處理期間的不同時間在共同節點480處產生所欲電壓。在描繪於第6圖中的範例中,電晶體410、412、及414由開關表示且其功能上作為開關操作。在此範例中,僅模組440的一閘極驅動418被系統控制器126提供的一閘極信號命令觸發,而因此表示電晶體410的開關是關閉的。在此範例中,第二模組442的閘極驅動電路420與第三模組444的閘極驅動電路422沒有被系統控制器126提供的一閘極信號命令觸發,而因此代表電晶體412及414的開關維持開啟。
按照本揭示案之特定實施例,第7A圖是顯示在第6圖中所描繪示意配置方式中例示的操作模式期間脈衝器400之一電晶體410(例如Q1)的狀態的圖。在第5A圖、第5B圖、及第7A~9B圖中,V
1代表一閘極信號,其可為TTL信號(例如在1.5V與5V之間),而V
2代表輸出電壓,其可如本文中所述地調整。如以上所述,施加一開關信號(例如閘極信號)關閉一開關(例如電晶體410)以致能一脈衝的產生。第7A圖中的圖700A描繪當一閘極信號被閘極驅動電路418施加至電晶體時(例如在時間T
1與T
2之間)電晶體410的狀態。圖700A中,一閘極信號(例如V
1)經施加至模組440中的閘極驅動電路418作為觸發脈衝TR1,而因此僅被觸發的模組440產生一脈衝P1,此經描繪在圖700B中。第7B圖中顯示的圖700B描繪在時間T1到T2之間由模組440的經產生脈衝P1所造成的於共同節點480處可見的電壓(例如V
2)。與上面的範例相反,當脈衝器400的多個模組被同時地觸發時,觸發僅單一個模組產生較小的輸出電壓,因為其他模組在相同時間沒有產生脈衝電壓。當超過一個模組在同時產生一脈衝電壓時,因為各模組之次要繞組的串聯連接(其從節點N11處連接的地面延伸到共同節點480)所致,經產生的脈衝將被加在一起。因此,在一些實施例中,同時使用多個模組的脈衝器可以比只使用單一個模組的脈衝器產生更高的脈衝。如第7B圖中所示,在區間T
3與T
4期間可重複脈衝。例如,若脈衝器的各模組經配置以在連接至各模組的次要繞組中產生一1200V脈衝,則此例中共同節點480將僅看到1200V,因為僅一個模組(例如模組440)被命令產生脈衝。
第8A圖是按照本揭示案之特定實施例將在另一範例操作模式期間開關(例如電晶體410、412、414)的狀態作為被用來從脈衝器400產生電壓脈衝的時間的函數來顯示的圖。圖800A是顯示在一閘極信號於不同時間被施加至電晶體410、412、及414(例如Q1、Q2、Q3)之個別相應閘極驅動電路418、420、422之各者時電晶體410、412、及414之各者狀態的圖。在一些實施例中,系統控制器126可控制閘極信號對閘極驅動電路418、420、422的施加,以在一脈衝序列內的所欲時間點啟用模組440、442、及444之各者的電晶體410、412、及414。在圖800A中,在時間T
1及T
2之間一閘極信號(例如V
1)先被施加至模組440以產生脈衝觸發TR1,接著在時間T
3與T
4之間被施加至模組442以產生脈衝觸發TR2,最後在T
5與T
6之間被施加至模組444以產生觸發TR3。圖800B(在第8B圖中)描繪在各相應時間區間期間所產生的電壓脈衝P1、P2、P3造成的於共同節點480處可見的電壓(例如V
2)。連續觸發一脈衝器400的多個模組可允許輸出電壓的頻率增加。該等脈衝可如圖示地重複於區間T
7~T
8、T
9~T
10、及T
11~T
12期間。在一些實施例中,由於從脈衝器內各模組的接續脈衝遞送,由脈衝器400提供之經提供脈衝頻率(F1)能達到遮蔽各模組中可見之開關硬體頻率(F2)限制的一輸出脈衝頻率,因為各模組中的硬體僅需要在脈衝序列之內其自己的位置遞送一脈衝(例如在第8B圖中之序列中每隔三個脈衝)而因此防止各模組超過其可信賴地遞送脈衝的能力而以超出硬體的功能極限(例如電壓限制、開關頻率限制、及電晶體410、412、及414的其他限制)的頻率(F1)遞送。在一脈衝期間,因為長導線及所關聯之雜散電感,電壓波形可經歷某數量的振鈴效應(ringing)(例如802)。藉由脈衝器400的設計以及所運用的處理系統10,振鈴效應的數量可經調整及/或最小化。
第9A圖是顯示按照本揭示案之特定實施例在另一操作模式範例期間脈衝器400的開關(例如電晶體410、412、414)的狀態的圖。圖900A是顯示了當閘極信號在不同時間經施加至相應閘極驅動電路418、420、422之各者時各電晶體410、412、及414(例如Q1、Q2、Q3)之狀態的圖。在一些實施例中,系統控制器126可控制閘極信號對閘極驅動電路418、420、422的施加,以啟用模組440、442、及444的電晶體410、412、及414。如第9A圖中所示,系統控制器126可向閘極驅動電路418、420、422發送具有不同脈衝寬度的閘極信號。圖900A中,在時間T
1及T
2之間一閘極信號(例如V
1)先被施加至模組440以產生觸發脈衝TR1。在時間T
3與T
4之間另一閘極信號(例如V
1)經施加至模組442以產生觸發脈衝TR2。在時間T
5與T
6之間一第三閘極信號(例如V
1)經施加至模組444以產生觸發脈衝TR3。圖900B(如第9B圖中所示)描繪在由系統控制器126提供之信號所界定的各相應時間區間期間所產生的電壓脈衝P1、P2、P3造成的於共同節點480處可見的電壓(例如V
2)。因為所施加閘極信號之各異脈衝寬度,造成提供至共同節點480之各脈衝P1、P2、及P3的寬度不同。以此方式,一輸出波形能包括各具不同脈衝寬度的經產生脈衝, 該等脈衝經提供在一脈衝序列內的一所欲時間點。利用具有不同脈衝寬度的不同閘極信號來觸發一脈衝器400的多個模組可允許修改輸出信號形狀及脈衝寬度以適合一所欲IEDF。該等脈衝可如圖示地重複於區間T
7~T
8、T
9~T
10、及T
11~T
12期間。
第10A圖是顯示按照本揭示案之特定實施例在另一操作模式範例期間脈衝器400的開關(例如電晶體410、412、414)的狀態的圖。第10A及10B圖中,V
1代表一閘極信號,其可為TTL信號(例如在1.5V與5V之間),而V
2、V
3、V
4代表輸出電壓(例如1000V、2000V、3000V)。圖1000A是顯示了當閘極信號在不同時間經施加至相應閘極驅動電路418、420、422之各者時各電晶體410、412、及414(例如Q1、Q2、Q3)之狀態的圖。在一些實施例中,系統控制器126可控制閘極信號對閘極驅動電路418、420、422的施加,以啟用模組440、442、及444的電晶體410、412、及414。系統控制器126可將具有不同脈衝寬度的閘極信號發送至閘極驅動電路418、420、422。在圖1000A中,在時間T
1及T
2之間一閘極信號(例如V
1)先被施加至模組440以產生觸發脈衝TR1。在時間T
3及T
4之間另一閘極信號(例如V
1)經施加至模組442以產生觸發脈衝TR2。在時間T
5及T
6之間第三閘極信號經施加至模組444以產生觸發脈衝TR3。圖1000B(顯示在第10B圖中)描繪因為各模組440、442、及444在其相應時間區間期間產生的脈衝P1、P2、P3所造成在共同節點480處產生的電壓。在時間T
1及T
2之間的時間區間期間,脈衝P1包括一電壓V
3,因為將儲存在電容元件402(例如電壓源)中之電壓(V
s1)往主要繞組452的遞送所致,其由於變壓器450的第一變壓比而於次要繞組454處產生電壓V
3。在時間T
3與T
4之間的時間區間期間,脈衝P2包括一電壓V
4,因為將儲存在電容元件404(例如電壓源)中之電壓(V
s2)往主要繞組462的遞送所致,其由於變壓器460的第二變壓比而於次要繞組464處產生電壓V
4。在時間T
5與T
6之間之時間區間期間,脈衝P3包括一電壓V
2,因為將儲存在電容元件406(例如電壓源)中之電壓(V
s3)往主要繞組472的遞送所致,其由於第一變壓器470的第一變壓比而於次要繞組474處產生電壓V
2。各脈衝P1、P2、及P3之寬度與大小不同,因為所施加的相異脈衝寬度及分別藉由變壓器450、460、及470的使用所施加的不同變壓比及/或輸入電壓。以此方式,能產生具有不同電壓及不同脈衝寬度的輸出波形,此可致能輸出波形的較佳的IEDF。在一例中,該等模組能包括各有相同變壓比的變壓器,同時電壓輸入來源經配置以提供不同峰值輸入電壓,使得在輸出電壓波形脈衝P1、P2、及P3中能產生不同峰值電壓位準。另一例中,該等模組包括各有不同變壓比的變壓器,同時該等電壓輸入來源各經配置以提供相同峰值輸入電壓,使得在輸出電壓波形脈衝P1、P2、及P3中能產生不同峰值電壓位準。利用輸入電壓以及具有不同脈衝寬度的不同閘極信號來觸發一脈衝器400的多個模組可允許修改輸出信號形狀及脈衝寬度以適合一所欲IEDF。該等脈衝可如圖示地重複於區間T
7~T
8、T
9~T
10、及T
11~T
12期間。
第11A圖是顯示按照本揭示案之特定實施例在另一操作模式範例期間脈衝器400的開關(例如電晶體410、412、414)的狀態的圖。在第11A~11D圖中,V
1及V
5各代表一閘極信號,其可為TTL信號(例如在1.5V與5V之間),而V
2、V
3、V
4、V
6、V
7代表輸出電壓(例如1000V、2000V、3000V)。圖1100A是顯示了當閘極信號經施加至相應閘極驅動電路418、420、422之各者使得該等閘極信號重疊時各電晶體410、412、及414(例如Q1、Q2、Q3)之狀態的圖。在一些實施例中,系統控制器126遞送一閘極信號至閘極驅動電路418、420、422以啟用模組440、442、及444的電晶體410、412、及414,來產生第11B圖中所示的脈衝序列。系統控制器126可將包括時間上重疊之脈衝的閘極信號發送至閘極驅動電路418、420、422。在圖1100A中,在時間T
1及T
2之間一閘極信號(例如V
1)先被施加至模組440以產生觸發脈衝TR1。在時間T
1與T
3之間另一閘極信號經施加至模組442以產生觸發脈衝TR2。在時間T
1與T
4之間第三閘極信號經施加至模組444以產生觸發TR3。在此,在時間T
1與T
2之間的時間區間包括被提供給閘極驅動電路418、420、422的相重疊的閘極信號(例如V
1),繼續在時間T
2與T
3之間相重疊的閘極信號(例如V
1)被提供給閘極驅動電路420、422,以及在T
3與T
4之間的時間區間期間一接續閘極信號(例如V
1)被提供至閘極驅動電路422。圖1100B(如第11B圖中所示)描繪經產生電壓脈衝P1、P2、P3在第11A圖中所示的該等脈衝之個別時間區間期間所造成的電壓脈衝。在時間T
1與T
2之間之時間區間期間,第一部分的脈衝被產生,其包括模組440、442、444所產生的脈衝P1、P2及P3,以製造具有電壓V
2的一部分脈衝。在時間T
2與T
3之間的時間區間期間,第二部分的脈衝被產生,其包括模組442、444所產生的脈衝P2及P3產生,以製造具有電壓V
3的一部分脈衝。在時間T
3與T
4之間的時間區間期間,第三部分的脈衝被產生,其包括模組444所產生的脈衝P3,以製造具有電壓V
4的一部分脈衝。如第11B圖中所示,一脈衝的各部分可重複在時間區間T
5與T
6、T
6與T
7、及T
7與T
8期間。在一些實施例中,提供至閘極驅動電路418、420、422的脈衝P1、P2、P3是系統控制器所產生,使得兩個或更多個脈衝的至少部分在時間上重疊。如本文中所述,模組440、442、444中之變壓器的變壓比可經修改以將脈衝器400之輸出電壓波形自訂為具有不同電壓及不同脈衝寬度。藉相異變壓比觸發一脈衝器400的多個模組可允許輸出信號形狀及脈衝寬度讓其重疊,可允許致能在電漿處理期間之一所欲IEDF分佈的波形的生成。
第11C圖是顯示按照本揭示案之特定實施例在另一操作模式範例期間脈衝器400的開關(例如電晶體410、412、414)的狀態的圖。改變系統控制器126所提供給閘極驅動電路418、420、422的閘極信號的操作被使用以啟用模組440、442、及444的電晶體410、412、及414,來致能在電壓上升壓(或降壓)的電壓波形,以允許致能一所欲IEDF分佈的波形的生成。可操控經施加至閘極驅動電路418、420、422之閘極信號的時間,以控制各模組440、442、及444所提供之電壓脈衝P1、P2、P3的重疊來產生不同波形類型,以在電漿處理期間形成較佳的IEDF。圖1100D(第11D圖)描繪一電壓波形的範例,該電壓波形因為經施加的觸發脈衝TR4、TR5、TR6而造成於時間T
10降壓,並於時間T
11升壓。圖1100D是顯示了當不同閘極信號經施加至相應閘極驅動電路418、420、422之各者使得該等閘極信號重疊時各電晶體410、412、及414(例如Q1、Q2、Q3)之狀態的圖。在一些實施例中,系統控制器126可控制閘極信號對閘極驅動電路418、420、422的施加,以啟用模組440、442、及444的電晶體410、412、及414。閘極驅動電路418、420、422可施加閘極信號使得脈衝重疊。在圖1100C中,在時間T
9與T
10之間一閘極信號(例如V
5)先被施加至模組440以產生觸發脈衝TR4,接著在時間T
10與T
11之間被施加至模組442以產生觸發脈衝TR5,而最後在時間T
11與T
12之間被施加至模組444以產生觸發脈衝TR6。在此,在時間T
9與T
10之間的區間與時間T
10與T
11之間的區間重疊,而在時間T
10與T
11之間的區間與時間T
11與T
12之間的區間重疊。圖1100D描繪在所產生之脈衝P1、P2、P3之相應區間期間因其造成的在共同節點480處所見的電壓。在時間T
9與T
10之間的區間期間,脈衝P1產生一電壓V
6。在時間T
10與T
11之間的區間期間,脈衝P2產生一電壓V
7。在時間T
11與T
12之間的區間期間,脈衝P3產生一電壓V
6。該等脈衝可如圖示地重複於區間T
13~T
14、T
14~T
15、及T
15~T
16期間。此例中,脈衝P4與P6兩者都包括比脈衝P5中包括之電壓(例如V
7)更高的電壓(例如V
6),如圖所示。在模組能夠產生不同電壓之處觸發一脈衝器400的多個模組可允許致能一所欲IEDF分佈的各種波形的生成。
第12圖描繪按照本揭示案之特定態樣被用以充電一電容元件1212的一充電電路1200。電容元件1212可對應於電容元件402、404、及406之任一者。換言之,針對電容元件402、404、及406之各者可實施一充電電路(例如類似充電電路1200)來將電容元件充電至其相應電壓,如本文中所述。充電電路1200可包括一反相器1202,用於將一DC電壓轉換成交流(AC)電壓。可提供AC電壓至變壓器1204的主要繞組1206。該變壓器可於次要繞組1208處產生比主要繞組1206處之AC電壓更高的AC電壓。例如,為將反相器1202充電,次要繞組1208處的AC電壓可具有1200伏特的峰值電壓。次要繞組1208處的AC電壓可被提供至一整流器1210以產生被用以將電容元件1212充電的DC信號。
電壓波形產生範例
第13圖是描繪按照本揭示案之特定實施例的波形產生方法1300的程序流程圖。方法1300可由一波形產生系統進行,該系統包括像是脈衝器400之波形產生器及/或像是系統控制器126的控制電路系統。以下對方法1300的討論乃結合第4圖中所描繪脈衝器400之示意圖所說明。如上討論,在一些實施例中,描繪在第4圖中的共同節點480經配置以耦接至電漿處理系統10內的一電極。
於活動1302,於一第一時間波形產生器(例如脈衝器400)從一第一電壓級444遞送一第一脈衝。從第一電壓級遞送第一脈衝將包括藉由關閉一第一開關(例如電晶體414)來於一共同節點480處產生一第一電壓脈衝,該第一開關經耦接至第一電壓源406及第一變壓器470。第一電壓源406經配置以提供一第一電壓V
P1至第一變壓器470的主要繞組472。該第一變壓器具有第一變壓比,而因此一第二電壓V
T2形成在第一變壓器的次要繞組474中,其中第二電壓V
T2等於第一電壓V
P1乘以變壓比。共同節點480(其經耦接至次要繞組474的第一端子)接著將看到所形成的第一脈衝包括經提供的第二電壓V
T2。
於活動1304,於一第二時間波形產生器(例如脈衝器400)從一第二電壓級442遞送一第二脈衝。從第二電壓級442遞送第二脈衝將包括藉由關閉經耦接至第二電壓源404及第二變壓器460的一第二開關(例如電晶體412)來於共同節點480處產生第二電壓脈衝。第二電壓源404經配置以提供一第三電壓V
P3至第二變壓器460的主要繞組462。該第二變壓器具有一第二變壓比,而因此一第四電壓V
T4形成在第二變壓器的次要繞組464中,其中第四電壓V
T4等於第三電壓V
P3乘以該第二變壓比。經耦接至次要繞組464之第一端子的共同節點480將接著看到經形成的第二脈衝,其包括經提供的第四電壓V
T4。當第二模組442遞送第二脈衝時,第二脈衝可如所欲地與活動1302中提供之經產生第一脈衝結合,像是關聯於第5A~11D圖於上討論之脈衝配置方式中的一或更多者。
於活動1306,於一第三時間波形產生器(例如脈衝器400)從一第三電壓級440遞送一第三脈衝。從第三電壓級440遞送第三脈衝將包括藉由關閉經耦接至第三電壓源402及第三變壓器450的一第三開關(例如電晶體410)來於共同節點480處產生第三電壓脈衝。第三電壓源402經配置以提供一第五電壓V
P5至第三變壓器450的主要繞組452。該第三變壓器具有一第三變壓比,而因此一第六電壓V
T6形成在第二變壓器的次要繞組464中,其中第六電壓V
T6等於第五電壓V
P5乘以該第三變壓比。經耦接至次要繞組454之第一端子的共同節點480將接著看到經形成的第三脈衝,其包括經提供的第六電壓V
T6。當第三模組442遞送第三脈衝時,第三脈衝可如所欲地與活動1302及1304中提供之經產生第一及/或第二脈衝結合,像是關聯於第5A~11D圖於上討論之脈衝配置方式中的一或更多者。
在方法1300的一些實施例中,活動1302、1304、1306可各被一時間區間所分隔。在方法1300的其他實施例中,在活動1302、1304、1306期間提供之脈衝的遞送可為至少部分重疊的,如以上所說明。如本文中所述,第5A~5B圖及第7A~11D圖中提到的方法1300可涉及在電漿處理期間藉由相異變壓比來觸發一脈衝器400的多個模組,以將脈衝器400的輸出電壓波形自訂為具有不同電壓及不同脈衝寬度,以為了致能可致能在電漿處理期間之一所欲IEDF分佈的波形產生。
在一些實施例中,第三變壓比可與第一變壓比或第二變壓比相同。在其他實施例中,第三變壓比可不同於第一變壓比及第二變壓比。如上所述,在一些實施例中,第一變壓比、第二變壓比及第三變壓比為全部相同或全部彼此不同。在一例中,該等變壓比可在1:1與1:4之間的範圍內,像是在1:1.5與1:4之間。在一替代範例中,該等變壓比可在4:1與1:1之間的範圍內,像是在2:1與1.5:1之間。
在一些實施例中,分別由第一電壓源406、第二電壓源404及第三電壓源402提供的第一電壓V
P1、第三電壓V
P3、及第五電壓V
P5全部被設定於相同電壓位準(例如+100到+800 伏特)。在一些實施例中,第一電壓V
P1、第三電壓V
P3、及第五電壓V
P5中一或更多者被設定至不同電壓位準。在一例中,第一電壓V
P1、第三電壓V
P3、及第五電壓V
P5被設定到範圍從+100到+800伏特的電壓位準。另一例中,第一電壓V
P1、第三電壓V
P3、及第五電壓V
P5被設定到範圍從100到10,000伏特的電壓位準,像是100到1,000伏特。在一些實施例中,在共同節點480處所見的輸出電壓的極性可為負的,且可被改變使得電壓極性為正的。
處理腔室100包括一系統控制器126,該系統控制器包括CPU 133、記憶體134、及支援電路135,如以上所述。在一些實施例中,記憶體134可為一電腦可讀取媒體記憶體,其經配置以儲存指令(例如電腦可執行代碼),當該等指令由CPU 133執行時致使處理腔室100進行描繪在第13圖中並如上所述之操作,或其他用於進行本文中所討論以提供電壓波形的各種技術的操作。
在一些實施例中,CPU 133具有的電路系統經配置以實施儲存在電腦可讀取媒體(例如記憶體134)中的代碼。例如,電路系統包括用於在第一時間從第一電壓級(例如444)遞送第一脈衝以及在第二時間從第二電壓級(例如442)遞送第二脈衝的電路系統。在一些實施例中,該電路系統包括用於在第三時間從第三電壓級(例如440)遞送第三脈衝的電路系統。
額外考量
用語「經耦接」乃在本文中用以指稱兩物體之間的直接或間接耦合。例如,若物體A實體接觸物體B而物體B接觸物體C,則物體A及C仍可被認定為互相耦接—即使物體A及C並沒有直接互相實體接觸。舉例來說,即使第一物體從未直接實體與第二物體接觸,第一物體可經耦接至第二物體。
在上述內容乃針對本揭示案之實施例的同時,本揭示案的其他及進一步實施例可在沒有背離本揭示案之基本範疇的情況下被想出,且本案之範疇乃由以下申請專利範圍所決定。
10:處理系統
100:處理腔室
101:電漿
103:基板
104:偏壓電極
105:基板支撐件
105A:基板支撐表面
106:同軸電力遞送線
107:支撐座
108:陰極襯裡
109:電漿屏
110:石英管
111:絕緣器板
112:接地板
113:腔室體
114:邊緣環
115:邊緣控制電極
116:夾鉗網路
116A:偏壓補償電路元件
118:RF產生器
119:處理氣體源
120:真空出口
122:側壁
123:腔室蓋
124:腔室座
126:系統控制器
128:氣體入口
129:處理容積
133:CPU
134:記憶體
135:支援電路
136:基板支撐組合件
138:支撐軸桿
150:PV波形產生器
151:光學濾波組合件
155:DC電力供應
157:電力遞送線
158:電力遞送線
160:RF產生器組合件
161:第一濾波組合件
162:RF匹配電路
163:電漿產生器組合件
167:RF電力遞送線
190:節點
196:第一PV源組合件
197:第二PV源組合件
202:基板支撐件電容
204:雜散電容
206:電阻元件
400:脈衝器
402:電容元件
404:電容元件
406:電容元件
410:電晶體
412:電晶體
414:電晶體
418:閘極驅動電路
420:閘極驅動電路
422:閘極驅動電路
424:電阻元件
426:負載
428:電容元件
430:電阻元件
440,442,444:模組
450:第三變壓器
452:主要繞組
454:次要繞組
456,466,476:核心
460:第二變壓器
462:主要繞組
464:次要繞組
470:第一變壓器
472:主要繞組
474:次要繞組
480:共同節點
500A,500B:圖
700A,700B:圖
800A,800B:圖
900A:圖
1000A,1000B:圖
1100A,1100B,1100C,1100D:圖
1200:充電電路
1202:反相器
1204:變壓器
1206:主要繞組
1208:次要繞組
1210:整流器
1212:電容元件
1300:方法
1302:活動
1304:活動
1306:活動
C1,C2,C3,C4:脈衝電容元件
C
5:阻隔電容器
C
esc:靜電卡盤電容
C
stray:雜散電容
D1,D2,D3:主體二極體
I
comp:補償電流
I
load:負載電流
I
out:輸出電流
N:節點
N1,N2,N3,N4,N5,N6:節點
N7,N8,N9,N10,N11,N12:節點
P1,P2,P3:脈衝
Q1,Q2,Q3:開關
R1,R2:電阻元件
T
1,T
2,T
3,T
4,T
5,T
6,T
7,T
8:時間
T
9,T
10,T
11,T
12,T
13,T
14,T
15,T
16:時間
T
P:波形週期
T
SH:持續時間
TR1,TR2,TR3:脈衝觸發
U
LOAD:負載
U
OUT:輸出節點
V
1:閘極信號
V
2:電壓
因此為詳細理解本揭示案之上述特徵,可藉由參照實施例來得到對本揭示案之更特定說明(其已簡短摘要如上),部分實施例乃經描繪於隨附圖式中。然而,將注意到隨附圖式僅圖示例示性實施例,因此不應被認定為限制其範疇而可認可其他等效的實施例。
第1圖是按照一或更多個實施例的一處理系統的示意截面圖,該處理系統經配置以實施本文中闡述之方法。
第2圖描繪關聯於一處理腔室的雜散電容及基板支撐件電容。
第3A圖、第3B圖、及第3C圖描繪能在電漿處理期間所提供之電壓波形的範例。
第4圖描繪按照本揭示案之特定實施例的一脈衝器的示意圖。
第5A圖是按照本揭示案之特定實施例顯示在各種操作模式期間之脈衝器開關狀態的圖。
第5B圖是按照本揭示案之特定實施例顯示在第5A圖中描繪之操作模式期間由該脈衝器提供的輸出的圖。
第6圖描繪按照本揭示案之特定實施例的一脈衝器之操作模式的簡化示意表示。
第7A圖是按照本揭示案之特定實施例顯示在各種操作模式期間之脈衝器開關狀態的圖。
第7B圖是按照本揭示案之特定實施例顯示在第7A圖中描繪之操作模式期間由該脈衝器提供的輸出的圖。
第8A圖是按照本揭示案之特定實施例顯示在各種操作模式期間之脈衝器開關狀態的圖。
第8B圖是按照本揭示案之特定實施例顯示在第8A圖中描繪之操作模式期間由該脈衝器提供的輸出的圖。
第9A圖是按照本揭示案之特定實施例顯示在各種操作模式期間之脈衝器開關狀態的圖。
第9B圖是按照本揭示案之特定實施例顯示在第9A圖中描繪之操作模式期間由該脈衝器提供的輸出的圖。
第10A圖是按照本揭示案之特定實施例顯示在各種操作模式期間之脈衝器開關狀態的圖。
第10B圖是按照本揭示案之特定實施例顯示在第10A圖中描繪之操作模式期間由該脈衝器提供的輸出的圖。
第11A圖是按照本揭示案之特定實施例顯示在各種操作模式期間之脈衝器開關狀態的圖。
第11B圖是按照本揭示案之特定實施例顯示在第11A圖中描繪之操作模式期間由該脈衝器提供的輸出的圖。
第11C圖是按照本揭示案之特定實施例顯示在各種操作模式期間之脈衝器開關狀態的圖。
第11D圖是按照本揭示案之特定實施例顯示在第11C圖中描繪之操作模式期間由該脈衝器提供的輸出的圖。
第12圖描繪按照本揭示案之特定態樣經使用來充電一電容元件的一充電電路。
第13圖是一程序流程圖,描繪按照本揭示案之特定實施例的波形產生方法。
為促進理解,在可行之處已使用相同的參考元件符號來指稱圖中共有的相同元件。可想到一個實施例的元件及特徵可有益地併入其他實施例中而沒有進一步記載。
400:脈衝器
402:電容元件
404:電容元件
406:電容元件
410:電晶體
412:電晶體
414:電晶體
418:閘極驅動電路
420:閘極驅動電路
422:閘極驅動電路
424:電阻元件
426:負載
428:電容元件
430:電阻元件
440,442,444:模組
450:第三變壓器
452:主要繞組
454:次要繞組
456,466,476:核心
460:第二變壓器
462:主要繞組
464:次要繞組
470:第一變壓器
472:主要繞組
474:次要繞組
480:共同節點
C1,C2,C3,C4:脈衝電容元件
D1,D2,D3:主體二極體
N1,N2,N3,N4,N5,N6:節點
N7,N8,N9,N10,N11,N12:節點
Q1,Q2,Q3:開關
R1,R2:電阻元件
UOUT:輸出節點
Claims (20)
- 一種波形產生器,包含: 一第一電壓級,該第一電壓級具有: 一第一電壓源; 一第一開關,其中該第一電壓源的一第一端子經耦接至該第一開關的一第一端子; 一接地參考點,其中該第一開關的一第二端子經耦接至該接地參考點; 一變壓器,該變壓器具有一第一變壓比,該第一變壓器包含: 一主要繞組,該主要繞組經耦接至該第一電壓源的一第二端子及該接地參考點;及 一次要繞組,該次要繞組具有一第一末端及一第二末端,其中該第一末端經耦接至該接地參考點;及 一第二電壓級,該第二電壓級具有: 一第二電壓源; 一第二開關,其中該第二電壓源的一第一端子經耦接至該第二開關的一第一端子; 一第二接地參考點,其中該第二開關的一第二端子經耦接至該第二接地參考點; 一第二變壓器,該第二變壓器具有一第二變壓比,該第二變壓器包含: 一主要繞組,該主要繞組經耦接至該第二電壓源的一第二端子及該第二接地參考點;及 一次要繞組,該次要繞組具有一第一末端及一第二末端,其中該第一末端經耦接至該第一變壓器之該次要繞組的該第二末端,而該第二末端經配置以藉由一共同節點耦接至一負載。
- 如請求項1之波形產生器,其中 該第一電壓級進一步包含一第一二極體,該第一二極體與該第一變壓器之該主要繞組並聯耦接,及 該第二電壓級進一步包含一第二二極體,該第二二極體與該第二變壓器之該主要繞組並聯耦接。
- 如請求項2之波形產生器,其中該第一二極體經耦接至一第一節點及一第二節點,其中該第一節點在該電壓源之該第二端子與該主要繞組的一第一端子之間,而該第二節點在該第一開關之該第一端子與該主要繞組之一第二端子之間。
- 如請求項2之波形產生器,其中該第一二極體包含該第一開關的一主體二極體,或者該第二二極體包含該第二開關的一主體二極體。
- 如請求項1之波形產生器,其中該第一變壓比不同於該第二變壓比。
- 如請求項5之波形產生器,其中該第一變壓比小於該第二變壓比。
- 如請求項5之波形產生器,其中該第一變壓比大於該第二變壓比。
- 如請求項1之波形產生器,其中該第一開關包含: 一電晶體,該電晶體是一金屬氧化物半導體場效電晶體(MOSFET);及 一閘極驅動電路。
- 如請求項1之波形產生器,其中該第一電壓源包含一電容元件。
- 如請求項1之波形產生器,其中該共同節點經配置以經電容耦接至一電漿,該電漿形成在一電漿處理系統的一處理區域中。
- 如請求項10之波形產生器,其中該共同節點經耦接至設置在一基板支撐件內的一偏壓電極,該基板支撐件經設置在該電漿處理系統內。
- 如請求項1之波形產生器,進一步包含一第三電壓級,該第三電壓級具有: 一第三電壓源; 一第三開關,其中該第三電壓源的一第一端子經耦接至該第三開關的一第一端子; 一第三接地參考點,其中該第三開關的一第二端子經耦接至該第三接地參考點; 一第三變壓器,該第三變壓器具有一第三變壓比,該第三變壓器包含: 一主要繞組,該主要繞組經耦接至該第三電壓源的一第二端子及該第三接地參考點;及 一次要繞組,該次要繞組具有一第一末端及第二末端,該第一末端經耦接至該第二變壓器之該次要繞組的該第二末端,且該第三變壓器的該第二末端經配置以藉由該共同節點耦接至該負載;及 一第三二極體,該第三二極體與該第二變壓器的該主要繞組並聯耦接。
- 一種產生一電壓波形的方法,包含以下步驟: 於一第一時間,藉由關閉一第一開關來於一共同節點處產生一第一電壓脈衝,該第一開關具有一第一端子及一第二端子,其中: 該第一開關的該第一端子經耦接至該第一電壓源的一第一端子; 該第一電壓源的一第二端子經耦接至一第一變壓器的一主要繞組的一第一端子,該第一變壓器具有一第一變壓比; 該第一開關的該第二端子經耦接至該第一變壓器之該主要繞組的一第二端子且接地;及 該共同節點經耦接至該第一變壓器之一次要繞組的一第一端子;及 於一第二時間,藉由關閉一第二開關來於該共同節點處產生一第二電壓脈衝,該第二開關具有一第一端子及一第二端子,其中: 該第二開關的該第一端子經耦接至該第二電壓源的一第一端子; 該第二電壓源的一第二端子經耦接至一第二變壓器的一主要繞組的一第一端子,該第二變壓器具有一第二變壓比; 該第一開關的該第二端子經耦接至該第二變壓器之該主要繞組的一第二端子且接地; 由該第二電壓源在該第二電壓源之該第一端子與該第二端子之間產生一第二偏壓電壓;及 該第二變壓器之一次要繞組的一第一端子經耦接至該第一變壓器之該次要繞組的一第二端子, 其中該共同節點經設置在該第一變壓器之該次要繞組之該第一端子與一負載之間。
- 如請求項13之方法,其中該第一電壓脈衝與該第二電壓脈衝在時間上重疊。
- 如請求項13之方法,其中該共同節點經耦接至設置在一基板支撐件內的一偏壓電極,該基板支撐件經設置在一電漿處理系統內。
- 如請求項13之方法,其中該第一變壓比不同於該第二變壓比。
- 如請求項13之方法,其中該第一時間與該第二時間重疊。
- 如請求項13之方法,進一步包含以下步驟: 於一第三時間,藉由關閉一第三開關來於該共同節點處產生一第三電壓脈衝,該第三開關具有一第一端子及一第二端子,其中: 該第三開關的該第一端子經耦接至一第三電壓源的一第一端子; 該第三電壓源的一第二端子經耦接至一第三變壓器的一主要繞組的一第一端子,該第三變壓器具有一第三變壓比; 該第三開關的該第二端子經耦接至該第三變壓器之該主要繞組之一第二端子且接地; 一第三偏壓電壓由該第三電壓源產生在該第三電壓源的該第一端子與該第二端子之間;及 該第二變壓器的一次要繞組的一第一端子經耦接至該第一變壓器之該次要繞組的一第二端子。
- 如請求項18之方法,其中該第三變壓比不同於該第一變壓比與該第二變壓比。
- 一種用於產生一波形的非暫態電腦可讀取媒體,該非暫態電腦可讀取媒體包含指令,該等指令可由一或更多個處理器執行以: 於一第一時間,藉由關閉一第一開關來於一共同節點處產生一第一電壓脈衝,該第一開關具有一第一端子及一第二端子,其中: 該第一開關的該第一端子經耦接至該第一電壓源的一第一端子; 該第一電壓源的一第二端子經耦接至一第一變壓器的一主要繞組的一第一端子,該第一變壓器具有一第一變壓比; 該第一開關的該第二端子經耦接至該第一變壓器之該主要繞組的一第二端子且接地;及 該共同節點經耦接至該第一變壓器之一次要繞組的一第一端子;及 於一第二時間,藉由關閉一第二開關來於該共同節點處產生一第二電壓脈衝,該第二開關具有一第一端子及一第二端子,其中: 該第二開關的該第一端子經耦接至該第二電壓源的一第一端子; 該第二電壓源的一第二端子經耦接至一第二變壓器的一主要繞組的一第一端子,該第二變壓器具有一第二變壓比; 該第一開關的該第二端子經耦接至該第二變壓器之該主要繞組的一第二端子且接地; 由該第二電壓源在該第二電壓源之該第一端子與該第二端子之間產生一第二偏壓電壓;及 該第二變壓器之一次要繞組的一第一端子經耦接至該第一變壓器之該次要繞組的一第二端子, 其中該共同節點經設置在該第一變壓器之該次要繞組之該第一端子與一負載之間。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/835,864 | 2022-06-08 | ||
US17/835,864 US11972924B2 (en) | 2022-06-08 | 2022-06-08 | Pulsed voltage source for plasma processing applications |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202349438A true TW202349438A (zh) | 2023-12-16 |
Family
ID=89076679
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW112100301A TW202349438A (zh) | 2022-06-08 | 2023-01-05 | 用於電漿處理應用的脈衝電壓源 |
Country Status (3)
Country | Link |
---|---|
US (1) | US11972924B2 (zh) |
TW (1) | TW202349438A (zh) |
WO (1) | WO2023239430A1 (zh) |
Family Cites Families (587)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4070589A (en) | 1976-10-29 | 1978-01-24 | The Singer Company | High speed-high voltage switching with low power consumption |
US4340462A (en) | 1981-02-13 | 1982-07-20 | Lam Research Corporation | Adjustable electrode plasma processing chamber |
US4504895A (en) | 1982-11-03 | 1985-03-12 | General Electric Company | Regulated dc-dc converter using a resonating transformer |
US4464223A (en) | 1983-10-03 | 1984-08-07 | Tegal Corp. | Plasma reactor apparatus and method |
US4585516A (en) | 1985-03-04 | 1986-04-29 | Tegal Corporation | Variable duty cycle, multiple frequency, plasma reactor |
US4683529A (en) | 1986-11-12 | 1987-07-28 | Zytec Corporation | Switching power supply with automatic power factor correction |
KR970003885B1 (ko) | 1987-12-25 | 1997-03-22 | 도오교오 에레구토론 가부시끼 가이샤 | 에칭 방법 및 그 장치 |
US5242561A (en) | 1989-12-15 | 1993-09-07 | Canon Kabushiki Kaisha | Plasma processing method and plasma processing apparatus |
US4992919A (en) | 1989-12-29 | 1991-02-12 | Lee Chu Quon | Parallel resonant converter with zero voltage switching |
US5099697A (en) | 1990-04-02 | 1992-03-31 | Agar Corporation Ltd. | Two and three-phase flow measurement |
US5140510A (en) | 1991-03-04 | 1992-08-18 | Motorola, Inc. | Constant frequency power converter |
US5418707A (en) | 1992-04-13 | 1995-05-23 | The United States Of America As Represented By The United States Department Of Energy | High voltage dc-dc converter with dynamic voltage regulation and decoupling during load-generated arcs |
US5286297A (en) | 1992-06-24 | 1994-02-15 | Texas Instruments Incorporated | Multi-electrode plasma processing apparatus |
KR100324792B1 (ko) | 1993-03-31 | 2002-06-20 | 히가시 데쓰로 | 플라즈마처리장치 |
US5662770A (en) | 1993-04-16 | 1997-09-02 | Micron Technology, Inc. | Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks |
JP2748213B2 (ja) | 1993-05-24 | 1998-05-06 | 日本レーザ電子株式会社 | プラズマ製膜装置 |
US5449410A (en) | 1993-07-28 | 1995-09-12 | Applied Materials, Inc. | Plasma processing apparatus |
KR100302167B1 (ko) | 1993-11-05 | 2001-11-22 | 히가시 데쓰로 | 플라즈마처리장치및플라즈마처리방법 |
US5451846A (en) | 1993-12-14 | 1995-09-19 | Aeg Automation Systems Corporation | Low current compensation control for thyristor armature power supply |
US5565036A (en) | 1994-01-19 | 1996-10-15 | Tel America, Inc. | Apparatus and method for igniting plasma in a process module |
TW299559B (zh) | 1994-04-20 | 1997-03-01 | Tokyo Electron Co Ltd | |
US5651865A (en) | 1994-06-17 | 1997-07-29 | Eni | Preferential sputtering of insulators from conductive targets |
US5554959A (en) | 1994-10-25 | 1996-09-10 | Vac-Com, Inc. | Linear power amplifier with a pulse density modulated switching power supply |
US5716534A (en) | 1994-12-05 | 1998-02-10 | Tokyo Electron Limited | Plasma processing method and plasma etching method |
US6133557A (en) | 1995-01-31 | 2000-10-17 | Kyocera Corporation | Wafer holding member |
JP3778299B2 (ja) | 1995-02-07 | 2006-05-24 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
JP3292270B2 (ja) | 1995-02-27 | 2002-06-17 | 富士通株式会社 | 静電吸着装置 |
US5597438A (en) | 1995-09-14 | 1997-01-28 | Siemens Aktiengesellschaft | Etch chamber having three independently controlled electrodes |
US6253704B1 (en) | 1995-10-13 | 2001-07-03 | Mattson Technology, Inc. | Apparatus and method for pulsed plasma processing of a semiconductor substrate |
JPH09129612A (ja) | 1995-10-26 | 1997-05-16 | Tokyo Electron Ltd | エッチングガス及びエッチング方法 |
US6902683B1 (en) | 1996-03-01 | 2005-06-07 | Hitachi, Ltd. | Plasma processing apparatus and plasma processing method |
IT1289479B1 (it) | 1996-01-26 | 1998-10-15 | Schlafhorst & Co W | Disposizione circuitale di trasformazione di tensione per la alimentazione energetica di un utilizzatore elettrico di elevata |
US6252354B1 (en) | 1996-11-04 | 2001-06-26 | Applied Materials, Inc. | RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control |
US5770023A (en) | 1996-02-12 | 1998-06-23 | Eni A Division Of Astec America, Inc. | Etch process employing asymmetric bipolar pulsed DC |
TW335517B (en) | 1996-03-01 | 1998-07-01 | Hitachi Ltd | Apparatus and method for processing plasma |
US6055150A (en) | 1996-05-02 | 2000-04-25 | Applied Materials, Inc. | Multi-electrode electrostatic chuck having fuses in hollow cavities |
US5948704A (en) | 1996-06-05 | 1999-09-07 | Lam Research Corporation | High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support |
JP3220383B2 (ja) | 1996-07-23 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置及びその方法 |
JP3122618B2 (ja) | 1996-08-23 | 2001-01-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3220394B2 (ja) | 1996-09-27 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US6214162B1 (en) | 1996-09-27 | 2001-04-10 | Tokyo Electron Limited | Plasma processing apparatus |
US5882424A (en) | 1997-01-21 | 1999-03-16 | Applied Materials, Inc. | Plasma cleaning of a CVD or etch reactor using a low or mixed frequency excitation field |
US5830330A (en) | 1997-05-22 | 1998-11-03 | Tokyo Electron Limited | Method and apparatus for low pressure sputtering |
JP3599564B2 (ja) | 1998-06-25 | 2004-12-08 | 東京エレクトロン株式会社 | イオン流形成方法及び装置 |
US6051114A (en) | 1997-06-23 | 2000-04-18 | Applied Materials, Inc. | Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition |
US5933314A (en) | 1997-06-27 | 1999-08-03 | Lam Research Corp. | Method and an apparatus for offsetting plasma bias voltage in bi-polar electro-static chucks |
JPH1125894A (ja) | 1997-06-30 | 1999-01-29 | Shinku Device:Kk | プラズマイオンシャワー試料処理装置とその方法 |
US6187685B1 (en) | 1997-08-01 | 2001-02-13 | Surface Technology Systems Limited | Method and apparatus for etching a substrate |
CN1299226C (zh) | 1997-09-17 | 2007-02-07 | 东京电子株式会社 | 用于监视和控制气体等离子体处理的系统和方法 |
WO1999019527A2 (en) | 1997-10-15 | 1999-04-22 | Tokyo Electron Limited | Apparatus and method for utilizing a plasma density gradient to produce a flow of particles |
US6098568A (en) | 1997-12-01 | 2000-08-08 | Applied Materials, Inc. | Mixed frequency CVD apparatus |
US6043607A (en) | 1997-12-16 | 2000-03-28 | Applied Materials, Inc. | Apparatus for exciting a plasma in a semiconductor wafer processing system using a complex RF waveform |
US6198616B1 (en) | 1998-04-03 | 2001-03-06 | Applied Materials, Inc. | Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system |
US6126778A (en) | 1998-07-22 | 2000-10-03 | Micron Technology, Inc. | Beat frequency modulation for plasma generation |
US6355992B1 (en) | 1998-08-11 | 2002-03-12 | Utron Inc. | High voltage pulse generator |
EP1119033A4 (en) | 1998-09-18 | 2004-11-17 | Tokyo Electron Ltd | PLASMA PROCESSING |
US7218503B2 (en) | 1998-09-30 | 2007-05-15 | Lam Research Corporation | Method of determining the correct average bias compensation voltage during a plasma process |
US7583492B2 (en) | 1998-09-30 | 2009-09-01 | Lam Research Corporation | Method of determining the correct average bias compensation voltage during a plasma process |
US6125025A (en) | 1998-09-30 | 2000-09-26 | Lam Research Corporation | Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors |
US6117279A (en) | 1998-11-12 | 2000-09-12 | Tokyo Electron Limited | Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition |
US6849154B2 (en) | 1998-11-27 | 2005-02-01 | Tokyo Electron Limited | Plasma etching apparatus |
JP2000173982A (ja) | 1998-12-01 | 2000-06-23 | Matsushita Electric Ind Co Ltd | プラズマ処理装置およびプラズマ処理方法 |
JP3357313B2 (ja) | 1999-03-11 | 2002-12-16 | 住友特殊金属株式会社 | 薄膜磁気ヘッド、薄膜磁気ヘッド用基板、および薄膜磁気ヘッド用基板の製造方法 |
JP2000269196A (ja) | 1999-03-19 | 2000-09-29 | Toshiba Corp | プラズマ処理方法及びプラズマ処理装置 |
US6099697A (en) | 1999-04-13 | 2000-08-08 | Applied Materials, Inc. | Method of and apparatus for restoring a support surface in a semiconductor wafer processing system |
WO2000063459A1 (en) | 1999-04-17 | 2000-10-26 | Advanced Energy Industries, Inc. | Method and apparatus for deposition of diamond like carbon |
US6273958B2 (en) | 1999-06-09 | 2001-08-14 | Applied Materials, Inc. | Substrate support for plasma processing |
US6367413B1 (en) | 1999-06-15 | 2002-04-09 | Tokyo Electron Limited | Apparatus for monitoring substrate biasing during plasma processing of a substrate |
WO2001005020A1 (en) | 1999-07-13 | 2001-01-18 | Tokyo Electron Limited | Radio frequency power source for generating an inductively coupled plasma |
JP2003506826A (ja) | 1999-08-02 | 2003-02-18 | アドバンスド エナジー インダストリーズ, インコーポレイテッド | イオン源を用いる薄膜堆積システム用のエンハンスされた電子放出表面 |
US6232236B1 (en) | 1999-08-03 | 2001-05-15 | Applied Materials, Inc. | Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system |
DE19937859C2 (de) | 1999-08-13 | 2003-06-18 | Huettinger Elektronik Gmbh | Elektrische Versorgungseinheit für Plasmaanlagen |
WO2001012873A1 (en) | 1999-08-17 | 2001-02-22 | Tokyo Electron Limited | Pulsed plasma processing method and apparatus |
US6818103B1 (en) | 1999-10-15 | 2004-11-16 | Advanced Energy Industries, Inc. | Method and apparatus for substrate biasing in multiple electrode sputtering systems |
JP4021601B2 (ja) | 1999-10-29 | 2007-12-12 | 株式会社東芝 | スパッタ装置および成膜方法 |
US6201208B1 (en) | 1999-11-04 | 2001-03-13 | Wisconsin Alumni Research Foundation | Method and apparatus for plasma processing with control of ion energy distribution at the substrates |
AU2001224729A1 (en) | 2000-01-10 | 2001-07-24 | Tokyo Electron Limited | Segmented electrode assembly and method for plasma processing |
US20030079983A1 (en) | 2000-02-25 | 2003-05-01 | Maolin Long | Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources |
TW507256B (en) | 2000-03-13 | 2002-10-21 | Mitsubishi Heavy Ind Ltd | Discharge plasma generating method, discharge plasma generating apparatus, semiconductor device fabrication method, and semiconductor device fabrication apparatus |
WO2001073814A2 (en) | 2000-03-28 | 2001-10-04 | Tokyo Electron Limited | Method and apparatus for controlling power delivered to a multiple segment electrode |
JP4454781B2 (ja) | 2000-04-18 | 2010-04-21 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP3851057B2 (ja) | 2000-04-21 | 2006-11-29 | シャープ株式会社 | 画像形成装置 |
EP1211725A4 (en) | 2000-05-10 | 2003-02-26 | Ibiden Co Ltd | ELECTROSTATIC CHUCK |
JP4559595B2 (ja) | 2000-07-17 | 2010-10-06 | 東京エレクトロン株式会社 | 被処理体の載置装置及びプラズマ処理装置 |
JP4590031B2 (ja) | 2000-07-26 | 2010-12-01 | 東京エレクトロン株式会社 | 被処理体の載置機構 |
US6483731B1 (en) | 2000-07-31 | 2002-11-19 | Vanner, Inc. | Alexander topology resonance energy conversion and inversion circuit utilizing a series capacitance multi-voltage resonance section |
US7183177B2 (en) | 2000-08-11 | 2007-02-27 | Applied Materials, Inc. | Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement |
US6485572B1 (en) | 2000-08-28 | 2002-11-26 | Micron Technology, Inc. | Use of pulsed grounding source in a plasma reactor |
TW506234B (en) | 2000-09-18 | 2002-10-11 | Tokyo Electron Ltd | Tunable focus ring for plasma processing |
JP4612947B2 (ja) | 2000-09-29 | 2011-01-12 | 日立プラズマディスプレイ株式会社 | 容量性負荷駆動回路およびそれを用いたプラズマディスプレイ装置 |
KR100378187B1 (ko) | 2000-11-09 | 2003-03-29 | 삼성전자주식회사 | 정전척을 구비한 웨이퍼 지지대 및 이를 이용한 웨이퍼 디척킹 방법 |
JP3897582B2 (ja) | 2000-12-12 | 2007-03-28 | キヤノン株式会社 | 真空処理方法、真空処理装置、半導体装置の製造方法および半導体装置 |
KR100842947B1 (ko) | 2000-12-26 | 2008-07-01 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 방법 및 플라즈마 처리 장치 |
JP2002198355A (ja) | 2000-12-26 | 2002-07-12 | Tokyo Electron Ltd | プラズマ処理装置 |
WO2002054835A2 (en) | 2001-01-08 | 2002-07-11 | Tokyo Electron Limited | Addition of power at selected harmonics of plasma processor drive frequency |
WO2002059954A1 (fr) | 2001-01-25 | 2002-08-01 | Tokyo Electron Limited | Appareil de gravure par plasma et procede de gravure par plasma |
US6777037B2 (en) | 2001-02-21 | 2004-08-17 | Hitachi, Ltd. | Plasma processing method and apparatus |
US6741446B2 (en) | 2001-03-30 | 2004-05-25 | Lam Research Corporation | Vacuum plasma processor and method of operating same |
JP2002299322A (ja) | 2001-03-30 | 2002-10-11 | Toshiba Corp | プラズマ処理装置およびプラズマ処理方法 |
JP2002313899A (ja) | 2001-04-11 | 2002-10-25 | Sumitomo Electric Ind Ltd | 基板保持構造体および基板処理装置 |
US7146260B2 (en) | 2001-04-24 | 2006-12-05 | Medius, Inc. | Method and apparatus for dynamic configuration of multiprocessor system |
DK1253216T3 (da) | 2001-04-27 | 2004-03-22 | Europ Economic Community | Fremgangsmåde og apparat til sekventiel plasmabehandling |
JP4819244B2 (ja) | 2001-05-15 | 2011-11-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
WO2002097855A1 (en) | 2001-05-29 | 2002-12-05 | Tokyo Electron Limited | Plasma processing apparatus and method |
SE525231C2 (sv) | 2001-06-14 | 2005-01-11 | Chemfilt R & D Ab | Förfarande och anordning för att alstra plasma |
DE10136259A1 (de) | 2001-07-25 | 2003-02-20 | Oce Printing Systems Gmbh | Verfahren und Einrichtung zum Steuern eines Druckprozesses bei hoher Farbdichte |
US20030029859A1 (en) | 2001-08-08 | 2003-02-13 | Applied Materials, Inc. | Lamphead for a rapid thermal processing chamber |
DE10151703B4 (de) | 2001-10-19 | 2004-12-09 | OCé PRINTING SYSTEMS GMBH | Vorrichtung und Verfahren zum Erfassen der Beschaffenheit einer Tonerteilchenschicht in einem Drucker oder Kopierer |
TWI282658B (en) | 2001-10-23 | 2007-06-11 | Delta Electronics Inc | A parallel connection system of DC/AC voltage converter |
CN100355033C (zh) | 2001-10-31 | 2007-12-12 | 东京电子株式会社 | 蚀刻高长径比零件的方法 |
JP4129855B2 (ja) | 2001-12-13 | 2008-08-06 | 東京エレクトロン株式会社 | プラズマ処理装置 |
DE10161743B4 (de) | 2001-12-15 | 2004-08-05 | Hüttinger Elektronik GmbH & Co. KG | Hochfrequenzanregungsanordnung |
US6768621B2 (en) | 2002-01-18 | 2004-07-27 | Solectria Corporation | Contactor feedback and precharge/discharge circuit |
JP4024053B2 (ja) | 2002-02-08 | 2007-12-19 | キヤノンアネルバ株式会社 | 高周波プラズマ処理方法及び高周波プラズマ処理装置 |
US6760213B2 (en) | 2002-03-04 | 2004-07-06 | Hitachi High-Technologies Corporation | Electrostatic chuck and method of treating substrate using electrostatic chuck |
DE10211609B4 (de) | 2002-03-12 | 2009-01-08 | Hüttinger Elektronik GmbH & Co. KG | Verfahren und Leistungsverstärker zur Erzeugung von sinusförmigen Hochfrequenzsignalen zum Betreiben einer Last |
KR100511854B1 (ko) | 2002-06-18 | 2005-09-02 | 아네르바 가부시키가이샤 | 정전 흡착 장치 |
US6830650B2 (en) | 2002-07-12 | 2004-12-14 | Advanced Energy Industries, Inc. | Wafer probe for measuring plasma and surface characteristics in plasma processing environments |
US6808607B2 (en) | 2002-09-25 | 2004-10-26 | Advanced Energy Industries, Inc. | High peak power plasma pulsed supply with arc handling |
US7147759B2 (en) | 2002-09-30 | 2006-12-12 | Zond, Inc. | High-power pulsed magnetron sputtering |
US20040066601A1 (en) | 2002-10-04 | 2004-04-08 | Varian Semiconductor Equipment Associates, Inc. | Electrode configuration for retaining cooling gas on electrostatic wafer clamp |
DE10250229B4 (de) | 2002-10-29 | 2004-08-05 | Hüttinger Elektronik GmbH & Co. KG | Leistungsregelung für Hochfrequenzverstärker |
US6896775B2 (en) | 2002-10-29 | 2005-05-24 | Zond, Inc. | High-power pulsed magnetically enhanced plasma processing |
JP4323232B2 (ja) | 2002-12-04 | 2009-09-02 | 芝浦メカトロニクス株式会社 | 静電吸着方法、静電吸着装置及び貼り合せ装置 |
US6830595B2 (en) | 2002-12-20 | 2004-12-14 | Advanced Energy Technology Inc. | Method of making composite electrode and current collectors |
US7206189B2 (en) | 2002-12-20 | 2007-04-17 | Advanced Energy Technology Inc. | Composite electrode and current collectors and processes for making the same |
DE10306347A1 (de) | 2003-02-15 | 2004-08-26 | Hüttinger Elektronik GmbH & Co. KG | Leistungszufuhrregeleinheit |
DE10312549B3 (de) | 2003-03-21 | 2004-08-26 | Hüttinger Elektronik Gmbh + Co. Kg | Gasentladungsprozess-Spannungsversorgungseinheit |
US7126808B2 (en) | 2003-04-01 | 2006-10-24 | Varian Semiconductor Equipment Associates, Inc. | Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping |
JP4354243B2 (ja) | 2003-04-21 | 2009-10-28 | 東京エレクトロン株式会社 | 被処理体の昇降機構及び処理装置 |
JP4031732B2 (ja) | 2003-05-26 | 2008-01-09 | 京セラ株式会社 | 静電チャック |
US7625460B2 (en) | 2003-08-01 | 2009-12-01 | Micron Technology, Inc. | Multifrequency plasma reactor |
DE10336881B4 (de) | 2003-08-11 | 2008-05-15 | Hüttinger Elektronik GmbH & Co. KG | Hochfrequenzanregungsanordnung mit einer Begrenzungsschaltung |
US6902646B2 (en) | 2003-08-14 | 2005-06-07 | Advanced Energy Industries, Inc. | Sensor array for measuring plasma characteristics in plasma processing environments |
JP4418193B2 (ja) | 2003-08-22 | 2010-02-17 | 東京エレクトロン株式会社 | パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置 |
DE10341717A1 (de) | 2003-09-10 | 2005-05-25 | Applied Films Gmbh & Co. Kg | Anordnung für n Verbraucher elektrischer Energie, von denen m Verbraucher gleichzeitig mit Energie versorgt werden |
US7115185B1 (en) | 2003-09-16 | 2006-10-03 | Advanced Energy Industries, Inc. | Pulsed excitation of inductively coupled plasma sources |
US9771648B2 (en) | 2004-08-13 | 2017-09-26 | Zond, Inc. | Method of ionized physical vapor deposition sputter coating high aspect-ratio structures |
US7633319B2 (en) | 2003-11-28 | 2009-12-15 | Advantest Corporation | Digital QP detecting apparatus, spectrum analyzer having the same, and digital QP detecting method |
US7645341B2 (en) | 2003-12-23 | 2010-01-12 | Lam Research Corporation | Showerhead electrode assembly for plasma processing apparatuses |
US7379309B2 (en) | 2004-01-14 | 2008-05-27 | Vanner, Inc. | High-frequency DC-DC converter control |
US7095179B2 (en) | 2004-02-22 | 2006-08-22 | Zond, Inc. | Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities |
US7663319B2 (en) | 2004-02-22 | 2010-02-16 | Zond, Inc. | Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities |
US9123508B2 (en) | 2004-02-22 | 2015-09-01 | Zond, Llc | Apparatus and method for sputtering hard coatings |
US7700474B2 (en) | 2006-04-07 | 2010-04-20 | Tokyo Electron Limited | Barrier deposition using ionized physical vapor deposition (iPVD) |
US6972524B1 (en) | 2004-03-24 | 2005-12-06 | Lam Research Corporation | Plasma processing system control |
DE102004024805B4 (de) | 2004-05-17 | 2015-11-12 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren und Regelanordnung zur Regelung der Ausgangsleistung einer HF-Verstärkeranordnung |
JP4401867B2 (ja) | 2004-05-20 | 2010-01-20 | 株式会社沖データ | 画像形成装置 |
US7988816B2 (en) | 2004-06-21 | 2011-08-02 | Tokyo Electron Limited | Plasma processing apparatus and method |
WO2005124844A1 (ja) | 2004-06-21 | 2005-12-29 | Tokyo Electron Limited | プラズマ処理装置及び方法 |
US7740704B2 (en) | 2004-06-25 | 2010-06-22 | Tokyo Electron Limited | High rate atomic layer deposition apparatus and method of using |
JP2006011174A (ja) | 2004-06-28 | 2006-01-12 | Ricoh Co Ltd | 記録体異常発生予測装置、定着装置および画像形成装置 |
US20060040499A1 (en) | 2004-08-20 | 2006-02-23 | Steve Walther | In situ surface contaminant removal for ion implanting |
DE102004044797B4 (de) | 2004-09-16 | 2008-02-07 | Hüttinger Elektronik GmbH & Co. KG | Anregungsanordnung für Induktionsöfen |
EP2477207A3 (en) | 2004-09-24 | 2014-09-03 | Zond, Inc. | Apparatus for generating high-current electrical discharges |
US7601246B2 (en) | 2004-09-29 | 2009-10-13 | Lam Research Corporation | Methods of sputtering a protective coating on a semiconductor substrate |
US7244311B2 (en) | 2004-10-13 | 2007-07-17 | Lam Research Corporation | Heat transfer system for improved semiconductor processing uniformity |
SE0402644D0 (sv) | 2004-11-02 | 2004-11-02 | Biocell Ab | Method and apparatus for producing electric discharges |
US7821767B2 (en) | 2004-11-04 | 2010-10-26 | Ulvac, Inc. | Electrostatic chuck device |
US7255747B2 (en) | 2004-12-22 | 2007-08-14 | Sokudo Co., Ltd. | Coat/develop module with independent stations |
KR101089096B1 (ko) | 2004-12-28 | 2011-12-06 | 엘지디스플레이 주식회사 | 노광장치용 척 |
US20060171848A1 (en) | 2005-01-31 | 2006-08-03 | Advanced Energy Industries, Inc. | Diagnostic plasma sensors for endpoint and end-of-life detection |
KR100649508B1 (ko) | 2005-02-02 | 2006-11-27 | 권오영 | 하이브리드 전원시스템 |
EP1691481B1 (de) | 2005-02-12 | 2014-04-02 | TRUMPF Hüttinger GmbH + Co. KG | Amplitudenmodulator |
EP1701376B1 (de) | 2005-03-10 | 2006-11-08 | HÜTTINGER Elektronik GmbH + Co. KG | Vakuumplasmagenerator |
US7535688B2 (en) | 2005-03-25 | 2009-05-19 | Tokyo Electron Limited | Method for electrically discharging substrate, substrate processing apparatus and program |
US7586099B2 (en) | 2005-03-30 | 2009-09-08 | Huettinger Elektronik Gmbh + Co. Kg | Vacuum plasma generator |
ATE500604T1 (de) | 2005-03-30 | 2011-03-15 | Huettinger Elektronik Gmbh | Vakuumplasmagenerator |
US7305311B2 (en) | 2005-04-22 | 2007-12-04 | Advanced Energy Industries, Inc. | Arc detection and handling in radio frequency power applications |
JP3910210B2 (ja) | 2005-05-13 | 2007-04-25 | 松下電器産業株式会社 | 誘電体バリア放電ランプ点灯装置 |
US20060278521A1 (en) | 2005-06-14 | 2006-12-14 | Stowell Michael W | System and method for controlling ion density and energy using modulated power signals |
JP2009510698A (ja) | 2005-09-30 | 2009-03-12 | エナジェティック・テクノロジー・インコーポレーテッド | 誘導駆動型プラズマ光源 |
AR057882A1 (es) | 2005-11-09 | 2007-12-26 | Novartis Ag | Compuestos de accion doble de bloqueadores del receptor de angiotensina e inhibidores de endopeptidasa neutra |
JP4418424B2 (ja) | 2005-11-21 | 2010-02-17 | 日本リライアンス株式会社 | 交流電源装置およびその装置におけるアーク抑制方法 |
US20070114981A1 (en) | 2005-11-21 | 2007-05-24 | Square D Company | Switching power supply system with pre-regulator for circuit or personnel protection devices |
JP4827081B2 (ja) | 2005-12-28 | 2011-11-30 | 東京エレクトロン株式会社 | プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体 |
CN101405924B (zh) | 2006-01-23 | 2012-07-11 | 奥德拉国际销售公司 | 用于受限电源的功率供应设备以及使用功率供应设备的音频放大器 |
US7872292B2 (en) | 2006-02-21 | 2011-01-18 | United Microelectronics Corp. | Capacitance dielectric layer and capacitor |
EP1837893A1 (de) | 2006-03-25 | 2007-09-26 | HÜTTINGER Elektronik GmbH + Co. KG | Messeeinrichtung eines HF-Plasmasystems |
JP4597894B2 (ja) | 2006-03-31 | 2010-12-15 | 東京エレクトロン株式会社 | 基板載置台および基板処理装置 |
US7588667B2 (en) | 2006-04-07 | 2009-09-15 | Tokyo Electron Limited | Depositing rhuthenium films using ionized physical vapor deposition (IPVD) |
GB2437080B (en) | 2006-04-11 | 2011-10-12 | Hauzer Techno Coating Bv | A vacuum treatment apparatus, a bias power supply and a method of operating a vacuum treatment apparatus |
US7692936B2 (en) | 2006-05-05 | 2010-04-06 | Huettinger Elektronik Gmbh + Co. Kg | Medium frequency power generator |
EP1852959A1 (de) | 2006-05-05 | 2007-11-07 | HÜTTINGER Elektronik GmbH + Co. KG | Stromversorgung für einen Mittelfrequenz-Plasmagenerator |
JP4887913B2 (ja) | 2006-06-02 | 2012-02-29 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
US7777152B2 (en) | 2006-06-13 | 2010-08-17 | Applied Materials, Inc. | High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck |
US8083961B2 (en) | 2006-07-31 | 2011-12-27 | Tokyo Electron Limited | Method and system for controlling the uniformity of a ballistic electron beam by RF modulation |
JP2008041993A (ja) | 2006-08-08 | 2008-02-21 | Shinko Electric Ind Co Ltd | 静電チャック |
KR100757347B1 (ko) | 2006-08-30 | 2007-09-10 | 삼성전자주식회사 | 이온 주입 장치 |
EP1912266A1 (en) | 2006-10-10 | 2008-04-16 | STMicroelectronics S.r.l. | Method of forming phase change memory devices in a pulsed DC deposition chamber |
JP5171010B2 (ja) | 2006-10-27 | 2013-03-27 | 東京エレクトロン株式会社 | 電源装置およびそれを用いたマイクロ波発生装置およびコンピュータプログラム |
DE102006052060B4 (de) | 2006-11-04 | 2009-11-26 | Hüttinger Elektronik GmbH & Co. KG | Verfahren und Anordnung zur Anregung einer Gaslaseranordnung |
DE102006052061B4 (de) | 2006-11-04 | 2009-04-23 | Hüttinger Elektronik Gmbh + Co. Kg | Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren |
US20080106842A1 (en) | 2006-11-06 | 2008-05-08 | Tokyo Electron Limited | Mounting device, plasma processing apparatus and plasma processing method |
JP4864661B2 (ja) | 2006-11-22 | 2012-02-01 | 東京エレクトロン株式会社 | 太陽電池の製造方法及び太陽電池の製造装置 |
ATE448562T1 (de) | 2006-11-23 | 2009-11-15 | Huettinger Elektronik Gmbh | Verfahren zum erkennen einer bogenentladung in einem plasmaprozess und bogenentladungserkennungsvorrichtung |
US7795817B2 (en) | 2006-11-24 | 2010-09-14 | Huettinger Elektronik Gmbh + Co. Kg | Controlled plasma power supply |
KR101312292B1 (ko) | 2006-12-11 | 2013-09-27 | 엘아이지에이디피 주식회사 | 플라즈마 처리장치의 기판 파손 방지장치 및 그 방법 |
JP5259618B2 (ja) | 2006-12-12 | 2013-08-07 | オーツェー・エリコン・バルザース・アーゲー | 高出力インパルス・マグネトロン・スパッタリング(hipims)におけるパルシング及びアーク抑制 |
US8422193B2 (en) | 2006-12-19 | 2013-04-16 | Axcelis Technologies, Inc. | Annulus clamping and backside gas cooled electrostatic chuck |
JP5252613B2 (ja) | 2006-12-25 | 2013-07-31 | 国立大学法人東北大学 | イオン注入装置およびイオン注入方法 |
US20080160212A1 (en) | 2006-12-27 | 2008-07-03 | Bon-Woong Koo | Method and apparatuses for providing electrical contact for plasma processing applications |
US7718538B2 (en) | 2007-02-21 | 2010-05-18 | Applied Materials, Inc. | Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates |
US8217299B2 (en) | 2007-02-22 | 2012-07-10 | Advanced Energy Industries, Inc. | Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch |
DE102007009070A1 (de) | 2007-02-23 | 2008-08-28 | OCé PRINTING SYSTEMS GMBH | Verfahren und Vorrichtung zum Erfassen eines elektrischen Potentials sowie von elektrischen Ladungen ein einem Drucker oder Kopierer |
ATE493749T1 (de) | 2007-03-08 | 2011-01-15 | Huettinger Elektronik Gmbh | Verfahren und vorrichtung zum unterdrücken von bogenentladungen beim betreiben eines plasmaprozesses |
EP1968188B1 (de) | 2007-03-09 | 2012-08-08 | HÜTTINGER Elektronik GmbH + Co. KG | Klasse-D Verstärkeranordnung |
US8055203B2 (en) | 2007-03-14 | 2011-11-08 | Mks Instruments, Inc. | Multipoint voltage and current probe system |
JP4903610B2 (ja) | 2007-03-27 | 2012-03-28 | 東京エレクトロン株式会社 | プラズマ処理装置 |
KR100855002B1 (ko) | 2007-05-23 | 2008-08-28 | 삼성전자주식회사 | 플라즈마 이온 주입시스템 |
JP5018244B2 (ja) | 2007-05-30 | 2012-09-05 | 住友大阪セメント株式会社 | 静電チャック |
US7758764B2 (en) | 2007-06-28 | 2010-07-20 | Lam Research Corporation | Methods and apparatus for substrate processing |
US20090004836A1 (en) | 2007-06-29 | 2009-01-01 | Varian Semiconductor Equipment Associates, Inc. | Plasma doping with enhanced charge neutralization |
DE112007003667A5 (de) | 2007-07-23 | 2010-07-01 | Hüttinger Elektronik GmbH & Co. KG | Plasmaversorgungseinrichtung |
KR20090024866A (ko) | 2007-09-05 | 2009-03-10 | 주식회사 코미코 | 기판 지지유닛 및 이를 갖는 기판 가공 장치 |
JP4607930B2 (ja) | 2007-09-14 | 2011-01-05 | 株式会社東芝 | プラズマ処理装置およびプラズマ処理方法 |
US8140292B2 (en) | 2007-09-18 | 2012-03-20 | Wisconsin Alumni Research Foundation | Method and system for controlling a voltage waveform |
JP5301812B2 (ja) | 2007-11-14 | 2013-09-25 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8133359B2 (en) | 2007-11-16 | 2012-03-13 | Advanced Energy Industries, Inc. | Methods and apparatus for sputtering deposition using direct current |
US9039871B2 (en) | 2007-11-16 | 2015-05-26 | Advanced Energy Industries, Inc. | Methods and apparatus for applying periodic voltage using direct current |
CN102654481A (zh) | 2007-11-26 | 2012-09-05 | 东京毅力科创株式会社 | 微细结构体检测装置以及微细结构体检测方法 |
CN101952945B (zh) | 2007-11-29 | 2013-08-14 | 朗姆研究公司 | 控制微负载的脉冲式偏置等离子体工艺 |
JP5224837B2 (ja) | 2008-02-01 | 2013-07-03 | 株式会社東芝 | 基板のプラズマ処理装置及びプラズマ処理方法 |
WO2009099660A2 (en) | 2008-02-08 | 2009-08-13 | Lam Research Corporation | Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal |
DE102008012089B4 (de) | 2008-02-29 | 2015-06-11 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren zum Ansteuern einer Vollbrücke, und Anordnung zur Durchführung des Verfahrens |
US7858533B2 (en) | 2008-03-06 | 2010-12-28 | Tokyo Electron Limited | Method for curing a porous low dielectric constant dielectric film |
US7977256B2 (en) | 2008-03-06 | 2011-07-12 | Tokyo Electron Limited | Method for removing a pore-generating material from an uncured low-k dielectric film |
CN101960556B (zh) | 2008-03-06 | 2013-09-18 | 东京毅力科创株式会社 | 用于固化多孔低介电常数电介质膜的方法 |
US20090236214A1 (en) | 2008-03-20 | 2009-09-24 | Karthik Janakiraman | Tunable ground planes in plasma chambers |
US8391025B2 (en) | 2008-05-02 | 2013-03-05 | Advanced Energy Industries, Inc. | Preemptive protection for a power convertor |
US7791912B2 (en) | 2008-05-02 | 2010-09-07 | Advanced Energy Industries, Inc. | Protection method, system and apparatus for a power converter |
US8018164B2 (en) | 2008-05-29 | 2011-09-13 | Applied Materials, Inc. | Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources |
JP5429772B2 (ja) | 2008-06-30 | 2014-02-26 | 株式会社アルバック | 電源装置 |
US8460567B2 (en) | 2008-07-01 | 2013-06-11 | Tokyo Electron Limited | Method and system for etching a MEM device |
US8221582B2 (en) | 2008-07-07 | 2012-07-17 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US20100018648A1 (en) | 2008-07-23 | 2010-01-28 | Applied Marterials, Inc. | Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring |
US8895942B2 (en) | 2008-09-16 | 2014-11-25 | Tokyo Electron Limited | Dielectric treatment module using scanning IR radiation source |
JP5295833B2 (ja) | 2008-09-24 | 2013-09-18 | 株式会社東芝 | 基板処理装置および基板処理方法 |
JP5270310B2 (ja) | 2008-11-13 | 2013-08-21 | 東京エレクトロン株式会社 | 静電チャック及び基板処理装置 |
US8313664B2 (en) | 2008-11-21 | 2012-11-20 | Applied Materials, Inc. | Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber |
JP5295748B2 (ja) | 2008-12-18 | 2013-09-18 | 東京エレクトロン株式会社 | 構成部品の洗浄方法及び記憶媒体 |
US9887069B2 (en) | 2008-12-19 | 2018-02-06 | Lam Research Corporation | Controlling ion energy distribution in plasma processing systems |
US7825719B2 (en) | 2008-12-29 | 2010-11-02 | Advanced Energy Industries, Inc. | System and method for wideband phase-adjustable common excitation |
US8137345B2 (en) | 2009-01-05 | 2012-03-20 | Peak Surgical, Inc. | Electrosurgical devices for tonsillectomy and adenoidectomy |
US20110298376A1 (en) | 2009-01-13 | 2011-12-08 | River Bell Co. | Apparatus And Method For Producing Plasma |
JP5221403B2 (ja) | 2009-01-26 | 2013-06-26 | 東京エレクトロン株式会社 | プラズマエッチング方法、プラズマエッチング装置および記憶媒体 |
US9254168B2 (en) | 2009-02-02 | 2016-02-09 | Medtronic Advanced Energy Llc | Electro-thermotherapy of tissue using penetrating microelectrode array |
US8383001B2 (en) | 2009-02-20 | 2013-02-26 | Tokyo Electron Limited | Plasma etching method, plasma etching apparatus and storage medium |
DE102009001355B4 (de) | 2009-03-05 | 2015-01-22 | TRUMPF Hüttinger GmbH + Co. KG | Impedanzanpassungsschaltung und Verfahren zur Impedanzanpassung |
US8313612B2 (en) | 2009-03-24 | 2012-11-20 | Lam Research Corporation | Method and apparatus for reduction of voltage potential spike during dechucking |
US8382999B2 (en) | 2009-03-26 | 2013-02-26 | Applied Materials, Inc. | Pulsed plasma high aspect ratio dielectric process |
JP5395491B2 (ja) | 2009-03-31 | 2014-01-22 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
CN101872733B (zh) | 2009-04-24 | 2012-06-27 | 中微半导体设备(上海)有限公司 | 感测和移除被加工半导体工艺件的残余电荷的系统和方法 |
JP5227245B2 (ja) | 2009-04-28 | 2013-07-03 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9767988B2 (en) | 2010-08-29 | 2017-09-19 | Advanced Energy Industries, Inc. | Method of controlling the switched mode ion energy distribution system |
US11615941B2 (en) | 2009-05-01 | 2023-03-28 | Advanced Energy Industries, Inc. | System, method, and apparatus for controlling ion energy distribution in plasma processing systems |
US9287086B2 (en) | 2010-04-26 | 2016-03-15 | Advanced Energy Industries, Inc. | System, method and apparatus for controlling ion energy distribution |
US9435029B2 (en) | 2010-08-29 | 2016-09-06 | Advanced Energy Industries, Inc. | Wafer chucking system for advanced plasma ion energy processing systems |
US9287092B2 (en) | 2009-05-01 | 2016-03-15 | Advanced Energy Industries, Inc. | Method and apparatus for controlling ion energy distribution |
JP5357639B2 (ja) | 2009-06-24 | 2013-12-04 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US8716984B2 (en) | 2009-06-29 | 2014-05-06 | Advanced Energy Industries, Inc. | Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load |
JP5496568B2 (ja) | 2009-08-04 | 2014-05-21 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US8404598B2 (en) | 2009-08-07 | 2013-03-26 | Applied Materials, Inc. | Synchronized radio frequency pulsing for plasma etching |
JP4932942B2 (ja) | 2009-08-07 | 2012-05-16 | 株式会社京三製作所 | パルス変調高周波電力制御方法およびパルス変調高周波電源装置 |
SG169960A1 (en) | 2009-09-18 | 2011-04-29 | Lam Res Corp | Clamped monolithic showerhead electrode |
JP5960384B2 (ja) | 2009-10-26 | 2016-08-02 | 新光電気工業株式会社 | 静電チャック用基板及び静電チャック |
US8741097B2 (en) | 2009-10-27 | 2014-06-03 | Tokyo Electron Limited | Plasma processing apparatus and plasma processing method |
CN102056395B (zh) | 2009-10-27 | 2014-05-07 | 东京毅力科创株式会社 | 等离子体处理装置和等离子体处理方法 |
US8501631B2 (en) | 2009-11-19 | 2013-08-06 | Lam Research Corporation | Plasma processing system control based on RF voltage |
US8270141B2 (en) | 2009-11-20 | 2012-09-18 | Applied Materials, Inc. | Electrostatic chuck with reduced arcing |
US8284580B2 (en) | 2009-12-10 | 2012-10-09 | Emerson Electric Co. | Power supply discontinuous input voltage extender |
KR101286242B1 (ko) | 2009-12-14 | 2013-07-15 | 삼성전자주식회사 | 반도체 소자 제조 방법 |
DE102009054987A1 (de) | 2009-12-18 | 2011-06-22 | HÜTTINGER Elektronik GmbH + Co. KG, 79111 | Verfahren zur Erzeugung von Wechselstromleistung |
US8658541B2 (en) | 2010-01-15 | 2014-02-25 | Applied Materials, Inc. | Method of controlling trench microloading using plasma pulsing |
US20110177694A1 (en) | 2010-01-15 | 2011-07-21 | Tokyo Electron Limited | Switchable Neutral Beam Source |
US9373521B2 (en) | 2010-02-24 | 2016-06-21 | Tokyo Electron Limited | Etching processing method |
JP5632626B2 (ja) | 2010-03-04 | 2014-11-26 | 東京エレクトロン株式会社 | 自動整合装置及びプラズマ処理装置 |
WO2011112991A1 (en) | 2010-03-11 | 2011-09-15 | Salient Surgical Technologies, Inc. | Bipolar electrosurgical cutter with position insensitive return electrode contact |
US9309594B2 (en) | 2010-04-26 | 2016-04-12 | Advanced Energy Industries, Inc. | System, method and apparatus for controlling ion energy distribution of a projected plasma |
JP5660804B2 (ja) | 2010-04-30 | 2015-01-28 | 東京エレクトロン株式会社 | カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置 |
US8361906B2 (en) | 2010-05-20 | 2013-01-29 | Applied Materials, Inc. | Ultra high selectivity ashable hard mask film |
JP2013534970A (ja) | 2010-06-11 | 2013-09-09 | 東京エレクトロン株式会社 | 化学気相成長を制御するための装置及び方法 |
US8852347B2 (en) | 2010-06-11 | 2014-10-07 | Tokyo Electron Limited | Apparatus for chemical vapor deposition control |
US9139910B2 (en) | 2010-06-11 | 2015-09-22 | Tokyo Electron Limited | Method for chemical vapor deposition control |
JP5558224B2 (ja) | 2010-06-23 | 2014-07-23 | 東京エレクトロン株式会社 | 基板処理方法 |
US20120000421A1 (en) | 2010-07-02 | 2012-01-05 | Varian Semicondutor Equipment Associates, Inc. | Control apparatus for plasma immersion ion implantation of a dielectric substrate |
DE102010031568B4 (de) | 2010-07-20 | 2014-12-11 | TRUMPF Hüttinger GmbH + Co. KG | Arclöschanordnung und Verfahren zum Löschen von Arcs |
US9728429B2 (en) | 2010-07-27 | 2017-08-08 | Lam Research Corporation | Parasitic plasma prevention in plasma processing chambers |
US20130059448A1 (en) | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US8828883B2 (en) | 2010-08-24 | 2014-09-09 | Micron Technology, Inc. | Methods and apparatuses for energetic neutral flux generation for processing a substrate |
US9362089B2 (en) | 2010-08-29 | 2016-06-07 | Advanced Energy Industries, Inc. | Method of controlling the switched mode ion energy distribution system |
EP3556396B1 (en) | 2010-08-31 | 2022-04-20 | Theraclone Sciences, Inc. | Human immunodeficiency virus (hiv)-neutralizing antibodies |
JP5820661B2 (ja) | 2010-09-14 | 2015-11-24 | 東京エレクトロン株式会社 | マイクロ波照射装置 |
US20120088371A1 (en) | 2010-10-07 | 2012-04-12 | Applied Materials, Inc. | Methods for etching substrates using pulsed dc voltage |
DE102010048810A1 (de) | 2010-10-20 | 2012-04-26 | Hüttinger Elektronik Gmbh + Co. Kg | System zur Bedienung mehrerer Plasma- und/oder Induktionserwärmungsprozesse |
DE102010048809A1 (de) | 2010-10-20 | 2012-04-26 | Hüttinger Elektronik Gmbh + Co. Kg | Leistungsversorgungssystem für eine Plasmaanwendung und/oder eine Induktionserwärmungsanwendung |
US9123762B2 (en) | 2010-10-22 | 2015-09-01 | Applied Materials, Inc. | Substrate support with symmetrical feed structure |
US8757603B2 (en) | 2010-10-22 | 2014-06-24 | Applied Materials, Inc. | Low force substrate lift |
EP2463890A1 (en) | 2010-12-08 | 2012-06-13 | Applied Materials, Inc. | Generating plasmas in pulsed power systems |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8809199B2 (en) | 2011-02-12 | 2014-08-19 | Tokyo Electron Limited | Method of etching features in silicon nitride films |
WO2012122064A1 (en) | 2011-03-04 | 2012-09-13 | Tokyo Electron Limited | Method of etching silicon nitride films |
US8884525B2 (en) | 2011-03-22 | 2014-11-11 | Advanced Energy Industries, Inc. | Remote plasma source generating a disc-shaped plasma |
US9263241B2 (en) | 2011-05-10 | 2016-02-16 | Advanced Energy Industries, Inc. | Current threshold response mode for arc management |
US8979842B2 (en) | 2011-06-10 | 2015-03-17 | Medtronic Advanced Energy Llc | Wire electrode devices for tonsillectomy and adenoidectomy |
EP2541584B1 (en) | 2011-06-27 | 2018-08-08 | TRUMPF Huettinger Sp. Z o. o. | Generating a highly ionized plasma in a plasma chamber |
US8735291B2 (en) | 2011-08-25 | 2014-05-27 | Tokyo Electron Limited | Method for etching high-k dielectric using pulsed bias power |
US8399366B1 (en) | 2011-08-25 | 2013-03-19 | Tokyo Electron Limited | Method of depositing highly conformal amorphous carbon films over raised features |
TWI568319B (zh) | 2011-10-05 | 2017-01-21 | 應用材料股份有限公司 | 電漿處理設備及其蓋組件(二) |
US9399812B2 (en) | 2011-10-11 | 2016-07-26 | Applied Materials, Inc. | Methods of preventing plasma induced damage during substrate processing |
US9666414B2 (en) | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
JP5977509B2 (ja) | 2011-12-09 | 2016-08-24 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP5867701B2 (ja) | 2011-12-15 | 2016-02-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5808012B2 (ja) | 2011-12-27 | 2015-11-10 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8963377B2 (en) | 2012-01-09 | 2015-02-24 | Eagle Harbor Technologies Inc. | Efficient IGBT switching |
KR102046193B1 (ko) | 2012-02-01 | 2019-11-18 | 도쿄엘렉트론가부시키가이샤 | 플라스마 에칭 방법 및 플라스마 에칭 장치 |
JPWO2013118660A1 (ja) | 2012-02-09 | 2015-05-11 | 東京エレクトロン株式会社 | 半導体製造装置の製造方法及び半導体製造装置 |
TWI576890B (zh) | 2012-02-20 | 2017-04-01 | Tokyo Electron Ltd | Power supply system, plasma processing device and plasma processing method |
US9368329B2 (en) | 2012-02-22 | 2016-06-14 | Lam Research Corporation | Methods and apparatus for synchronizing RF pulses in a plasma processing system |
US9228878B2 (en) | 2012-03-19 | 2016-01-05 | Advanced Energy Industries, Inc. | Dual beam non-contact displacement sensor |
WO2013146920A1 (ja) | 2012-03-30 | 2013-10-03 | 東レ株式会社 | 連続発酵による化学品の製造方法および連続発酵装置 |
US9293928B2 (en) | 2013-04-23 | 2016-03-22 | Kevin Alexander | System and method for a dynamically configurable power distribution control and management system |
JP6359236B2 (ja) | 2012-05-07 | 2018-07-18 | トーカロ株式会社 | 静電チャック |
US9404176B2 (en) | 2012-06-05 | 2016-08-02 | Applied Materials, Inc. | Substrate support with radio frequency (RF) return path |
JP5921964B2 (ja) | 2012-06-11 | 2016-05-24 | 東京エレクトロン株式会社 | プラズマ処理装置及びプローブ装置 |
JP5534365B2 (ja) | 2012-06-18 | 2014-06-25 | 株式会社京三製作所 | 高周波電力供給装置、及び反射波電力制御方法 |
US9530618B2 (en) | 2012-07-06 | 2016-12-27 | Infineon Technologies Ag | Plasma system, chuck and method of making a semiconductor device |
US9865893B2 (en) | 2012-07-27 | 2018-01-09 | Lockheed Martin Advanced Energy Storage, Llc | Electrochemical energy storage systems and methods featuring optimal membrane systems |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
MX2020001174A (es) | 2012-08-15 | 2021-08-12 | Lockheed Martin Energy Llc | Hexacianuros de hierro de alta solubilidad. |
US9210790B2 (en) | 2012-08-28 | 2015-12-08 | Advanced Energy Industries, Inc. | Systems and methods for calibrating a switched mode ion energy distribution system |
JP6329542B2 (ja) | 2012-08-28 | 2018-05-23 | アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. | プラズマ処理システム、プラズマシース電圧確立方法、および当該方法を実行可能な命令を読み取り可能な記憶媒体 |
US9685297B2 (en) | 2012-08-28 | 2017-06-20 | Advanced Energy Industries, Inc. | Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system |
US9105447B2 (en) | 2012-08-28 | 2015-08-11 | Advanced Energy Industries, Inc. | Wide dynamic range ion energy bias control; fast ion energy switching; ion energy control and a pulsed bias supply; and a virtual front panel |
JP6027374B2 (ja) | 2012-09-12 | 2016-11-16 | 東京エレクトロン株式会社 | プラズマ処理装置及びフィルタユニット |
US20140077611A1 (en) | 2012-09-14 | 2014-03-20 | Henry Todd Young | Capacitor bank, laminated bus, and power supply apparatus |
JP6207880B2 (ja) | 2012-09-26 | 2017-10-04 | 東芝メモリ株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US8916056B2 (en) | 2012-10-11 | 2014-12-23 | Varian Semiconductor Equipment Associates, Inc. | Biasing system for a plasma processing apparatus |
US20140109886A1 (en) | 2012-10-22 | 2014-04-24 | Transient Plasma Systems, Inc. | Pulsed power systems and methods |
US9396960B2 (en) | 2012-11-01 | 2016-07-19 | Tokyo Electron Limited | Plasma processing method and plasma processing apparatus |
US9226380B2 (en) | 2012-11-01 | 2015-12-29 | Advanced Energy Industries, Inc. | Adjustable non-dissipative voltage boosting snubber network |
US9129776B2 (en) | 2012-11-01 | 2015-09-08 | Advanced Energy Industries, Inc. | Differing boost voltages applied to two or more anodeless electrodes for plasma processing |
US9287098B2 (en) | 2012-11-01 | 2016-03-15 | Advanced Energy Industries, Inc. | Charge removal from electrodes in unipolar sputtering system |
JP2014112644A (ja) | 2012-11-06 | 2014-06-19 | Tokyo Electron Ltd | プラズマ処理装置及びプラズマ処理方法 |
US10049948B2 (en) | 2012-11-30 | 2018-08-14 | Lam Research Corporation | Power switching system for ESC with array of thermal control elements |
US8941969B2 (en) | 2012-12-21 | 2015-01-27 | Applied Materials, Inc. | Single-body electrostatic chuck |
JP6099995B2 (ja) | 2013-01-24 | 2017-03-22 | 東京エレクトロン株式会社 | 試験装置 |
DE102013202428A1 (de) | 2013-02-14 | 2014-08-14 | Trumpf Huettinger Sp. Z O. O. | Leistungsversorgungsanordnung zur Versorgung industrieller Prozesse mit Leistung |
EP2770083B1 (en) | 2013-02-20 | 2015-11-18 | University of West Bohemia in Pilsen | High-rate reactive sputtering of dielectric stoichiometric films |
US9536713B2 (en) | 2013-02-27 | 2017-01-03 | Advanced Energy Industries, Inc. | Reliable plasma ignition and reignition |
WO2014132099A1 (en) | 2013-02-28 | 2014-09-04 | Hitachi, Ltd | Management system and method of dynamic storage service level monitoring |
KR102064914B1 (ko) | 2013-03-06 | 2020-01-10 | 삼성전자주식회사 | 식각 공정 장치 및 식각 공정 방법 |
US9681497B2 (en) | 2013-03-12 | 2017-06-13 | Applied Materials, Inc. | Multi zone heating and cooling ESC for plasma process chamber |
US20140273487A1 (en) | 2013-03-13 | 2014-09-18 | Applied Materials, Inc. | Pulsed dc plasma etching process and apparatus |
WO2014159144A1 (en) | 2013-03-13 | 2014-10-02 | Applied Materials, Inc | Uv-assisted reactive ion etch for copper |
US20140263181A1 (en) | 2013-03-15 | 2014-09-18 | Jaeyoung Park | Method and apparatus for generating highly repetitive pulsed plasmas |
US9209032B2 (en) | 2013-03-15 | 2015-12-08 | Tokyo Electron Limited | Electric pressure systems for control of plasma properties and uniformity |
US20140263182A1 (en) | 2013-03-15 | 2014-09-18 | Tokyo Electron Limited | Dc pulse etcher |
US8889534B1 (en) | 2013-05-29 | 2014-11-18 | Tokyo Electron Limited | Solid state source introduction of dopants and additives for a plasma doping process |
US9495563B2 (en) | 2013-06-04 | 2016-11-15 | Eagle Harbor Technologies, Inc. | Analog integrator system and method |
US9460894B2 (en) | 2013-06-28 | 2016-10-04 | Lam Research Corporation | Controlling ion energy within a plasma chamber |
WO2015009864A1 (en) | 2013-07-17 | 2015-01-22 | Advanced Energy Industries, Inc. | System and method for balancing consumption of targets in pulsed dual magnetron sputtering (dms) processes |
KR101905158B1 (ko) | 2013-08-06 | 2018-10-08 | 어플라이드 머티어리얼스, 인코포레이티드 | 국부적으로 가열되는 다-구역 기판 지지부 |
JP2015037091A (ja) | 2013-08-12 | 2015-02-23 | 東京エレクトロン株式会社 | エッチング方法 |
US9655221B2 (en) | 2013-08-19 | 2017-05-16 | Eagle Harbor Technologies, Inc. | High frequency, repetitive, compact toroid-generation for radiation production |
US9053908B2 (en) | 2013-09-19 | 2015-06-09 | Lam Research Corporation | Method and apparatus for controlling substrate DC-bias and ion energy and angular distribution during substrate etching |
DE102013110883B3 (de) | 2013-10-01 | 2015-01-15 | TRUMPF Hüttinger GmbH + Co. KG | Vorrichtung und Verfahren zur Überwachung einer Entladung in einem Plasmaprozess |
US9576810B2 (en) | 2013-10-03 | 2017-02-21 | Applied Materials, Inc. | Process for etching metal using a combination of plasma and solid state sources |
JP6162016B2 (ja) | 2013-10-09 | 2017-07-12 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20150111394A1 (en) | 2013-10-23 | 2015-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mechanisms for forming uniform film on semiconductor substrate |
JP6100672B2 (ja) | 2013-10-25 | 2017-03-22 | 東京エレクトロン株式会社 | 温度制御機構、温度制御方法及び基板処理装置 |
JP6374647B2 (ja) | 2013-11-05 | 2018-08-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6312405B2 (ja) | 2013-11-05 | 2018-04-18 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP2017504955A (ja) | 2013-11-06 | 2017-02-09 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | Dcバイアス変調による、粒子発生抑制装置 |
US9318304B2 (en) | 2013-11-11 | 2016-04-19 | Applied Materials, Inc. | Frequency tuning for dual level radio frequency (RF) pulsing |
US9960763B2 (en) | 2013-11-14 | 2018-05-01 | Eagle Harbor Technologies, Inc. | High voltage nanosecond pulser |
US9706630B2 (en) | 2014-02-28 | 2017-07-11 | Eagle Harbor Technologies, Inc. | Galvanically isolated output variable pulse generator disclosure |
US10978955B2 (en) | 2014-02-28 | 2021-04-13 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
US11539352B2 (en) | 2013-11-14 | 2022-12-27 | Eagle Harbor Technologies, Inc. | Transformer resonant converter |
US10892140B2 (en) | 2018-07-27 | 2021-01-12 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
US10020800B2 (en) | 2013-11-14 | 2018-07-10 | Eagle Harbor Technologies, Inc. | High voltage nanosecond pulser with variable pulse width and pulse repetition frequency |
DE102013226537B4 (de) | 2013-12-18 | 2022-12-29 | TRUMPF Hüttinger GmbH + Co. KG | Leistungsversorgungssystem mit mehreren Verstärkerpfaden sowie Verfahren zur Anregung eines Plasmas |
DE102013226511B4 (de) | 2013-12-18 | 2016-12-15 | TRUMPF Hüttinger GmbH + Co. KG | Leistungsversorgungssystem und Verfahren zur Erzeugung einer Leistung |
US9853579B2 (en) | 2013-12-18 | 2017-12-26 | Applied Materials, Inc. | Rotatable heated electrostatic chuck |
US9101038B2 (en) | 2013-12-20 | 2015-08-04 | Lam Research Corporation | Electrostatic chuck including declamping electrode and method of declamping |
CN104752134B (zh) | 2013-12-29 | 2017-02-15 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种反应腔室及等离子体加工设备 |
US9412613B2 (en) | 2014-01-08 | 2016-08-09 | Applied Materials, Inc. | Development of high etch selective hardmask material by ion implantation into amorphous carbon films |
US10790816B2 (en) | 2014-01-27 | 2020-09-29 | Eagle Harbor Technologies, Inc. | Solid-state replacement for tube-based modulators |
US10483089B2 (en) | 2014-02-28 | 2019-11-19 | Eagle Harbor Technologies, Inc. | High voltage resistive output stage circuit |
TWI590329B (zh) | 2014-03-02 | 2017-07-01 | 東京威力科創股份有限公司 | 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法 |
US9472410B2 (en) | 2014-03-05 | 2016-10-18 | Applied Materials, Inc. | Pixelated capacitance controlled ESC |
JP6586424B2 (ja) | 2014-03-24 | 2019-10-02 | エーイーエス グローバル ホールディングス, プライベート リミテッド | 高周波発生器ソースインピーダンスの制御のためのシステムおよび方法 |
KR102222902B1 (ko) | 2014-05-12 | 2021-03-05 | 삼성전자주식회사 | 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법 |
JP2017143085A (ja) | 2014-06-23 | 2017-08-17 | 東京エレクトロン株式会社 | グラフェン膜を有する被処理体を処理する方法 |
US9544987B2 (en) | 2014-06-30 | 2017-01-10 | Advanced Energy Industries, Inc. | Frequency tuning for pulsed radio frequency plasma processing |
WO2016002547A1 (ja) | 2014-07-02 | 2016-01-07 | 東京エレクトロン株式会社 | 基板処理装置 |
US10121641B2 (en) | 2014-07-21 | 2018-11-06 | Lam Research Corporation | Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems |
WO2016014138A1 (en) | 2014-07-23 | 2016-01-28 | Applied Materials, Inc. | Tunable temperature controlled substrate support assembly |
KR20160022458A (ko) | 2014-08-19 | 2016-03-02 | 삼성전자주식회사 | 플라즈마 장비 및 이의 동작 방법 |
JP6435135B2 (ja) | 2014-08-26 | 2018-12-05 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
JP6315809B2 (ja) | 2014-08-28 | 2018-04-25 | 東京エレクトロン株式会社 | エッチング方法 |
US10115567B2 (en) | 2014-09-17 | 2018-10-30 | Tokyo Electron Limited | Plasma processing apparatus |
JP6373160B2 (ja) | 2014-10-15 | 2018-08-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6400425B2 (ja) | 2014-10-15 | 2018-10-03 | 東京エレクトロン株式会社 | 多層膜をエッチングする方法 |
DE102014115139A1 (de) | 2014-10-17 | 2016-04-21 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren und Vorrichtung zur Überspannungsbegrenzung einer Wechselspannungserzeugungsanordnung |
US10102321B2 (en) | 2014-10-24 | 2018-10-16 | Lam Research Corporation | System, method and apparatus for refining radio frequency transmission system models |
US9666447B2 (en) | 2014-10-28 | 2017-05-30 | Tokyo Electron Limited | Method for selectivity enhancement during dry plasma etching |
JP6320282B2 (ja) | 2014-12-05 | 2018-05-09 | 東京エレクトロン株式会社 | エッチング方法 |
EP3035365A1 (en) | 2014-12-19 | 2016-06-22 | TRUMPF Huettinger Sp. Z o. o. | Method of detecting an arc occurring during the power supply of a plasma process, control unit for a plasma power supply, and plasma power supply |
WO2016104098A1 (ja) | 2014-12-25 | 2016-06-30 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US20170263478A1 (en) | 2015-01-16 | 2017-09-14 | Lam Research Corporation | Detection System for Tunable/Replaceable Edge Coupling Ring |
US9673059B2 (en) | 2015-02-02 | 2017-06-06 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning integration schemes |
EP3054472A1 (en) | 2015-02-03 | 2016-08-10 | TRUMPF Huettinger Sp. Z o. o. | Arc treatment device and method therefor |
DE102015202317A1 (de) | 2015-02-10 | 2016-08-11 | TRUMPF Hüttinger GmbH + Co. KG | Leistungsversorgungssystem für einen Plasmaprozess mit redundanter Leistungsversorgung |
US9607843B2 (en) | 2015-02-13 | 2017-03-28 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content |
US9530667B2 (en) | 2015-02-13 | 2016-12-27 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch using carbon |
KR102436638B1 (ko) | 2015-02-13 | 2022-08-25 | 도쿄엘렉트론가부시키가이샤 | Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법 |
US9576816B2 (en) | 2015-02-13 | 2017-02-21 | Tokyo Electron Limited | Method for roughness improvement and selectivity enhancement during arc layer etch using hydrogen |
JP6396822B2 (ja) | 2015-02-16 | 2018-09-26 | 東京エレクトロン株式会社 | プラズマ処理装置のサセプタの電位を制御する方法 |
US10340879B2 (en) | 2015-02-18 | 2019-07-02 | Reno Technologies, Inc. | Switching circuit |
US9306533B1 (en) | 2015-02-20 | 2016-04-05 | Reno Technologies, Inc. | RF impedance matching network |
US9525412B2 (en) | 2015-02-18 | 2016-12-20 | Reno Technologies, Inc. | Switching circuit |
JP6449674B2 (ja) | 2015-02-23 | 2019-01-09 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP6424120B2 (ja) | 2015-03-23 | 2018-11-14 | 東京エレクトロン株式会社 | 電源システム、プラズマ処理装置及び電源制御方法 |
US9799494B2 (en) | 2015-04-03 | 2017-10-24 | Tokyo Electron Limited | Energetic negative ion impact ionization plasma |
US9786503B2 (en) | 2015-04-08 | 2017-10-10 | Tokyo Electron Limited | Method for increasing pattern density in self-aligned patterning schemes without using hard masks |
JP6449091B2 (ja) | 2015-04-20 | 2019-01-09 | 東京エレクトロン株式会社 | スリップリング、支持機構及びプラズマ処理装置 |
JP6498022B2 (ja) | 2015-04-22 | 2019-04-10 | 東京エレクトロン株式会社 | エッチング処理方法 |
US9812305B2 (en) | 2015-04-27 | 2017-11-07 | Advanced Energy Industries, Inc. | Rate enhanced pulsed DC sputtering system |
US9865471B2 (en) | 2015-04-30 | 2018-01-09 | Tokyo Electron Limited | Etching method and etching apparatus |
US10017857B2 (en) | 2015-05-02 | 2018-07-10 | Applied Materials, Inc. | Method and apparatus for controlling plasma near the edge of a substrate |
US11542927B2 (en) | 2015-05-04 | 2023-01-03 | Eagle Harbor Technologies, Inc. | Low pressure dielectric barrier discharge plasma thruster |
JP2016225439A (ja) | 2015-05-29 | 2016-12-28 | 東京エレクトロン株式会社 | プラズマ処理装置及び基板剥離検知方法 |
TW201717247A (zh) | 2015-06-02 | 2017-05-16 | 蘭姆研究公司 | 電漿處理系統之大動態範圍射頻電壓感測器及電壓模式射頻偏壓施加方法 |
US10063062B2 (en) | 2015-06-18 | 2018-08-28 | Tokyo Electron Limited | Method of detecting plasma discharge in a plasma processing system |
US10249498B2 (en) | 2015-06-19 | 2019-04-02 | Tokyo Electron Limited | Method for using heated substrates for process chemistry control |
US9922806B2 (en) | 2015-06-23 | 2018-03-20 | Tokyo Electron Limited | Etching method and plasma processing apparatus |
US10163610B2 (en) | 2015-07-13 | 2018-12-25 | Lam Research Corporation | Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation |
US10373811B2 (en) | 2015-07-24 | 2019-08-06 | Aes Global Holdings, Pte. Ltd | Systems and methods for single magnetron sputtering |
US9761459B2 (en) | 2015-08-05 | 2017-09-12 | Lam Research Corporation | Systems and methods for reverse pulsing |
US9620376B2 (en) | 2015-08-19 | 2017-04-11 | Lam Research Corporation | Self limiting lateral atomic layer etch |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
SG10201607880PA (en) | 2015-09-25 | 2017-04-27 | Tokyo Electron Ltd | METHOD FOR FORMING TiON FILM |
US9978606B2 (en) | 2015-10-02 | 2018-05-22 | Applied Materials, Inc. | Methods for atomic level resolution and plasma processing control |
US9741539B2 (en) | 2015-10-05 | 2017-08-22 | Applied Materials, Inc. | RF power delivery regulation for processing substrates |
US10192751B2 (en) | 2015-10-15 | 2019-01-29 | Lam Research Corporation | Systems and methods for ultrahigh selective nitride etch |
US10062599B2 (en) | 2015-10-22 | 2018-08-28 | Lam Research Corporation | Automated replacement of consumable parts using interfacing chambers |
US20170115657A1 (en) | 2015-10-22 | 2017-04-27 | Lam Research Corporation | Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ |
US10124492B2 (en) | 2015-10-22 | 2018-11-13 | Lam Research Corporation | Automated replacement of consumable parts using end effectors interfacing with plasma processing system |
US9881820B2 (en) | 2015-10-22 | 2018-01-30 | Lam Research Corporation | Front opening ring pod |
EP3384510B1 (en) | 2015-11-30 | 2021-09-15 | Eagle Harbor Technologies, Inc. | High voltage transformer |
JP6604833B2 (ja) | 2015-12-03 | 2019-11-13 | 東京エレクトロン株式会社 | プラズマエッチング方法 |
CN108369921B (zh) | 2015-12-07 | 2023-12-12 | 应用材料公司 | 使用静电夹盘夹持及解夹持基板的方法及装置 |
US9997374B2 (en) | 2015-12-18 | 2018-06-12 | Tokyo Electron Limited | Etching method |
JP6385915B2 (ja) | 2015-12-22 | 2018-09-05 | 東京エレクトロン株式会社 | エッチング方法 |
US9601319B1 (en) | 2016-01-07 | 2017-03-21 | Lam Research Corporation | Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
US9577516B1 (en) | 2016-02-18 | 2017-02-21 | Advanced Energy Industries, Inc. | Apparatus for controlled overshoot in a RF generator |
US9966231B2 (en) | 2016-02-29 | 2018-05-08 | Lam Research Corporation | Direct current pulsing plasma systems |
JP6392266B2 (ja) | 2016-03-22 | 2018-09-19 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US10672596B2 (en) | 2016-03-28 | 2020-06-02 | Tokyo Electron Limited | Ionized physical vapor deposition (IPVD) apparatus and method for an inductively coupled plasma sweeping source |
US20170287791A1 (en) | 2016-03-31 | 2017-10-05 | Tokyo Electron Limited | Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy |
JP6741461B2 (ja) | 2016-04-19 | 2020-08-19 | 日本特殊陶業株式会社 | 加熱部材及び複合加熱部材 |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
KR20170127724A (ko) | 2016-05-12 | 2017-11-22 | 삼성전자주식회사 | 플라즈마 처리 장치 |
US10304668B2 (en) | 2016-05-24 | 2019-05-28 | Tokyo Electron Limited | Localized process control using a plasma system |
US10340123B2 (en) | 2016-05-26 | 2019-07-02 | Tokyo Electron Limited | Multi-frequency power modulation for etching high aspect ratio features |
JP6689674B2 (ja) | 2016-05-30 | 2020-04-28 | 東京エレクトロン株式会社 | エッチング方法 |
US20170358431A1 (en) | 2016-06-13 | 2017-12-14 | Applied Materials, Inc. | Systems and methods for controlling a voltage waveform at a substrate during plasma processing |
US11430635B2 (en) | 2018-07-27 | 2022-08-30 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
WO2017223118A1 (en) | 2016-06-21 | 2017-12-28 | Eagle Harbor Technologies, Inc. | High voltage pre-pulsing |
US10903047B2 (en) | 2018-07-27 | 2021-01-26 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
US11004660B2 (en) | 2018-11-30 | 2021-05-11 | Eagle Harbor Technologies, Inc. | Variable output impedance RF generator |
US9852889B1 (en) | 2016-06-22 | 2017-12-26 | Lam Research Corporation | Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring |
KR102476353B1 (ko) | 2016-07-26 | 2022-12-09 | 삼성전자주식회사 | 반도체 설비의 설정 파형 발생기, 플라즈마 처리 장치, 플라즈마 처리 장치의 제어 방법 및 반도체 장치의 제조 방법 |
CN106407252B (zh) | 2016-08-23 | 2019-03-15 | 平安科技(深圳)有限公司 | 旅游路线规划方法及服务器 |
KR102489215B1 (ko) | 2016-09-06 | 2023-01-16 | 도쿄엘렉트론가부시키가이샤 | 유사 원자층 에칭 방법 |
TWI680496B (zh) | 2016-09-13 | 2019-12-21 | 美商應用材料股份有限公司 | 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積 |
JP2018046179A (ja) | 2016-09-15 | 2018-03-22 | 株式会社東芝 | 静電チャック及び半導体製造装置 |
US10320373B2 (en) | 2016-10-11 | 2019-06-11 | Eagle Harbor Technologies, Inc. | RF production using nonlinear semiconductor junction capacitance |
US9872373B1 (en) | 2016-10-25 | 2018-01-16 | Applied Materials, Inc. | Smart multi-level RF pulsing methods |
JP2018078515A (ja) | 2016-11-11 | 2018-05-17 | 東京エレクトロン株式会社 | フィルタ装置及びプラズマ処理装置 |
US10312048B2 (en) | 2016-12-12 | 2019-06-04 | Applied Materials, Inc. | Creating ion energy distribution functions (IEDF) |
EP3761762B1 (en) | 2016-12-30 | 2022-04-13 | Eagle Harbor Technologies, Inc. | High voltage inductive adder |
CN111048394A (zh) | 2017-01-05 | 2020-04-21 | 东京毅力科创株式会社 | 等离子体处理装置 |
US10242845B2 (en) | 2017-01-17 | 2019-03-26 | Lam Research Corporation | Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber |
US20180218905A1 (en) | 2017-02-02 | 2018-08-02 | Applied Materials, Inc. | Applying equalized plasma coupling design for mura free susceptor |
US10373804B2 (en) | 2017-02-03 | 2019-08-06 | Applied Materials, Inc. | System for tunable workpiece biasing in a plasma reactor |
CN115378264A (zh) | 2017-02-07 | 2022-11-22 | 鹰港科技有限公司 | 变压器谐振转换器 |
US10923379B2 (en) | 2017-02-15 | 2021-02-16 | Lam Research Corporation | Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure |
WO2018170010A1 (en) | 2017-03-17 | 2018-09-20 | Tokyo Electron Limited | Surface modification control for etch metric enhancement |
EP3813259B1 (en) | 2017-03-31 | 2022-10-26 | Eagle Harbor Technologies, Inc. | High voltage resistive output stage circuit |
US10879044B2 (en) | 2017-04-07 | 2020-12-29 | Lam Research Corporation | Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing |
JP7029340B2 (ja) | 2017-04-25 | 2022-03-03 | 東京エレクトロン株式会社 | フィルタ装置及びプラズマ処理装置 |
EP3396698A1 (en) | 2017-04-27 | 2018-10-31 | TRUMPF Hüttinger GmbH + Co. KG | Power converter unit, plasma processing equipment and method of controlling several plasma processes |
EP3396699A1 (en) | 2017-04-27 | 2018-10-31 | TRUMPF Hüttinger GmbH + Co. KG | Power converter unit, plasma processing equipment and method of controlling several plasma processes |
EP3396700A1 (en) | 2017-04-27 | 2018-10-31 | TRUMPF Hüttinger GmbH + Co. KG | Power converter unit, plasma processing equipment and method of controlling several plasma processes |
US10666198B2 (en) | 2017-05-09 | 2020-05-26 | Eagle Harbor Technologies, Inc | Efficient high power microwave generation using recirculating pulses |
US10460916B2 (en) | 2017-05-15 | 2019-10-29 | Applied Materials, Inc. | Real time monitoring with closed loop chucking force control |
JP7261179B2 (ja) | 2017-05-30 | 2023-04-19 | タイタン・アドバンスト・エナジー・ソリューションズ・インコーポレイテッド | バッテリの寿命評価および容量の回復 |
US11289355B2 (en) | 2017-06-02 | 2022-03-29 | Lam Research Corporation | Electrostatic chuck for use in semiconductor processing |
JP6826955B2 (ja) | 2017-06-14 | 2021-02-10 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JP6832800B2 (ja) | 2017-06-21 | 2021-02-24 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6865128B2 (ja) | 2017-07-19 | 2021-04-28 | 東京エレクトロン株式会社 | プラズマ処理装置 |
TWI788390B (zh) | 2017-08-10 | 2023-01-01 | 美商應用材料股份有限公司 | 用於電漿處理的分佈式電極陣列 |
CN110998820B (zh) | 2017-08-17 | 2023-10-20 | 东京毅力科创株式会社 | 用于实时感测工业制造设备中的属性的装置和方法 |
JP7045152B2 (ja) | 2017-08-18 | 2022-03-31 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
KR102208429B1 (ko) | 2017-08-25 | 2021-01-29 | 이글 하버 테크놀로지스, 인코포레이티드 | 나노초 펄스를 이용한 임의의 파형 발생 |
US10811296B2 (en) | 2017-09-20 | 2020-10-20 | Applied Materials, Inc. | Substrate support with dual embedded electrodes |
US10763150B2 (en) | 2017-09-20 | 2020-09-01 | Applied Materials, Inc. | System for coupling a voltage to spatially segmented portions of the wafer with variable voltage |
US10714372B2 (en) | 2017-09-20 | 2020-07-14 | Applied Materials, Inc. | System for coupling a voltage to portions of a substrate |
US10510575B2 (en) | 2017-09-20 | 2019-12-17 | Applied Materials, Inc. | Substrate support with multiple embedded electrodes |
US10904996B2 (en) | 2017-09-20 | 2021-01-26 | Applied Materials, Inc. | Substrate support with electrically floating power supply |
WO2019067451A1 (en) | 2017-09-26 | 2019-04-04 | Advanced Energy Industries, Inc. | SYSTEM AND METHOD FOR IGNITION OF PLASMA |
CN110770891B (zh) | 2017-10-30 | 2023-04-07 | 日本碍子株式会社 | 静电卡盘及其制法 |
WO2019088204A1 (ja) | 2017-11-06 | 2019-05-09 | 日本碍子株式会社 | 静電チャックアセンブリ、静電チャック及びフォーカスリング |
US10991554B2 (en) | 2017-11-16 | 2021-04-27 | Tokyo Electron Limited | Plasma processing system with synchronized signal modulation |
JP7289313B2 (ja) | 2017-11-17 | 2023-06-09 | エーイーエス グローバル ホールディングス, プライベート リミテッド | プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御 |
KR20200100641A (ko) | 2017-11-17 | 2020-08-26 | 에이이에스 글로벌 홀딩스 피티이 리미티드 | 플라즈마 프로세싱 소스 및 기판 바이어스의 동기화된 펄싱 |
CN111788654B (zh) | 2017-11-17 | 2023-04-14 | 先进工程解决方案全球控股私人有限公司 | 等离子体处理系统中的调制电源的改进应用 |
JP7033441B2 (ja) | 2017-12-01 | 2022-03-10 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US10811267B2 (en) | 2017-12-21 | 2020-10-20 | Micron Technology, Inc. | Methods of processing semiconductor device structures and related systems |
US10944283B2 (en) * | 2017-12-22 | 2021-03-09 | Industrial Technology Research Institute | Distributed single-stage on-board charging device and method thereof |
WO2019143474A1 (en) | 2018-01-18 | 2019-07-25 | Applied Materials, Inc. | Etching apparatus and methods |
WO2019143992A1 (en) * | 2018-01-22 | 2019-07-25 | Transient Plasma Systems, Inc. | Inductively coupled pulsed rf voltage multiplier |
US10269540B1 (en) | 2018-01-25 | 2019-04-23 | Advanced Energy Industries, Inc. | Impedance matching system and method of operating the same |
US11848177B2 (en) | 2018-02-23 | 2023-12-19 | Lam Research Corporation | Multi-plate electrostatic chucks with ceramic baseplates |
CN112313509A (zh) | 2018-03-08 | 2021-02-02 | 鹰港科技有限公司 | 用于结构的无损评价的精密涡流传感器 |
US11456160B2 (en) | 2018-03-26 | 2022-09-27 | Tokyo Electron Limited | Plasma processing apparatus |
DE102018204587B4 (de) | 2018-03-26 | 2019-10-24 | TRUMPF Hüttinger GmbH + Co. KG | Verfahren zur Zündung eines Plasmas in einer Plasmakammer und Zündschaltung |
JP7055054B2 (ja) | 2018-04-11 | 2022-04-15 | 東京エレクトロン株式会社 | プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム |
JP7061922B2 (ja) | 2018-04-27 | 2022-05-02 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP6910320B2 (ja) | 2018-05-01 | 2021-07-28 | 東京エレクトロン株式会社 | マイクロ波出力装置及びプラズマ処理装置 |
JP7408570B2 (ja) | 2018-05-03 | 2024-01-05 | アプライド マテリアルズ インコーポレイテッド | ペデスタル用のrf接地構成 |
JP7061511B2 (ja) | 2018-05-10 | 2022-04-28 | 東京エレクトロン株式会社 | フィルタ装置及びプラズマ処理装置 |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
JP7126381B2 (ja) | 2018-05-21 | 2022-08-26 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
JP2019216140A (ja) | 2018-06-11 | 2019-12-19 | 東京エレクトロン株式会社 | 成膜装置及び成膜装置におけるクリーニング方法 |
JP6846384B2 (ja) | 2018-06-12 | 2021-03-24 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法 |
US10515781B1 (en) | 2018-06-13 | 2019-12-24 | Lam Research Corporation | Direct drive RF circuit for substrate processing systems |
US10916409B2 (en) | 2018-06-18 | 2021-02-09 | Lam Research Corporation | Active control of radial etch uniformity |
KR20210011388A (ko) | 2018-06-18 | 2021-02-01 | 도쿄엘렉트론가부시키가이샤 | 제작 장비의 특성에 대한 간섭이 완화된 실시간 감지 |
JP7175239B2 (ja) | 2018-06-22 | 2022-11-18 | 東京エレクトロン株式会社 | 制御方法、プラズマ処理装置、プログラム及び記憶媒体 |
KR20230048459A (ko) | 2018-06-22 | 2023-04-11 | 도쿄엘렉트론가부시키가이샤 | 제어 방법 및 플라즈마 처리 장치 |
JP6846387B2 (ja) | 2018-06-22 | 2021-03-24 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP6842443B2 (ja) | 2018-06-22 | 2021-03-17 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマを生成する方法 |
JP7038614B2 (ja) | 2018-06-27 | 2022-03-18 | 東京エレクトロン株式会社 | 基板処理方法 |
US11011351B2 (en) | 2018-07-13 | 2021-05-18 | Lam Research Corporation | Monoenergetic ion generation for controlled etch |
US11629860B2 (en) * | 2018-07-17 | 2023-04-18 | Transient Plasma Systems, Inc. | Method and system for treating emissions using a transient pulsed plasma |
WO2020017328A1 (ja) | 2018-07-17 | 2020-01-23 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
JP7175114B2 (ja) | 2018-07-19 | 2022-11-18 | 東京エレクトロン株式会社 | 載置台及び電極部材 |
US11302518B2 (en) | 2018-07-27 | 2022-04-12 | Eagle Harbor Technologies, Inc. | Efficient energy recovery in a nanosecond pulser circuit |
US11532457B2 (en) | 2018-07-27 | 2022-12-20 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
JP7079686B2 (ja) | 2018-07-27 | 2022-06-02 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP7186032B2 (ja) | 2018-07-27 | 2022-12-08 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
US11222767B2 (en) | 2018-07-27 | 2022-01-11 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
US10607814B2 (en) | 2018-08-10 | 2020-03-31 | Eagle Harbor Technologies, Inc. | High voltage switch with isolated power |
WO2020026802A1 (ja) | 2018-07-30 | 2020-02-06 | 東京エレクトロン株式会社 | 制御方法及びプラズマ処理装置 |
JP7306886B2 (ja) | 2018-07-30 | 2023-07-11 | 東京エレクトロン株式会社 | 制御方法及びプラズマ処理装置 |
KR102532203B1 (ko) | 2018-07-31 | 2023-05-12 | 삼성전자 주식회사 | 전압 생성기, 전압 파형 생성기, 반도체 소자 제조 장치, 전압 파형 발생 방법 및 반도체 소자의 제조 방법 |
KR20230025034A (ko) | 2018-08-10 | 2023-02-21 | 이글 하버 테크놀로지스, 인코포레이티드 | RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어 |
US20200058469A1 (en) | 2018-08-14 | 2020-02-20 | Tokyo Electron Limited | Systems and methods of control for plasma processing |
US11688586B2 (en) | 2018-08-30 | 2023-06-27 | Tokyo Electron Limited | Method and apparatus for plasma processing |
KR20210042939A (ko) | 2018-09-05 | 2021-04-20 | 도쿄엘렉트론가부시키가이샤 | 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정 |
US10672589B2 (en) | 2018-10-10 | 2020-06-02 | Tokyo Electron Limited | Plasma processing apparatus and control method |
US10447174B1 (en) | 2018-11-14 | 2019-10-15 | Advanced Energy Industries, Inc. | Additive synthesis of interleaved switch mode power stages for minimal delay in set point tracking |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
JP2020095793A (ja) | 2018-12-10 | 2020-06-18 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
US10720305B2 (en) | 2018-12-21 | 2020-07-21 | Advanced Energy Industries, Inc. | Plasma delivery system for modulated plasma systems |
TW202308306A (zh) | 2019-01-08 | 2023-02-16 | 美商鷹港科技股份有限公司 | 產生高壓脈波之方法 |
SG11202107162UA (en) | 2019-01-09 | 2021-07-29 | Tokyo Electron Ltd | Plasma treatment device and plasma treatment method |
KR20200086826A (ko) | 2019-01-10 | 2020-07-20 | 삼성전자주식회사 | 플라즈마 처리 방법 및 플라즈마 처리 장치 |
JP7451540B2 (ja) | 2019-01-22 | 2024-03-18 | アプライド マテリアルズ インコーポレイテッド | パルス状電圧波形を制御するためのフィードバックループ |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
CN111524782B (zh) | 2019-02-05 | 2023-07-25 | 东京毅力科创株式会社 | 等离子体处理装置 |
EP3977616A4 (en) | 2019-05-24 | 2023-06-14 | Eagle Harbor Technologies, Inc. | KLYSTRON ATTACK CIRCUIT |
KR20220027141A (ko) | 2019-07-02 | 2022-03-07 | 이글 하버 테크놀로지스, 인코포레이티드 | 나노초 펄서 rf 절연 |
CN114222958B (zh) | 2019-07-12 | 2024-03-19 | 先进工程解决方案全球控股私人有限公司 | 具有单个受控开关的偏置电源 |
CN114762251A (zh) | 2019-09-25 | 2022-07-15 | 鹰港科技有限公司 | 具有能量恢复的非线性传输线高电压脉冲锐化 |
TWI778449B (zh) | 2019-11-15 | 2022-09-21 | 美商鷹港科技股份有限公司 | 高電壓脈衝電路 |
WO2021134000A1 (en) | 2019-12-24 | 2021-07-01 | Eagle Harbor Technologies, Inc. | Nanosecond pulser rf isolation for plasma systems |
US11742184B2 (en) | 2020-02-28 | 2023-08-29 | Tokyo Electron Limited | Plasma processing apparatus and plasma processing method |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
-
2022
- 2022-06-08 US US17/835,864 patent/US11972924B2/en active Active
-
2023
- 2023-01-03 WO PCT/US2023/010024 patent/WO2023239430A1/en unknown
- 2023-01-05 TW TW112100301A patent/TW202349438A/zh unknown
Also Published As
Publication number | Publication date |
---|---|
US11972924B2 (en) | 2024-04-30 |
WO2023239430A1 (en) | 2023-12-14 |
US20230402254A1 (en) | 2023-12-14 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11462388B2 (en) | Plasma processing assembly using pulsed-voltage and radio-frequency power | |
US9309594B2 (en) | System, method and apparatus for controlling ion energy distribution of a projected plasma | |
US20130049592A1 (en) | Method for controlling synchronization of pulsed plasma by applying dc power | |
US11476090B1 (en) | Voltage pulse time-domain multiplexing | |
US11569066B2 (en) | Pulsed voltage source for plasma processing applications | |
KR20240017919A (ko) | 펄스식 dc 플라즈마 챔버에서의 플라즈마 균일성 제어 | |
KR20070101067A (ko) | 복합 플라즈마 소스 및 이를 이용한 가스 분리 방법 | |
TW202349438A (zh) | 用於電漿處理應用的脈衝電壓源 | |
TWI838371B (zh) | 處理基板的處理腔室與方法 | |
US11328900B2 (en) | Plasma ignition circuit | |
TW202336802A (zh) | 電漿反應器中電極的離子能量控制 | |
TW202312640A (zh) | 用於對電漿中的離子能量分佈進行數位控制的方法和裝置 | |
KR20230026484A (ko) | 펄스식 플라즈마를 사용하여 에칭 선택도를 향상시키는 방법 | |
CN118016500A (zh) | 通过控制离子能量分布来处理基板的方法和处理腔室 |