KR101324022B1 - 비아 필 및 포토리소그래피 장치를 위한 무반사 코팅 및 이들의 제조 방법 - Google Patents

비아 필 및 포토리소그래피 장치를 위한 무반사 코팅 및 이들의 제조 방법 Download PDF

Info

Publication number
KR101324022B1
KR101324022B1 KR1020127022626A KR20127022626A KR101324022B1 KR 101324022 B1 KR101324022 B1 KR 101324022B1 KR 1020127022626 A KR1020127022626 A KR 1020127022626A KR 20127022626 A KR20127022626 A KR 20127022626A KR 101324022 B1 KR101324022 B1 KR 101324022B1
Authority
KR
South Korea
Prior art keywords
absorbent
absorbent composition
composition
compound
group
Prior art date
Application number
KR1020127022626A
Other languages
English (en)
Other versions
KR20120115432A (ko
Inventor
보 리
조세프 케네디
낸시 이와모토
빅토르 루
로저 룽
마크 에이. 프라드킨
마카렘 에이. 후세인
미첼 디. 구디너
Original Assignee
허니웰 인터내셔날 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=34619917&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR101324022(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 허니웰 인터내셔날 인코포레이티드 filed Critical 허니웰 인터내셔날 인코포레이티드
Publication of KR20120115432A publication Critical patent/KR20120115432A/ko
Application granted granted Critical
Publication of KR101324022B1 publication Critical patent/KR101324022B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0751Silicon-containing compounds used as adhesion-promoting additives or as means to improve adhesion
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Materials For Photolithography (AREA)
  • Paints Or Removers (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Polymers (AREA)

Abstract

흡수 조성물은 하나 이상의 무기-기재 화합물, 하나 이상의 흡수 화합물, 및 하나 이상의 재료 변형제를 포함하는 것으로 본원에서 설명된다. 추가로, 흡수 조성물을 만드는 방법은 또한 a) 하나 이상의 무기-기재 화합물, 하나 이상의 흡수 화합물, 하나 이상의 재료 변형제, 산/물 혼합물 및 하나 이상의 용매를 결합하여 반응 혼합물을 형성하고; b) 반응 혼합물이 상온에서 흡수 조성물이 되도록 함을 포함하는 것으로 설명된다. 흡수 조성물을 만드는 또 다른 방법은 a) 하나 이상의 무기-기재 화합물, 하나 이상의 흡수 화합물, 하나 이상의 재료 변형제, 산/물 혼합물, 및 하나 이상의 용매를 결합하여 반응 혼합물을 형성하고; b) 반응 혼합물을 가열하여 흡수 조성물이 형성되도록 함을 포함한다. 흡수 조성물을 만드는 또 다른 방법은 하나 이상의 무기-기재 화합물, 하나 이상의 흡수 화합물, 하나 이상의 재료 변형제, 및 하나 이상의 용매를 결합하여 반응 혼합물을 형성하는데, 여기서 하나 이상의 재료 변형제는 하나 이상의 산 및 물을 포함하고; b) 반응 혼합물을 가열하여 흡수 재료, 코팅 및 막을 형성함을 포함하는 것으로 설명된다. 본원에서 설명된 흡수 조성물을 만드는 다른 방법에서, 이러한 방법은 하나 이상의 무기-기재 화합물, 하나 이상의 흡수 화합물, 하나 이상의 재료 변형제, 및 하나 이상의 용매를 결합하여 반응 혼합물을 형성하는데, 여기서 하나 이상의 재료 변형제가 하나 이상의 산 및 물을 포함하고; b) 반응 혼합물이 흡수 재료, 코팅 및 막으로 형성되도록 함을 포함한다.

Description

비아 필 및 포토리소그래피 장치를 위한 무반사 코팅 및 이들의 제조 방법 {ANTIREFLECTIVE COATINGS FOR VIA FILL AND PHOTOLITHOGRAPHY APPLICATIONS AND METHODS OF PREPARATION THEREOF}
본 발명은 무반사/흡수 조성물 및 코팅 재료 그리고 더욱 구체적으로는 포토리소그래피 및 비아 필(via fill) 장치에서의 사용을 위한 무반사/흡수 조성물 및 코팅 재료 그리고 이 재료를 생산하는 방법에 관한 것이다.
더욱 빠른 성능에 대한 요구를 충족시키기 위해, 집적 회로 장치의 특징부의 특징적 크기는 계속 줄어들고 있다. 더욱 작은 특징부의 크기를 가지는 장치의 제조는 통상적으로 반도체 제조에서 사용되는 많은 공정에서의 새로운 도전 과제이다. 이러한 제조 공정의 가장 중요한 것 중 하나는 포토리소그래피이다.
유기 폴리머 막으로서, 특별하게는 포토레지스트를 노출시키는데 통상적으로 사용되는 i-라인 (365 nm) 및 g-라인 (436 nm) 파장을 흡수하고, 최근에는 157 nm, 193 nm, 248 nm 파장에 사용되는 유기 폴리머 막은 무반사 코팅제로 이용되거나 시험되고 있다. 그러나, 유기 ARC가 많은 화학적 특성을 유기 포토레지스트와 공유한다는 사실은 사용 가능한 공정 순서를 제한할 수 있다. 추가로, 유기 및 무기 ARC를 포함하는 ARC는 포토레지스트 층과 혼합될 수 있다. 유기 및 무기 ARC는 이들이 충분히 베이크(bake) 또는 경화되지 않는다면 포토레지스트 층과 혼합할 수 있다.
혼합을 피하는 하나의 해결책은 유기 ARC의 추가 성분으로 열경화성 결합제를 도입하는 것이며, 이는 예를 들어 플레임(Flaim) 등에 권리가 있는 미국 특허 제 5,693,691호에서 설명되어 있다. 염료는 또한 유기 ARC 뿐만 아니라 선택적으로, 추가 첨가제 예를 들어 계면 활성제, 접착력 증가제, 보존제, 및 가소제와 통합될 수 있으며, 이는 아놀드(Arnold) 등에 권리가 있는 미국 특허 제 4,910,122호에 설명되어 있다. 혼합을 피하는 또 다른 시도는 이구치(Iguchi) 등에 양도된 미국 특허 제 6,268,108호에 설명되어 있다. 그러나, 이구치에서 설명된 무반사 코팅을 형성하기 위한 조성물은 산을 생산하기 위한 목적으로 화학선(actinic rays)을 조사 받아야 하며, 이는 차례로 가교 반응을 활성화시킨다. 비록 이러한 이전 특허들은 혼합과 관련된 몇몇 이슈를 알릴 수는 있지만, 결합된 ARC 층 때문에 레지스트 가장자리에서 86 내지 90 도 정도의 균일성의 부족의 문제는 이전 분야에서 제시되지 않았다.
포토레지스트 및 무반사 코팅은 패턴이 레지스트에 전사되자마자 무반사 코팅 및/또는 레지스트 재료의 화학적 특성이 레지스트를 떨어트리도록 이끌 수 있는 범위에서 또한 서로에게 영향을 미칠 수 있다. 다시 말해, 포토레지스트 전사 후에 패턴화된 레지스트 측벽은 무반사 코팅에 대해 약 90도 각을 유지할 수 없다. 대신에 레지스트는 무반사 코팅에 대해 120도 또는 80도의 각일 것이다. 이러한 불완전성은 또한 포토레지스트 재료 및 무반사 코팅이 화학적, 물리적 또는 기계적으로 적합하지 않을 수 있다는 것을 보여주는 표시이다.
또한 포토레지스트 및 무반사 코팅은 표준 이하 또는 허용가능하지 않는 에칭 민감도 또는 스트립핑 민감도를 가질 수 있다. 열등한 에칭 민감도 및/또는 스트립핑 선택도는 막의 에칭율을 낮출 수 있다. 열등한 에칭 민감도는 또한 인쇄 단계부터 에칭 단계에 걸쳐서 정밀한 크기에 대한 열등한 전사를 이끌 수 있다. 2001년 4월 6일에 공개된 일본 특허 출원 제 2001-92122호에 기재된 바와 같이, 실란 화합물을 특정 실란 화합물로 응축할 수 있는 치환 기를 가지고 높은 흡수 물질을 제공함에 의해 에칭율을 개선하도록 시도되었다. 그러나, 이러한 반응성 화합물로 얻어진 에칭 민감도는 대부분의 포토레지스트 및 무반사 코팅에 충분하지 않고 불필요할 수 있는 추가 화학 반응 단계를 요구한다.
추가로, 포토레지스트 및 무반사 코팅은 흔히 표면의 평탄화가 심각하게 훼손되는 적절한 비아 구조(via structures)에서 필 비아(fill vias) 및 보이딩(voiding)에 어려움을 가진다. 에칭 민감도를 높이고 필 비아와 보이드를 최소화하는 두 가지 목적은 직접적으로 서로 충돌하며, 그래서 장치의 각 목적을 검토하고 이해하는 것이 중요하다. 또한, 어레이를 통해 충분히 채우고 평탄화하기 위해서는 상대적으로 두꺼운 무반사 코팅이 필요하다. ARC 코팅이 유기적이라면, 이러한 두꺼운 코팅은 필름 스택을 통한 패턴화된 정밀한 크기와 같은 정확한 전사를 더욱 훼손할 것이다.
낮은 유전 상수(약 3 미만) 재료 또는 매우 낮은 유전 상수(약 2 미만) 재료를 통한 선-비아-후-트랜치(Via first trench last (VFTL)) 구리 듀얼 다마센 패턴은 매우 어려울 수 있다. 이러한 형태의 패턴의 문제점 중 하나는 낮은 유전 상수 재료로부터 희생 필(sacrificial fill) 재료의 선택적 제거이다. 유전 층이 Si-O 기재라며 이전의 연구는 Si-O 필 재료(UV 흡수 또는 투명)가 최적의 재료 플랫폼임을 보여준다.
희생 필 재료의 제거 선택성을 개선하기 위해, 이것은 상대적으로 유전 재료에 비해 화학적으로 약화될 수 있다. A 포로젠 또는 고비점 용매는 필 재료에 첨가되어 이를 약화시킬 수 있으나; 포토레지스트 현상액 저항을 높이기 위해, Si-O 기재 필 재료는 베이크되거나 충분히 높은 온도에서 가교할 필요가 있거나 포로젠 용량은 줄어들 필요가 있다. 포토레지스트 현상액 저항을 높이기 위해 고안된 이러한 두 가지 방법은 필 재료를 강화하도록 작용되지만, 필 재료 제거의 선택성은 충분히 줄어든다.
무반사 층으로 사용될 수 있는 이러한 재료의 종류는 염료를 함유하는 스핀-온-글라스 (spin-on-glass(SOG)) 조성물이다. 야우(Yau) 등에 권리가 있는 미국 특허 제 4,587,138호는 약 1중량% 양의 스핀-온-글라스와 혼합된 염기성 노란색 #11과 같은 염료를 개시하고 있다. 알만(Allman) 등에 권리가 있는 미국 특허 제 5,100,503호는 TiO2, Cr2O7, MoO4, MnO4, 또는 ScO4과 같은 무기 염료 및 접착력 증가제를 함유하고 있는 가교 폴리유기실록산을 개시하고 있다. 알만은 추가로 스핀-온-글라스 조성물이 또한 평평한 층으로 제공됨을 알리고 있다. 그러나 개시된 스핀-온-글라스, 염료 조성물은 작은 특징적 크기를 가지는 장치를 생산하기 위해 사용되는 빛 공급원인 짙은 자외선, 특히 248 및 193 nm의 노출에 최적이 아니다. 더구나, 모든 염료가 임의의 스핀-온-글라스 조성물로 쉽게 통합될 수 없다. 또한, 이러한 ARC가 화학적으로 이전에 언급된 유기 ARC와 다르다 할지라도, 결합된 레지스트 층은 레지스트 재료 및 무반사 코팅의 결합을 시도하는 경우에 생기는 일반적 문제인 화학적, 물리적 및 기계적으로 ARC 층과 레지스트 층이 양립되지 아니함에 기초하여, 전사 후에 "떨어짐(falling over)"의 문제점을 여전히 가지고 있을 수 있다.
a) 강하고 균일하게 자외선 스펙트라 영역에서 흡수할 수 있고; b) 레지스트 재료의 "떨어짐" 및 의도된 레지스트 라인의 밖으로 팽창하거나 안으로 수축하는 것을 막고; c) 포토레지스트 현상액 및 스핀-온-글라스 무반사 코팅의 생산 방법에 손상되지 않는 무반사 코팅의 개발에서, 발드윈 등은 미국 특허 제 6,268,457호(2001년 7월 31일); 미국 특허 제 6,365,765호(2002년 4월 2일); 미국 특허 제 6,368,400호(2002년 4월 9일); 미국 특허 출원 제 09/491,166(2000년 1월 26일); 미국 특허 출원 제 10/012,651호(2001년 11월 5일); 미국 특허 출원 제 10/012,649호(2001년 11월 5일); 미국 특허 출원 제 10/001,143호(2001년 11월 15일); PCT/US00/15772호(2000년 6월 8일); WO 02/06402(2001년 7월 12일); PCT/US01/45306(2001년 11월 15일); 2002년 10월 31일에 출원된 심리중인 PCT 출원(아직 출원 번호를 배정받지 못함); 유럽 특허 출원 제 00941275.0호(2000년 6월 6일); 및 제 01958953.0호(2001년 7월 17일)에 기재된 이러한 재료 및 코팅제를 포하는 통상적인 무반사 코팅보다 우수한 여러 가지의 무반사 코팅을 개발하였다. 그러나, 이러한 모든 재료에는 에칭 민감도 및/또는 스트립핑 선택도를 개선하고, 리소그래피 성능을 개선하고 필 비아를 줄이기 위해 거기에 설명된 재료, 코팅제 및 막을 수정할 수 있는 이점이 있다.
그래서, a) 강하고 균일하게 자외선 스펙트라 영역에서 흡수할 수 있고; b) 레지스트 재료의 "떨어짐" 및 의도된 레지스트 라인의 밖으로 팽창하거나 안으로 수축하는 것을 막고; c) 포토레지스트 현상액 및 스핀-온-글라스 무반사 코팅의 생산 방법에 손상되지 않고; d) 에칭 민감도 및/또는 스트립핑 선택도를 증가시키는 임의의 목적을 만족시킬 수 있고 e) 비아 구조에 필 비아 및 보이딩을 줄일 수 있고; f) 안정하고 양호한 보존 기간을 가지는 용액을 형성할 수 있고; g) ArF를 활용하는 것을 포함하는 다양한 리소그래픽 패턴 기술과 호환되고; h) 스핀-온 코팅 또는 화학 증착(CVD)과 같은 적합한 장치 방법에 의한 표현에 적용할 수 있고; i) 비아 필 및 평탄화의 능력이 있고; j) 양호한 습식 식각 및 건식 식각 율을 가지고; k) 로직 장치 및 플래쉬 장치를 포함하는 많은 장치, 소자 및 재료에 활용될 수 있는 흡수/무반사 코팅 및 리소그래피 재료.
흡수 조성물은 하나 이상의 무기-기재 화합물(inorganic-based compound), 하나 이상의 흡수 화합물(absorbing compound), 및 하나 이상의 재료 변형제(material modification agent)를 포함하는 것으로 본원에서 설명된다.
추가로, 흡수 조성물을 만드는 방법은 또한 a) 하나 이상의 무기-기재 화합물, 하나 이상의 흡수 화합물, 하나 이상의 재료 변형제, 산/물 혼합물 및 하나 이상의 용매를 결합하여 반응 혼합물을 형성하고; b) 반응 혼합물이 상온에서 흡수 조성물이 되도록 함을 포함하는 것으로 설명된다.
흡수 조성물을 만드는 또 다른 방법은 a) 하나 이상의 무기-기재 화합물, 하나 이상의 흡수 화합물, 하나 이상의 재료 변형제, 산/물 혼합물, 및 하나 이상의 용매를 결합하여 반응 혼합물을 형성하고; b) 반응 혼합물을 가열하여 흡수 조성물이 형성되도록 함을 포함한다.
흡수 조성물을 만드는 또 다른 방법은 하나 이상의 무기-기재 화합물, 하나 이상의 흡수 화합물, 하나 이상의 재료 변형제, 및 하나 이상의 용매를 결합하여 반응 혼합물을 형성하는데, 여기서 하나 이상의 재료 변형제는 하나 이상의 산 및 물을 포함하고; b) 반응 혼합물을 가열하여 흡수 재료, 코팅 및 막을 형성함을 포함하는 것으로 설명된다.
본원에서 설명된 흡수 조성물을 만드는 다른 방법에서, 이러한 방법은 하나 이상의 무기-기재 화합물, 하나 이상의 흡수 화합물, 하나 이상의 재료 변형제, 및 하나 이상의 용매를 결합하여 반응 혼합물을 형성하는데, 여기서 하나 이상의 재료 변형제가 하나 이상의 산 및 물을 포함하고; b) 반응 혼합물이 흡수 재료, 코팅 및 막으로 형성되도록 함을 포함한다.
도 1은 고려된 흡수 화합물의 화학식을 보여준다.
도 2는 고려된 구체예를 위한 TGA 분석 데이터를 보여준다.
도 3은 고려된 구체예를 위한 TGA 분석 데이터를 보여준다.
도 4는 고려된 구체예를 위한 TGA 분석 데이터를 보여준다.
도 5는 고려된 구체예를 위한 TGA 분석 데이터를 보여준다.
도 6은 고려된 흡수 조성물을 위한 습식 식각 율에 대한 효과를 보여준다.
도 7은 고려된 흡수 조성물을 위한 습식 식각 율 데이터 및 막 특성 데이터를 보여준다.
도 8은 고려된 흡수 조성물을 위한 습식 식각 율 데이터 및 막 특성 데이터를 보여준다.
도 9은 고려된 흡수 조성물을 위한 습식 식각 율 데이터 및 막 특성 데이터를 보여준다.
도 10은 고려된 흡수 조성물을 위한 습식 식각 율 데이터 및 막 특성 데이터를 보여준다.
도 11은 고려된 흡수 조성물을 위한 습식 식각 율 데이터 및 막 특성 데이터를 보여준다.
도 12은 고려된 흡수 조성물을 위한 습식 식각 율 데이터 및 막 특성 데이터를 보여준다.
도 13은 고려된 흡수 조성물을 위한 습식 식각 율 데이터 및 막 특성 데이터를 보여준다.
도 14은 고려된 흡수 조성물을 위한 습식 식각 율 데이터 및 막 특성 데이터를 보여준다.
도 15은 고려된 흡수 조성물을 위한 습식 식각 율 데이터 및 막 특성 데이터를 보여준다.
도 16은 고려된 흡수 조성물을 위한 용액 노화 연구를 보여준다.
도 17은 고려된 흡수 조성물을 위한 용액 노화 연구를 보여준다.
도 18은 고려된 흡수 조성물을 위한 용액 노화 연구를 보여준다.
도 19은 고려된 흡수 조성물을 위한 용액 노화 연구를 보여준다.
도 20은 고려된 흡수 조성물을 위한 용액 노화 연구를 보여준다.
도 21은 고려된 흡수 조성물을 위한 용액 노화 연구를 보여준다.
도 22은 고려된 흡수 조성물을 위한 용액 노화 연구를 보여준다.
도 23은 고려된 흡수 조성물을 위한 용액 노화 연구를 보여준다.
도 24은 고려된 흡수 조성물을 위한 용액 노화 연구를 보여준다.
도 25은 고려된 흡수 조성물을 위한 용액 노화 연구를 보여준다.
도 26은 고려된 구체예를 위한 접촉각, n & k 데이터 및 분자량 데이터를 보여준다.
도 27은 고려된 구체예를 위한 FTIR 데이터를 보여준다.
표 1은 여러가지의 고려된 흡수 조성물을 사용하여 개발된 온도 메트릭스를 보여준다.
표 2은 여러가지의 고려된 흡수 조성물을 사용하여 개발된 온도 메트릭스를 보여준다.
표 3은 여러가지의 고려된 흡수 조성물을 사용하여 개발된 온도 메트릭스를 보여준다.
표 4은 여러가지의 고려된 흡수 조성물을 사용하여 개발된 온도 메트릭스를 보여준다.
표 5은 여러가지의 고려된 흡수 조성물을 사용하여 개발된 온도 메트릭스를 보여준다.
표 6은 여러가지의 고려된 흡수 조성물을 사용하여 개발된 온도 메트릭스를 보여준다.
표 7은 여러가지의 고려된 흡수 조성물을 사용하여 개발된 온도 메트릭스를 보여준다.
표 8은 여러가지의 고려된 흡수 조성물을 사용하여 개발된 온도 메트릭스를 보여준다.
표 9은 여러가지의 고려된 흡수 조성물을 사용하여 개발된 온도 메트릭스를 보여준다.
표 10은 고려된 흡수 조성물을 위한 습식 식각 율을 보여주는 수집된 원시 자료를 보여준다.
표 11은 고려된 흡수 조성물을 위한 습식 식각 율을 보여주는 수집된 원시 자료를 보여준다.
표 12는 고려된 흡수 조성물의 질소 함유량을 보여준다.
표 13은 여러가지의 고려된 흡수 조성물을 위한 습식 식각 율 데이터 및 막 특성 데이터를 보여준다.
표 14는 고려된 흡수 조성물을 위한 용액 노화 연구 결과를 보여준다.
표 15는 고려된 흡수 조성물을 위한 막 노화 연구 결과를 보여준다.
표 16는 고려된 흡수 조성물을 위한 막 노화 연구 결과를 보여준다.
표 17은 고려된 흡수 조성물을 위한 습식 식각 율 연구 데이터를 보여준다.
표 18은 고려된 흡수 조성물을 위한 습식 식각 율 연구 데이터를 보여준다.
표 19은 고려된 흡수 조성물을 위한 습식 식각 율 연구 데이터를 보여준다.
표 20은 고려된 흡수 조성물을 위한 분자량 성장 및 노화 연구를 보여준다.
표 21은 고려된 흡수 조성물을 위한 습식 식각 율 연구 데이터를 보여준다.
표 22는 고려된 구체예를 위한 물 접촉 각, n & k 데이터 및 분자량 데이터를 보여준다.
표 23는 고려된 구체예를 위한 물 접촉 각, n & k 데이터 및 분자량 데이터를 보여준다.
표 24는 고려된 흡수 조성물을 위한 습식 식각 율 연구 데이터를 보여준다.
흡수/무반사 코팅 및 리소그래피 재료는 a) 강하고 균일하게 자외선 스펙트라 영역에서 흡수할 수 있고; b) 레지스트 재료의 "떨어짐" 및 의도된 레지스트 라인의 밖으로 팽창하거나 안으로 수축하는 것을 막고 c) 포토레지스트 현상액 및 스핀-온-글라스 무반사 코팅의 생산 방법에 손상되지 않고; d) 에칭 민감도 및/또는 스트립핑 선택도를 증가시키는 임의의 목적을 만족시킬 수 있고 e) 비아 구조에 필 비아 및 보이딩을 줄이고; f) 안정하고 양호한 보존 기간을 가지는 용액을 형성할 수 있고; g) ArF를 활용하는 것을 포함하는 다양한 리소그래픽 패턴 기술과 호환되고; h) 스핀-온 코팅 또는 화학 증착(CVD)과 같은 적합한 장치 방법에 의한 표현에 적용할 수 있고; i) 비아 필 및 평탄화의 능력이 있고; j) 양호한 습식 식각 및 건식 식각 율을 가지고; k) 로직 장치 및 플래쉬 장치를 포함하는 많은 장치, 소자 및 재료에 사용될 수 있도록 개발되었다.
본원에서 고려된 흡수 조성물 및/또는 코팅 재료는 하나 이상의 무기 화합물 및/또는 재료, 하나 이상의 흡수 화합물 및/또는 재료 및 하나 이상의 재료 변형제를 포함한다. 하나 이상의 재료 변형제는 예를 들어 재료/조성물의 에칭 민감도 및/또는 스트립핑 선택도, 필 비아의 최소화, 제거의 가속화 및/또는 안정성 또는 보존 기간을 개선함에 의해 최종 막 또는 층 재료의 포토리소그래픽 적합성 및/또는 물리적 특성을 개선하도록 코팅 재료를 수정할 수 있는 임의의 화합물 또는 조성물을 포함할 수 있다. 하나 이상의 재료 변형제는 하나 이상의 접착력 증가제(adhesion promoter), 하나 이상의 pH 조율제(pH tuning agent), 하나 이상의 포로젠(porogen), 하나 이상의 평활제, 하나 이상의 고비점 용매(high-boiling solvent), 하나 이상의 가교제(crosslinking agent), 하나 이상의 촉매(catalyst), 하나 이상의 캡핑제(capping agent) 및/또는 이들의 조합물을 포함할 수 있다. 놀랍게는, 몇몇 구체예 중 하나 이상에서, 재료 변형제(예를 들어, 하나 이상의 접착력 증가제)는 리소그래피에 대해 독소제로서 통상적으로 알려져 있고 따라서 산업에서 사용되지 않는 화합물 또는 조성물을 포함하지만, 본원에서 설명된 구체예에서 이의 사용은 조성물을 악화시킴 없이 리소그래피 조성물의 접착력을 증가시킨다.
고려된 구체예에서, 재료 변형제의 첨가는 에칭 율, 보존 기간, 조성물을 평탄화하는 능력 및/또는 비아 필 중 하나 이상, 또는 측정가능한 리소그래피 특성 추가 재료 변형제 없이 같은 조성물과 비교하여 25% 이상까지 개선할 것이다. 다른 고려된 구체예에서, 재료 변형제의 첨가는 에칭 율, 보존 기간, 조성물을 평탄화하는 능력 및/또는 비아 필 중 하나 이상, 또는 측정가능한 리소그래피 특성 추가 재료 변형제 없이 같은 조성물과 비교하여 50% 이상까지 개선할 것이다. 또 다른 고려된 구체예에서, 재료 변형제의 첨가는 에칭 율, 보존 기간, 조성물을 평탄화 하는 능력 및/또는 비아 필 중 하나 이상, 또는 측정가능한 리소그래피 특성 추가 재료 변형제 없이 같은 조성물과 비교하여 75% 이상까지 개선할 것이다.
무기-기재 재료 및 무기 화합물
무기-기재 화합물(inorganic-based compound) 및/또는 재료 및/또는 고려된 스핀-온 무기-기재 화합물 및/또는 재료, 예를 들어 실리콘-기재, 갈륨-기재, 게르마늄-기재, 비소-기재, 붕소-기재 화합물 또는 이들의 조합물은 본원에서 고려된다. 실리콘-기재 화합물의 예는 실록산 화합물 예를 들어 메틸실록산, 메틸실세스퀴옥산, 페닐실록산, 페닐실세스퀴옥산, 메틸페닐실록산, 메틸페닐실세스퀴옥산, 실라잔 폴리머, 디메틸실록산, 디페닐실록산, 메틸페닐실록산, 실리케이트 폴리머, 실실산 파생물, 및 이들의 혼합물을 포함한다. 고려된 실라잔 폴리머는 "투명" 폴리머 백본을 가지는 퍼하이드로실라잔이다.
본원에서 사용된 바와 같이, 무기-기재 재료, 무기 화합물 및 스핀-온-글라스 재료는 또한 실록산 폴리머 및 블록 폴리머, 화학식 (H0 -1.0SiO1 .5-2.0)x의 하이드로겐실록산 폴리머, 화학식 (HSiO1 .5)x를 가지는 하이드로겐실세스퀴옥산 폴리머 및 실실산 유도체를 포함하며 여기서 x는 약 4 보다 크다. 하이드로겐실세스퀴옥산의 공중합체 및 알콕시하이드리도실록산 또는 하이드록시하이드리도실록산을 또한 포함한다. 본원에서 고려된 재료는 추가적으로 유기실록산 폴리머, 아크릴릭 실록산 폴리머, 실세스퀴옥산-기재 폴리머, 규산 유도체, 화학식 (H0 -1.0SiO1 .5-2.0)n(R0 -1.0SiO1.5-2.0)m의 유기하이드리도실록산 폴리머 및 화학식 (HSiO1 .5)n(RSiO1 .5)m의 유기하이드리도실세스퀴옥산 폴리머를 포함하며, 여기서 m은 0보다 크고 n과 m의 합은 약 4 보다 크며 R은 알킬 또는 아릴이다. 몇몇 유용한 유기하이드리도실록산 폴리머는 n과 m의 합이 약 4 내지 5000이며 여기서 R은 C1-C20 알킬 기 또는 C6-C12 아릴 기이다. 몇몇 특정 예는 알킬하이드리도실록산, 예를 들어 메틸하이드리도실록산, 에틸하이드리도실록산, 프로필하이드리도실록산, t-부틸하이드리도실록산, 페닐하이드리도실록산; 및 알킬하이드리도실세스퀴옥산, 예를 들어 메틸하이드리도실세스퀴옥산, 에틸하이드리도실세스퀴옥산, 프로필하이드리도실세스퀴옥산, t-부틸하이드리도실세퀴옥산, 페닐하이드리도실세스퀴옥산, 및 이들의 조합물를 포함한다.
본원에서 사용된 바와 같이, 표현 "스핀-온 재료", "스핀-온 조성물" 및 "스핀-온 무기 조성물"은 서로 교환하여 사용될 수 있고, 기판 또는 표면에 스핀-온될 수 있는 용액 및 조성물을 지칭할 수 있다. 표현 "스핀-온-글라스 재료"는 "스핀-온 무기 재료"의 부분집합을 지칭하는 것으로 다시 말해 실리콘-기재 화합물 및/또는 폴리머를 전체적으로 또는 부분적으로 포함하는 스핀-온 재료를 지칭하는 것으로 추가로 고려된다. 그러나 이러한 고려된 재료 및 조성물은 스핀-온 증착, 증착, 화학 증착 등등을 포함하는 임의의 적절한 방법 또는 장치에 의해 표면에 적용될 수 있음은 이해되어야 한다.
몇몇 고려된 구체예에서, 본원에서 활용되는 특정 유기하이드리도실록산 수지은 하기 화학식을 가진다:
[H-Si1 .5]n[R-SiO1 .5]m 화학식 (1)
[H0 .5-Si1 .5-1.8]n[R0 .5-1.0-SiO1 .5-1.8]m 화학식 (2)
[H0 -1.0-Si1 .5]n[R-SiO1 .5]m 화학식 (3)
[H-Si1 .5]x[R-SiO1 .5]y[SiO2]z 화학식 (4)
상기 식에서:
n과 m의 합, x, y 및 z의 합은 약 8 내지 약 5000, 및 m 또는 y는 성분을 함유하는 탄소가 약 40 % 미만의 양(낮은 유기 함유량(Low Organic Content=LOSP)) 또는 40 % 초과의 양(높은 유기 함유량(High Organic Content=HOSP))으로 존재하도록 선택되며; R은 치환 및 비치환, 선형 및 분지형 알킬 (메틸, 에틸, 부틸, 프로필, 펜틸), 알케닐 기 (비닐, 알릴, 이소프로페닐), 사이클로알킬, 사이클로알케닐 기, 아릴 (페닐 기, 벤질 기, 나프탈레닐 기, 안트라세닐 기 및 페난트레닐 기), 및 이들의 혼합물로부터 선택되고, 여기서 치환체를 함유하는 탄소의 특정 몰 퍼센트는 출발 재료의 양의 비율의 공식이다. 몇몇 LOSP 구체예에서, 특별하게 바람직한 결과는 약 15 몰 퍼센트 내지 약 25 몰 퍼센트의 범위에서 치환체를 함유하는 탄소의 몰 퍼센트를 얻는다. 몇몇 HOSP 구체예에서, 바람직한 결과는 약 55 몰 퍼센트 내지 약 75 몰 퍼센트의 범위에서 치환체를 함유하는 탄소의 몰 퍼센트를 얻는다.
여러 가지의 고려된 폴리머는 실리콘과 산소 원자를 번갈아가며 포위하는 폴리머 백본을 포함한다. 이전에 알려진 유기실록산 수지과 대조하여, 본원에서 활용되는 몇몇 폴리머 및 무기-기재 조성물 및 재료는 필수적으로 백본 실리콘 원자에 결합된 하이드록실 또는 알콕시 기를 가지지 않는다. 오히려, 상기 언급된 백본 산소 원자에 추가로 각 실리콘 원자는 오직 하이드로겐 원자 및/또는 화학식 1, 2, 3 및 4에서 정의된 바와 같은 R 기에 결합된다. 오직 하이드로겐 및/또는 R 기가 폴리머 내 백본 실리콘 원자에 직접적으로 부착됨에 의해, 바라지 않은 사슬 연장 및 가교를 피할 수 있다. 그리고, 다른 것 중에서, 바라지 않는 사슬 연장 및 가교가 본 발명의 수지에서 피해지기 때문에, 이 수지 용액의 보존 기간은 이전에 알려진 유기실록산 수지과 비교하여 높아진다. 더구나, 실리콘-탄소 결합이 실리콘 하이드로겐 결합에 비해 반응성이 낮기 때문에, 본원에서 설명된 유기하이드리도실록산 수지 용액의 보존 기간은 이전에 알려진 하이드리도실록산 수지에 비해 높아진다.
이전에 언급된 고려된 화합물 및 이 화합물을 생산하는 방법은 미국 특허 제 6,143,855호 및 미국 출원 제 10/078,919 (2002년 2월 19일에 출원); 하니웰(Honeywell) 인터네셔널 인크에 의해 상용되는 HOSP® 생성물; 미국 특허 제 6,372,666호에 의해 알려진 것과 같은 나노다공성 실리카; 하니웰 인터네셔널 인크에 의해 상용되는 NANOGLASS®E 생성물; WO 01/29052에 의해 알려진 유기실세스퀴옥산; 및 미국 특허 제 6,440,550호에 의해 알려진 플루오로실세스퀴옥산에 의해 알려졌으며 이는 본원에서 그대로 통합된다. 다른 고려된 화합물은 하기 발행된 특허 및 심리중인 출원에서 설명되며, 이는 본원에서 그대로 참조로 통합된다: (PCT/US00/15772 (2000년 6월 8일); 미국 출원 제 09/330,248호 (1999년 6월 10일 출원); 미국 출원 제 09/491,166호(1999년 6월 10일 출원); 미국 특허 제 6,365,765호(2002년 4월 2일); 미국 특허 제 6,268,457호 (2001년 7월 31일); 미국 출원 제 10/001,143 (2001년 11월 10일 출원); 미국 출원 제 09/491,166 (2000년 1월 26일 출원); PCT/US00/00523 (1999년 1월 7일 출원); 미국 특허 제 6,177,199호 (2001년 1월 23일); 미국 특허 제 6,358,559 (2002년 3월 19일); 미국 특허 제 6,218,020호 (2001년 4월 17일); 미국 특허 제 6,361,820호 (2002년 3월 26일); 미국 특허 제 6,218,497호 (2001년 4월 17일); 미국 특허 제 6,359,099호 (2002년 3월 19일); 미국 특허 제 6,143,855호 (2000년 11월 7일); 미국 출원 제 09/611,528 (1998년 3월 20일 출원); 및 미국 출원 제 60/043,261호). 본원에서 고려된 실리카 화합물은 미국에서 발행된 미국 특허 제 6,022,812호; 제 6,037,275호; 제 6,042,994호; 제 6,048,804호; 제 6,090,448호; 제 6,126,733호; 제 6,140,254호; 제 6,204,202호; 제 6,208,041호; 제 6,318,124호 및 제 6,319,855호에 기재된 화합물이다.
몇몇 고려된 구체예에서, 폴리머 백본 형태는 케이지(cage) 배치이다. 따라서, 케이지 형태로 주어진 폴리머 수지에는 매우 낮은 수준 또는 반응성 말단 부분이 있다. 폴리머 백본의 케이지 형태는 또한 원하지 않는 사슬 연장 중합이 용액에서 일어나지 않으며, 연장된 보존 기간을 야기한다. 폴리머의 각 실리콘 원자는 3개 이상의 산소 원자에 결합된다. 폴리머 백본에 결합된 부분은 본원에서 설명된 하이드로겐 및 유기 기를한다. 본원에서 사용된 바와 같이, 용어 "백본"은 임의의 원자 또는 부분의 제거가 사슬의 중지를 야기하는 공유적 결합인 폴리머 스트랜드를 형성하는 연속적인 사슬 원자 또는 부분을 지칭한다.
본원에서 사용된 바와 같이, 용어 "모노머"는 자신과 또는 화학적으로 다른 화합물과 반복적 방법으로 공유 결합을 형성할 수 있는 임의의 화학적 화합물을 지칭한다. 예를 들어 라디칼 중합, 축합 중합, 부가 중합 등등을 통한 모노머 사이에서 반복적 결합 형성은 선형, 분지형, 슈퍼-분지형, 또는 3-차원 생성물을 유도할 수 있다. 더구나, 모노머 그들 자신은 반복적 빌딩 블록을 포함할 수 있고, 중합되는 경우에, 이러한 모노머로부터 형성되는 폴리머는 "블록 폴리머"로 불려진다. 모노머는 유기, 유기메탈릭 또는 무기 분자를 포함하는 다양한 화학적 부류의 분자에 속할 수 있다. 모노머의 분자량은 약 40 달톤 내지 20000 달톤으로 크게 변할 수 있다. 그러나, 특별하게는 모노머가 반복적 빌딩 블록을 포함하는 경우에, 모노머는 보다 높은 분자량을 가질 수 있다. 모노머는 또한 가교를 위해 사용되는 그룹과 같은 부가 그룹을 포함할 수 있다.
몇몇 고려된 구체예에서, 무기-기재 화합물의 분자량(MW 또는 Mn)은 재료의 용해성을 변화시키기 위한 목적으로 변화될 수 있다. 차례로, 재료의 용해성의 변화는 재료의 보이드를 막고 평탄화 능력을 증가시킬 수 있다.
흡수 화합물
초기에 언급된 바와 같이, 고려된 재료는 하나 이상의 흡수 화합물(absorbing compound) 및/또는 재료를 포함한다. 많은 나프탈렌-, 페난트렌- 및 안트라센-기재 화합물은 248 nm 이하에서 높은 흡수력을 가진다. 본원에서 페닐-기재와 같은 벤젠-기재 화합물은 200 nm 미만의 파장에서 높은 흡수력을 가진다. 이러한 나프탈렌-, 안트라센-, 페난트렌- 및 페닐-기재 화합물이 자주 염료로서 언급되는 동안, 용어 흡수 화합물은 이 화합물의 흡수가 스펙트럼의 가시 영역 파장에 제한되지 않기 때문에서 본원에서 사용된다. 그러나, 모든 이러한 흡수 화합물이 무반사 코팅 재료로 사용되기 위해 무기-기재 재료 속으로 통합될 수 있는 것은 아니다. 사용에 적합한 바람직한 흡수 화합물은 포토리소그래피에서 사용될 수 있는 248 nm, 193 nm, 157 nm와 같은 파장 또는 365 nm와 같은 다른 자외선 파장에서 집중된 한정가능한 흡수 피크를 가진다. 적합한 "한정 가능한 흡수 피크"는 포토리소그래피 기술 분야에서 통상적으로 알려진 방법에 의해 계산된 0.5 nm 이상의 너비를 가진다. 더욱 바람직한 구체예에서, 한정 가능한 흡수 피크는 1 nm 이상의 너비를 가진다. 더욱더 바람직한 구체예에서, 한정 가능한 흡수 피크는 5 nm 이상의 너비를 가진다. 가장 바람직한 구체예어서, 한정 가능한 흡수 피크는 10 nm 이상의 너비를 가진다.
적합한 흡수 화합물의 발색단은 전형적으로 하나 이상의 벤젠 고리를 가지고, 여기서 둘 이상의 벤젠 고리가 있으며, 고리는 융합되거나 되지 않을 수 있다. 통합 가능하지 않은 흡수 화합물은 발색단에 부착된 접근가능한 반응성 기를 가지며, 여기서 반응성 기는 알콕시 기, 아세톡시 기 또는 할로겐 원자와 같은 하나, 둘 또는 세 개의 "이탈 기"에 결합된 실리콘을 가진 하이드록실 기, 아민기, 카르복실산 기, 및 치환된 실릴 기를 포함한다. 에톡시 또는 메톡시 기 또는 염소 원자는 이탈기로 자주 사용된다. 고려된 반응성 기는 실리콘알콕시, 실리콘디알콕시 및 실리콘트리알콕시 기, 예를 들어 실리콘에톡시, 실리콘디에톡시, 실리콘트리에톡시, 실리콘메톡시, 실리콘디메톡시, 및 실리콘트리메톡시 기 및 할로실릴 기, 예를 들어 클로로실릴, 디클로로실릴, 및 트리클로로실릴 기, 및 아세톡시 기 예를 들어 메틸트리아세톡시실란, 테트라아세톡시실란을 포함한다.
반응성 기는 예를 들어 페닐트리에톡시실란에서, 또는 반응성 기가 에스테르, 케톤 및/또는 산소 결합 또는 탄화수소 브릿지를 통해 발색단에 부착될 수 있는 것과 같이, 또는 예를 들어 9-안트라센 카르복시-알킬 트리알콕시실란에서와 같이 발색단에 직접 결합될 수 있다. 발색단에 실리콘트리알콕시 기의 포함은 이로운 것으로 특별하게는 흡수 SOG 막의 안정성을 증진하기 위한 것으로 알려져 있다. 다른 유용한 흡수 화합물은 아조 기, -N=N-, 및 접근 가능한 반응성 기를 함유하는 화합물이며, 특별하게는 이들은 특별하게 365 nm 주위에서 흡수력이 특별한 장치를 필요로하는 경우에 아조 기 결합 벤젠 고리를 함유한다. 아조 기는 선형-사슬 분자, 고리 분자 또는 하이브리드 선형-사슬/고리 분자의 부분으로 포함될 수 있다.
흡수 화합물은 무기-기재 재료 매트릭스 내의 사이사이에 통합될 수 있다. 흡수 화합물은 또한 무기-기재 재료 또는 폴리머에 화합적으로 결합될 수 있다. 몇몇 고려된 구체예에서, 통합가능한 흡수 화합물은 접근가능한 반응성 기를 통해 무기-기재 재료 백본 또는 폴리머 백본을 형성한다.
흡수 화합물 및 재료는 또한 실리콘-기재 화합물 및 약 370 nm 미만의 파장에서 빛을 흡수하는 통합가능한 흡수 화합물을 포함할 수 있다. 추가로, 다른 구체예에서 하나 이상의 실리콘-기재 화합물 또는 통합가능한 흡수 화합물은 하나 이상의 알킬 기, 알콕시 기, 케톤 기, 아세톡시 기, 또는 아조 기를 포함하는 것으로 고려된다.
사용에 적합한 흡수 화합물의 예는 약 375 nm 미만, 예를 들어 365 nm, 248 nm, 193 nm 및 157 nm의 파장 주위에서 한정가능한 흡수 피크를 가지는 흡수 화합물을 포함하며, 이는 안트라플라브산 (1), 9-안트라센 카르복실산 (2), 9-안트라센 메탄올 (3), 9-안트라센 에탄올 (4), 9-안트라센 프로파놀 (5), 9-안트라센 부탄올 (6), 알리자린 (7), 퀴니자린 (8), 프리물린 (9), 2-하이드록시-4-(3-트리에톡시실릴프로폭시)-디페닐케톤 (10), 2-하이드록시-4-(3-트리메톡시실릴프로폭시)-디페닐케톤 (11), 2-하이드록시-4-(3-트리부톡시실릴프로폭시)-디페닐케톤 (12), 2-하이드록시-4-(3-트리프로폭시실릴프로폭시)-디페닐케톤 (13), 로졸산 (14), 트리에톡시실릴프로필-1,8-나프탈이미드 (15), 트리메톡시실릴프로필-1,8-나프탈이미드 (16), 트리프로폭시실릴프로필-1,8-n-아프탈리미드 (17), 9-안트라센 카르복시-메틸 트리에톡시실란 (18), 9-안트라센 카르복시에틸 트리에톡시실란 (19), 9-안트라센 카르복시-부틸 트리에톡시실란 (20), 9-안트라센 카르복시프로필 트리에톡시실란 (21), 9-안트라센 카르복시-메틸 트리메톡시실란 (22), 9-안트라센 카르복시-에틸 트리부톡시실란 (23), 9-안트라센 카르복시-메틸 트리프로폭시실란 (24), 9-안트라센 카르복시-프로필 트리메톡시실란 (25), 페닐트리에톡시실란 (26), 페닐트리메톡시실란 (27), 페닐트리프로폭시실란 (28), 10-페난트렌 카르복시-메틸 트리에톡시실란 (29), 10-페난트렌 카르복시-에틸 트리에톡시실란 (30), 10-페난트렌 카르복시-메틸 트리메톡시실란 (31), 10-페난트렌 카르복시-프로필 트리에톡시실란 (32), 4-페닐아조페놀, (33), 4-에톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란 (34), 4-메톡시페닐아조벤젠-4-카르복시-에틸 트리에톡시실란 (35), 4-에톡시페닐아조벤젠-4-카르복시-프로필 트리에톡시실란 (36), 4-부톡시페닐아조벤젠-4-카르복시-프로필 트리에톡시실란 (37), 4-메톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란 (38), 4-에톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란 (39), 4-메톡시페닐아조벤젠-4-카르복시-에틸 트리에톡시실란 (40), 4-메톡시페닐아조벤젠-4-카르복시-프로필 트리에톡시실란 (41), 및 이들의 조합물과 같은 화합물을 포함한다. 흡수 화합물 1-41의 화학식은 도 1a-1f에 도시되어 있다. 이로운 결과는 9-안트라센 메탄올 (3), 2-하이드록시-4-(3-트리에톡시실릴프로폭시)-디페닐케톤 (10), 및 로졸산 (14)와 조합하여 9-안트라센 카르복시-메틸 트리에톡시실란 (18) 및 페닐트리에톡시실란 (26)으로 얻어진다. 그러나 특정 화합물의 이러한 목록은 모든 것을 포괄하는 목록이 아님은 이해되어야 하고, 고려된 화합물은 이러한 특정 화합물을 포함하는 더욱 넓은 화학 화합물 종류로부터 선택될 수 있음은 이해되어야 한다. 적합한 흡수 화합물은 유기-기재 또는 무기-기재 화합물일 수 있음은 또한 이해되어야 한다. 몇몇 고려된 구체예에서, 흡수 화합물은 ARC가 포토레지스트와 같은 화학적 특성을 공유하지 않는 한(이는 유용한 공정 순서를 제한할 수 있다) 유기-기재일 수 있다. 그러나, pH 조율제와 같은 흡수 조성물 및/또는 코팅 재료에 재료 변형제의 첨가가 흡수 재료 및/또는 흡수 코팅 재료와 임의의 포토리소그래픽 레지스트 재료가 조화되도록 하고 이들을 서로 양립가능 하도록 하기 때문에 이러한 포토레지스트 재료의 종류는 광범위할 수 있다. 몇몇 고려된 포토리소그래픽 레지스트 재료의 예는 아크릴레이트-기재 레지스트 재료, 에폭시-기재 화학적으로 증폭된 레지스트, 플루오로폴리머 레지스트 (157 nm 흡수 파장을 고려하는 경우에 특별하게 유용함), 폴리(노르보넨-말레 무수물) 교대 공중합체, 폴리스티렌 계 및 디아조나프토퀴논/노볼락 레지스트를 포함한다.
흡수 화합물 1-25 및 29-41는 예를 들어 알드리치 케미칼 컴페니(밀워키, 위스콘신)(Aldrich Chemical Company)로부터 상용화되어 있다. 9-안트라센 카르복시-알킬 트리알콕시실란은 모든 관련되고 공유된 외국 및 국내 발행 특허 및 특허출원을 포함하여 공유재산이 되고 참조로서 본원에 그대로 통합된 PCT 특허 출원 PCT/US02/36327 (2002년 11월 12에 출원)에서 설명된 것과 같이 에스테르화 방법을 사용하여 합성된다. 흡수 화합물 26-28는 겔레스트 인크(툴리타운, 펜실베니아)(Gelest, Inc. (Tullytown, Pa.))로부터 상용화되어 있다. 흡수 화합물 (26-28)에 추가하여 겔레스트 인크로부터 다수가 상용화되는 페닐-기재 흡수 화합물의 예는 페닐 고리 또는 치환된 페닐, 예를 들어 메틸페닐, 클로로페닐, 및 클로로메틸페닐에 부착된 실리콘-기재 반응성 기를 가진 구조를 포함한다. 특정 페닐-기재 흡수 화합물은 페닐트리메톡시실란, 벤질트리클로로실란, 클로로메틸페닐트리메톡시실란, 페닐트리플루오로실란을 포함하며, 이는 오직 몇몇 예를 지정하기 위함이다. 오직 몇몇 예를 지정하기 위한 디페닐메틸에톡시실란 , 디페닐디에톡시실란, 및 디페닐디클로로실란와 같은 하나 이상의 "이탈기"를 포함하는 디페닐 실란은 또한 적합한 통합가능 흡수화합물이다. 알콕시벤조산는 또한 메톡시벤조산을 포함하여 흡수 화합물로서 사용될 수 있다.
9-안트라센 카르복시-알킬 트리알콕시실란 화합물을 합성하는 일반적인 방법은 반응 물질로서 9-안트라센 카르복실산 및 클로로메틸 트리알콕시실란 화합물을 사용하는 것을 포함한다. 특별하게는 9-안트라센 카르복시-메틸 트리에톡시실란 (18)을 합성하는 방법은 반응 물질로서 9-안트라센 카르복실산 (2) 및 클로로메틸 트리에톡시실란을 사용한다. 반응 물질은 사전에 4 Å 분자 체(sieves) 위에서 건조된 트리에틸아민 및 메틸이소부틸케톤 (MIBK)과 결합되어 반응 혼합물을 형성하고 이는 가열시켜 약 6시간 내지 10시간 동안 환류시키고 환류된다. 환류 후에, 반응 혼합물을 밤새 냉각시켜 다량의 고체 침전물을 유도한다. 남아있는 용액을 로토-증발(roto-evaporated)시키고, 실리카겔 칼럼을 통해 여과시키고 두 번 로토-증발시켜 9-안트라센 카르복시-메틸 트리에톡시실란 (18)을 정화될 수 있는 검은 황갈색 지성 액체로 생산하였다. 이 방법은 9-안트라센 카르복시-에틸 트리에톡시실란, 9-안트라센 카르복시-프로필 트리메톡시실란, 및 9-안트라센 카르복시-프로필 트리에톡시실란을 포함하는 9-안트라센 카르복시-알킬 트리알콕시실란의 종류 내의 임의의 화합물을 생산하기 위한 사용에 적합하기 때문에 중요하다.
재료 변형제
언급된 바와 같이, 본원에서 고려된 흡수 조성물 및/또는 코팅 재료는 하나 이상의 재료 변형제(material modification agent)를 포함한다. 하나 이상의 재료 변형제는 예를 들어 조성물의 에칭 선택도, 안정성 또는 보존 기간을 개선함에 의해 최종 막 또는 층 재료의 포토리소그래픽, 적합성 및/또는 물리적 특성을 개선하고, 필 비아를 최소화함에 의해 접착 특성 및/또는 스트립핑 선택도를 개선하도록 코팅 재료를 수정할 수 있는 임의의 화합물 또는 조성물을 포함할 수 있다. 하나 이상의 재료 변형제는 하나 이상의 접착력 증가, 하나 이상의 pH 조율제, 하나 이상의 포로젠, 하나 이상의 고비점 용매, 하나 이상의 가교제, 하나 이상의 촉매, 하나 이상의 캡핑제 및/또는 이들의 조합물을 포함할 수 있다.
접착력 증가제
몇몇 고려된 구체예에서, 하나 이상의 접착력 증가제는 a) 전자 및 반도체 소자 제조에서 일반적으로 사용되는 온도에서 베이킹과 같은 열 처리 후에 열적으로 안정하고(실시예 2 및 도 2-5 참조); b) 상대적으로 낮은 촉매 성질, 다시 말해 도너가 첨가되는 조성물에서 중요한 가교 역할을 개시하지 않는 성질을 가지고; c) 상대적으로 중성으로 조성물은 낮은 pH로 유지되며; d) 조성물의 pH를 낮게 하기 위해 산성이고; e) 첨가되는 조성물에서 분자량이 증가하는 반응을 개시 또는 전파하지 않으며; f) 통상적으로 이해되는 반데르발스 상호작용과 대립하는 것으로서 재료의 층 사이에서 정전기 및 콜롱의 법칙에 따른 상호작용을 일으킴에 의해 접착력 증가제로서 놀랍게 작용할 수 있는 특성 중 하나 이상의 특성을 포함한다.
UV에서 낮은 흡수력을 위해 고안된 유기 레지스트 폴리머에 접착은 이러한 레지스트가 평탄하지 않고 접착에 있어 상호 작용하는 작용 기가 적기 때문에 본질적으로 어렵다. 실리카-기재 제형을 특정적으로 이러한 유기 레지스트 폴리머에 접착하는 메카니즘은 하나 이상의 경로를 따르며 상기 경로는 a) 실라놀 함유량의 감소와 반데르발스 상호작용의 증가에 따른 접착력 증가와 b) 정전기적 및 콜롱의 법칙에 따른 상호작용과 같은 이온 기여의 증가에 따른 접착력 증가이다.
놀랍게도, 두 가지 경로가 역할을 수행하지만, 후자 메카니즘이 우세한 것으로 알려져 있다. 고려된 구체예에서, 하나 이상의 약산/약염기, 하나 이상의 약산/강염기, 하나 이상의 강산/강염기, 하나 이상의 강산/약염기, 하나 이상의 아민 염기, 하나 이상의 아민 염 또는 이들의 조합물과 같은 하나 이상의 접착력 증가제의 첨가는 정전기 및 콜룽의 법칙에 따른 상호작용을 증가시킨다. 이상적 및 실험적 결과 둘 다는 염 및 아민의 중성이 아닌 형태(비-이온)가 레지스트와의 접착력을 충분히 증가시켜 리소그래픽하게 정의된 레지스트 라인의 붕괴를 피하는 것을 보여준다. 이 접착력 증가는 보다 높은 pH 아민 제형(예를 들어 pH 5.5 제형)의 성공적 사용으로 증명되며 여기서 아미노프로필트리에톡시실란(aminopropyltriethoxysilane; APTEOS) 니트레이트 염이 형성된다. 이 메카니즘은 또한 아미노프로필트리에톡시실란(APTEOS) 아세테이트, 아미노프로필트리에톡시실란(APTEOS) 설포네이트, 아미노프로필트리에톡시실란(APTEOS) 메탄설포네이트, 아미노프로필트리에톡시실란(APTEOS) 트리플레이트, 아미노프로필트리에톡시실란(APTEOS) 토실레이트, 아미노프로필트리에톡시실란 노나플루오로부탄-1-설포네이트(aminopropyltriethoxysilane nonafluorobutane-1-sulfonate; APTEOS nfbs), 테트라메틸암모늄 하이드록사이드, 테트라메틸암모늄 아세테이트, 테트라메틸암모늄 니트레이트, 테트라메틸암모늄 설페이트, 테트라메틸암모늄 메탄설포네이트, 테트라메틸암모늄 트리플레이트, 테트라메틸암모늄 토실레이트, 테트라메틸암모늄 nfbs, 테트라메틸암모늄 트리플레이트, 암모늄 니트레이트, 암모늄 아세테이트, 암모늄 트리플레이트, 암모늄 토실레이트, 암모늄 설포네이트, 암모늄 메탄설포네이트, 또는 임의의 다른 아민 염 또는 아민 염의 조합물과 같은 다른 아민 염을 사용하는 경우에 발견될 수 있다. 적합한 아민 염기는 암모늄, 피리딘, 아닐린, 테트라메틸암모늄 하이드록사이드(tetramethylammonium hydroxide; TMAH), 세틸트리메틸암모늄 하이드록사이드(cetyltrimethylammonium hydroxide; CTAH), 테트라부틸암모늄 하이드록사이드(tetrabutylammonium hydroxide; TBAH), 아미노프로필트리에톡시실란(aminopropyltriethoxysilane; APTEOS) 또는 이들의 조합물을 포함한다. 이상적 접착 에너지는 큰 R기를 가진 암모늄 중심에서와 같이, 더욱 높은 이온 염(중심에 높게 충전)이 충전이 더욱 분산될 수 있는 것보다 접착력을 높게 증가시키는 것을 보인다(하기 표 1 참조). 모델링 실험을 위해 사용된 메카니즘 및 장치는 낸시 이와모토(Nancy Iwamoto)에 권리가 있는 미국 특허 제 6,544,650호 및 미국 출원 제 09/543,628호; 제 10/113,461호; 제 10/326,233호 및 관련된 PCT 출원 예를 들어 PCT/US03/07607, 및 하니웰 인터네셔널 인크에 의해 일반적으로 소유된 외국 출원에 기재되어 있고, 본원에 그대로 통합되었다.
접착력 J/m 2
Lo j/m 2
노르보넨 -기재 레지스트에 높은 실라놀 함유량 DUV193 의 접착력 3.74
노르보넨 -기재 레지스트에 낮은 실라놀 함유량 DUV193 의 접착력 5.66
높은 실라놀 함유량 DUV 193에 접착제 접착제 J/m 2
트리비닐실란 4.05
세틸트리메틸암모늄 하이드록사이드 2.57
tmah 첨가 (tmah 니트레이트) 5.18
py 니트레이트 5.72
트리메틸설포늄 메틸설페이트 4.70
테트라메틸암모늄 메탄설포네이트 5.50
테트라메틸암모늄 벤젠설포네이트 5.20
테트라메틸암모늄 톨루엔설포네이트 3.9
테트라메틸암모늄 트리플레이트 (트리플루오로메탄설포네이트) 4.5
APTEOS 트리플레이트 (트리플루오로메탄설포네이트) 5.2
APTEOS 메탄설포네이트 5.2
NH4 트리플레이트 6
NH4 니트레이트 6
NH4 톨루엔설포네이트 4.8
NH4 메탄설포네이트 5.3
APTEOS 니트레이트 4.9
APTEOS 톨루엔 설포네이트 2.9
NH4OH 3.8
APTEOS 트리플레이트 (트리플루오로메탄설포네이트) 5 분자 물 3.82
NH4 트리플레이트 (5 분자 물) 3.88
NH4 트리플레이트 (2 분자 물) 5.85
APTEOS 니트레이트 첨가 그러나 결함 없음 3.85
염 아닌 APTEOS, 결합 (APTEOS 니트레이트 염이 4.9인 것과 비교) 3.08
암모늄 트리플레이트 + 암모늄 트리플레이트 8.3
APTEOS 트리플레이트 + 암모늄 트리플레이트 6.1
APTEOS 트리플레이트 + APTEOS 트리플레이트 5.1
본원에서 사용되는 것과 같이, 용어 "접착력 증가제"는 표적 조성물과 함께 사용되는 경우에 표적 조성물만 사용하는 경우와 비교하여 기판 및/또는 표면에 표적 조성물의 접착력을 증가시키는 임의의 성분을 의미한다. 바람직하게는 하나 이상의 접착력 증가제는 코팅 재료 또는 본원에서 설명된 조성물과 함께 사용되며, 여기서 하나 이상의 접착력 증가제는 재료 변형제로서 역할을 한다. 표적 조성물은 기판, 표면, 층 표면, 전자 또는 반도체 소자에 흡수 조성물, 코팅 재료 및/또는 열로 분해가능한 폴리머를 포함하여 적용될 수 있거나 되는 임의의 조성물을 포함한다. 접착력 증가제는 열로 분해가능한 폴리머 선구물질 또는 열로 분해가능한 폴리머 선구물질에 붙는 접착제와 반응하는 코모노머일 수 있다. 여러 가지 유용한 접착력 증가제의 예는 일반적으로 출원 계속 중인 미국 출원 제 10/158,513호(2002년 5월 30일)에 설명되어 있고, 이는 본원에 그대로 통합되었다.
추가로, 접착력의 증가는 조절된 농도이며, 실카-레지스트의 계면에 아민 염을 집중하도록 하는 임의의 과정은 접착력에 도움을 줄 것이다. 간단한 용액은 제형에 도입된 염의 양을 증가시킨다. 또 다른 용액은 레지스트의 증착 전의 짙은 염 용액의 "프리웨트(prewet)"이다. 이러한 다른 공정은 용매의 조절에 의한 염의 솔베이트 조절; 스핀 코팅 또는 베이크 중에 용매의 증발 조절; 염의 용해성을 조절하는 용해성 조절제의 첨가, 및 레지스트에 암모늄 류의 첨가를 포함한다.
모델링은 염 혼합물이 단일 성분과 같은 효과로 사용될 수 있음을 보여준다. 이 혼합된 염 접착력 증가 법은 유기 아민의 증가가 용매 적합성을 필요로 하는 경우에 사용될 수 있다. 이 경우에, 치환된 암모늄 중심에 큰 R 기는 사용될 수 있지만, 접착력의 손실은 암모늄과 같은 더욱 많이 충전된 중심의 첨가에 의해 보상될 수 있다.
언급된 바와 같이, 고려된 접착력 증가제는 질소, 인 또는 임의의 다른 유사한 특성의 원자를 포함할 수 있다. 고려된 접착력 증가제가 아민 염, 메틸암모늄 니트레이트, 테트라메틸암모늄 아세테이트 (tetramethylammonium acetate; TMAA), 테트라부틸암모늄 아세테이트 (tetrabuthylammonium acetate; TBAA), 세틸트리메틸암모늄 아세테이트 (cetyltrimethylammonium acetate; CTAA), 및 테트라메틸암모늄 니트레이트 (tetramethylammonium nitrate; TMAN)와 같은 중성 또는 산성 화합물 또는 분자를 포함할 수 있다. 테트라메틸암모늄 니트레이트(TMAN)는 물에서 테트라메틸암모늄 니트레이트(TMAN)를 용해시키거나 테트라메틸암모늄 아세테이트(TMAA) 또는 테트라메틸암모늄 하이드록사이드(TMAH)를 테트라메틸암모늄 니트레이트(TMAN)로 질산을 사용하여 전환함에 의해 얻어질 수 있다. 고려된 염은 강산 및 1차, 2차, 3차 또는 4차 아민으로부터 얻어지는 염을 포함한다.
몇몇 구체예에서, 흡수 조성물 및/또는 코팅 재료를 함유하는 질소 내 "질소 몰/Si-화합물 중량 (ppm)"의 비는 약 0.01보다 크다. 다른 구체예에서, 흡수 조성물 및/또는 코팅 재료를 함유하는 질소 내 "질소 몰/Si-화합물 중량 (ppm)"의 비는 약 3보다 크다. 또 다른 구체예에서, 흡수 조성물 및/또는 코팅 재료를 함유하는 질소 내 "질소 몰/Si-화합물 중량 (ppm)"의 비는 약 4보다 크다. 최적의 비는 코팅 재료/조성물, 예를 들어 재료/조성물에 존재하는 유기 부분의 양, 재료/조성물에 존재하는 가교의 정도 및 재료/조성물의 pH의 당업자에 의해 여러 가지 특성들의 평가에 의존하지만, 상기 비는 질소-함유 조성물에 관하여 임의의 다른 이전에 언급된 재료/조성물 특성보다 많이 리소그래피 특성 및 비아 필 특성에 영향을 미친다는 것을 이해해야 한다. 재료/조성물의 존재하는 유기 부분의 양, 존재하는 가교의 정도 및/또는 pH에 의존하여, 적합한 몰/중량 비는 인지될 수 있고, 본원에서 고려된 흡수 조성물 및/또는 코팅 재료를 생산하는데 사용될 수 있음은 또한 이해되어야 한다. 이 특성들은 하기 표 2에서 나타나 있다:
N 몰/중량 (ppm) BOE 습식 스트립 율 물 접촉 각
pH 약 5.5 (1070 ppm APTEOS)와 193 nm 흡수 조성물 4.834 ~560 67
수정된 실란 pH 5.5 (1070 ppm) 4.834 <100 74
pH 약 5.5 + 600 ppm AS_TMAA와 193 nm 흡수 조성물 4.505 ~200 77.5
수정된 실란 pH 3.0 (400 ppm) 1.807 ~100 72
pH 약 3 (400 ppm)와 193 nm 흡수 조성물 1.807 >800 (측정) ~71
pH 약 2 (130 ppm)와 193 nm 흡수 조성물 0.587 >800 (측정) 69.5
pH 약 1.5 + 30 ppm TMAA와 193 nm 흡수 조성물 0.225 ~500 69
pH 약 1.5와 193 nm 흡수 조성물 0.000 >1000 64
재료 변형제로서 하나 이상의 접착력 증가제의 첨가는 표 2로부터 볼 수 있는 바와 같이 리소그래피, 습식 식각 및 비아 필을 개선시킬 수 있다. 상기 실시예는 나눠져 있고 아랫부분은 이들의 고려된 구체예와 관련된 추가 데이터를 보여준다. 언급된 바와 같이, 하나 이상의 접착력 증가제는 가교제 또는 촉매로서 또한 기능할 수 있음을 이해되어져야 한다.
본원에서 고려된 접착력 증가제는 또한 두 개 이상의 기능성을 가지는 화합물을 포함할 수 있으며, 여기서 두 개의 기능성은 동일하거나 상이할 수 있고 제 1 기능성 및 제 2 기능성 중 하나 이상은 Si-함유 기; N-함유 기; C-O-함유 기; 하이드록실 기; 및 C=C-함유 기으로 구성되는 군로부터 선택된다. 본원에서 사용되는 "두 개 이상의 기능성을 가지는 화합물"의 표현은 2개 이상의 기능성 기를 가지는 임의의 화합물을 의미하며, 이는 하기와 같은 상호작용 또는 반응, 결합의 형성을 가능하게 한다. 기능성 기는 부가 반응, 친핵성 및 전자친화성 치환 또는 제거, 라디칼 반응 등을 포함하는 다양한 방법으로 반응할 수 있다. 추가 교반응(alternative reaction)은 또한 비공유 결합, 예를 들어 반데르발스, 정전기 결합, 이온 결합 및 수소결합의 형성을 포함할 수 있다.
하나 이상의 접착력 증가제의 몇몇 구체예에서, 바람직하게는 제 1 기능성 및 제 2 기능성 중 하나 이상은 Si-함유 기; N-함유 기; C-O-함유 기; 하이드록실 기; 및 C=C-함유 기으로부터 선택된다. 바람직하게는, Si-함유 기은 Si-H, Si-O, 및 Si-N로부터 선택되며; N-함유 기은 예를 들어 C-NH2 또는 다른 2차 및 3차 아민, 이미노, 아미드, 및 이미드로부터 선택되고; CO-함유 기는 =CO, 카르보닐기 예를 들어 케톤 및 알데하이드, 에스테르, -COOH, 1 내지 5 개의 탄소 원자를 가지는 알콕실, 에테르, 글리시딜 에테르; 및 에폭시로부터 선택되며; 하이드록실기는 페놀이고; C=C-함유 기는 알릴 및 비닐 기로부터 선택된다. 반도체 장치를 위해, 더욱 바람직한 기능성 기는 Si-함유 기; C-O-함유 기; 하이드록실 기; 및 비닐 기를 포함한다.
고려된 접착력 증가제는 또한 페놀-함유 수지, 노볼락 수지, 예를 들어 CRJ-406 또는 HRJ-11040 (둘 다 스키넥테디 인터네셔날 인크(Schenectady International, Inc.)로부터 얻음), 유기 아크릴레이트 및/또는 스티렌 수지을 추가로 포함하는 유기 수지-기재 재료를 포함할 수 있다. 다른 접착력 증가제는 폴리디메틸실록산 재료, 에톡시 또는 하이드록시-함유 실란 모노머, 비닐-함유 실란 모노머, 아크릴레이트 실란 모노머, 또는 실릴 수소화물을 포함할 수 있다.
Si-함유 기를 가지는 고려된 접착력 증가제의 예는 (R14)k(R15)lSi(R16)m(R17)n인 화학식 I의 실란이며, 여기서 R14, R15, R16, 및 R17는 각각은 독립적으로 하이드로겐, 하이드록실, 비포화 또는 포화 알킬, 치환 또는 비치환 알킬을 나타내고 여기서 치환체는 아미노 또는 에폭시, 포화 또는 비포화 알콕실, 포화 또는 비포화 카르복실산 라디칼, 또는 아릴이며; R14, R15, R16, 및 R17 중 둘 이상은 하이드로겐, 하이드록실, 포화 또는 비포화 알콕실, 비포화 알킬, 또는 비포화 카르복실산 라디칼; 및 k+l+m+n≤4이다. 실시예는 H2C=CHSi(CH3)2H 및 H2C=CHSi(R18)3과 같은 비닐실란을 포함하며 R18는 CH3O, C2H5O, AcO, H2O=CH, 또는 H2C=C(CH3)O-, 또는 비닐페닐메틸실란; 화학식 H2C=CHCH2-Si(OC2H5)3의 알릴실란 및 H2C=CHCH2-Si(H)(OCH3)2 글리시독시프로필실란 예를 들어 (3-글리시독시프로필)메틸디에톡시실란 및 (3-글리시독시프로필)트리메톡시실란; 화학식 H2C=(CH3)COO(CH2)3-Si(OR19)3의 메타아크릴록시프로필실란이고, 여기서 R19는 알킬, 바람직하게는 메틸 또는 에틸; 아미노프로필실란 유도체이며 H2N(CH2)3Si(OCH2CH3)3-, H2N(CH2)3Si(OH)3, 또는 H2N(CH2)3OC(CH3)2CH=CHSi(OCH3)3을 포함한다. 상기 언급된 실란은 겔레스트로부터 상용된다.
C-O-함유 기을 가지는 바람직한 접착력 증가제의 예는 트리퀘스트(TriQuest)로부터 상용되는 글리시딜 에테르이며 1,1,1-트리스-(하이드록시페닐)에탄 트리-글리시딜 에테르를 포함하지만 이에 제한되지 않는다. C-O-함유 기를 가지는 바람직한 접착력 증가제의 예는 하나 이상의 카르복실산 기를 함유하는 비포화 카르복실산의 에스테르이다. 실시예는 3작용성 메타크릴레이트 에스테르, 3기능성 아크릴레이트 에스테르, 트리메틸롤프로판 트리아크릴레이트, 디펜타에리트리톨 펜타아크릴레이트, 및 글리시딜 메타크릴레이트를 포함한다. 상기 접착력 증가제는 사르토머(Sartomer)로부터 상용된다.
비닐 기를 가지는 바람직한 접착력 증가제의 예는 비닐 사이클릭 피리딘 올리고머 또는 폴리머이며, 여기서 사이클릭 기는 피리딘, 아로마틱, 또는 헤테로아로마틱이다. 유용한 실시예는 라일릴(Reilly)로부터 상용되는 2-비닐피리딘 및 4-비닐피리딘을 포함하지만 이에 제한되지 않고; 비닐 아로마틱; 및 비닐 헤테로아로마틱을 포함하고 비닐 퀴놀린, 비닐 카르바졸, 비닐 이미다졸, 및 비닐 옥사졸을 포함하지만 이에 제한되지 않는다.
Si-함유 기을 가지는 바람직한 접착력 증가제의 예는 본원에 그대로 참조로서 통합된 공유된 미국 특허 출원 제 09/471,299 (1999년 12월 23일 출원)에서 설명된 폴리카르보실란이다. 폴리카르보실란은 하기 화학식에서 확인된다.
Figure 112012069630517-pat00001
상기 식에서, R20, R26, 및 R29는 각각 독립적으로 치환 또는 비치환 알킬렌, 사이클로알킬렌, 비닐렌, 알릴렌, 또는 아릴렌을 나타내고; R21, R22, R23, R24, R27, 및 R28은 각각 독립적으로 하이드로겐 원자 또는 알킬, 알킬렌, 비닐, 사이클로알킬, 알릴, 또는 아릴을 포함하는 유기 기이고 선형 또는 분지형일 수 있으며; R25는 유기실리콘, 실라닐, 실록실, 또는 유기 기를 나타내고; p, q, r, 및 s는 [4≤p+q+r+s≤100,000]의 조건을 만족시키고, q 및 r 및 s는 전체적으로 또는 독립적으로 0 일 수 있다. 유기 기는 18개 이하의 탄소 원자를 포함할 수 있지만 일반적으로는 약 1 내지 약 10개의 탄소 원자를 포함한다. 유용한 알킬 기는 -CH2- 및 -(CH2)t-를 포함하며 여기서 t>1이다.
고려된 폴리카르보실란은 디하이드리도폴리카르보실란을 포함하며 R20는 치환 또는 비치환 알킬렌 또는 페닐이고, R21 기는 하이드로겐 원자이며 폴리카르보실란 사슬에는 부가 라디칼이 없고; q, r, 및 s은 모두 0이다. 폴리카르보실란의 또 다른 바람직한 기는 화학식 II의 R21, R22, R23, R24, R25, 및 R28 기가 2 내지 10 개의 탄소 원자를 가지는 치환 또는 비치환 알케닐 기인 것들이다. 알케닐 기는 에테닐, 프로페닐, 알릴, 부테닐 또는 10 개 이하의 탄소 원자를 가지는 임의의 다른 비포화 유기 백본 라디칼일 수 있다. 알케닐 기는 본질적으로 디에닐일 수 있고 부가된 비포화 알케닐 라디칼 또는 그렇지 않다면 치환된 알킬 또는 비치환된 유기 폴리머 백본을 포함한다. 이 바람직한 폴리카르보실란의 실시예는 디하이드리도 또는 알케닐 치환 폴리카르보실란 예를 들어 폴리디하이드리도카르보실란, 폴리알릴하이드리디도카르보실란 및 폴리디하이드리도카르보실란 및 폴리알릴하이드리도카르보실란의 랜덤 공중합체를 포함한다.
더욱 바람직한 폴리카르보실란에서, 화학식 II의 R21기는 하이드로겐 원자이고 R21는 메틸렌이고 부가 라디칼 q, r, 및 s는 0이다. 본 발명의 다른 바람직한 폴리카르보실란 화합물은 화학식 II의 폴리카르보실란이며, 여기서 R21 및 R27는 하이드로겐이고, R20 및 R29는 메티렌이며, R28는 알케닐이고, 부가 라디칼 q 및 r는 0이다. 폴리카르보실란은 잘 알려진 앞선 분야 공정으로부터 제조될 수 있거나 폴리카르보실란 조성물의 제조에 의해 제공될 수 있다. 가장 바람직한 폴리카르보실란은 화학식 II의 R21 기는 하이드로겐 원자이고; R24는 -CH2-이며; q, r, 및 s는 0이고; p는 5 내지 25이다. 이 가장 바람직한 폴리카르보실란은 스타파이어 시스템 인크(Starfire Systems, Inc)로부터 얻어질 수 있다. 이 가장 바람직한 폴리카르보실란의 특정 예는 하기와 같다:
Figure 112012069630517-pat00002
화학식 II에서 관찰되는 바와 같이, 활용되는 폴리카르보실란은 r>0인 경우에 실록실 기 형태인 산화 라디칼을 함유할 수 있다. 따라서, R25은 r>0인 경우에 유기실리콘, 실라닐, 실록실, 또는 유기 기를 나타낸다. 폴리카르보실란 (r>0)의 산화 버전은 매우 효과적으로 작용하고, 본 발명의 범위 내에 있음을 인식해야 한다. 또는 명백하게, 화학식 II 폴리카르보실란의 라디칼 p, q, r, 및 s은 [4<p+q+r+s<100,000]의 조건을 만족시켜야한다는 유일한 조건하에 r은 p, q, 및 s에 독립적으로 0일 수 있고, q 및 r은 전체적으로 또는 독립적으로 0일 수 있다.
폴리카르보실란은 많은 제조자로부터 현재 상업적으로 유용한 출발 재료로부터 및 통상적 중합 공정을 사용함에 의해 생산될 수 있다. 폴리카르보실란의 합성 예로서, 출발 재료를 일반 유기 실란 화합물 또는 폴리실란으로부터, 출발 물질을 사용하여, 불활성 기체 내에서 폴리보로실록산과 폴리실란의 혼합물을 가열함에 의해 대응하는 폴리머를 생산하거나 불활성 기체 내에서 저 분자량 카르보실란과 폴리실란의 혼합물을 가열함에 의해 대응하는 폴리머를 생산하거나 불활성 기체 내 및 폴리보로디페닐실록산와 같은 촉매의 존재 하에서 저 분자 카르보실란과 폴리실란의 혼합물을 가열함에 의해 대응하는 폴리머를 생산할 수 있다. 폴리카르보실란은 또한 본원에 참조로서 그대로 통합된 미국 특허 제 5,153,295호에서 보고된 그리그나드 반응(Grignard Reaction)에 의해 합성될 수 있다.
하이드록실 기를 가지는 바람직한 접착력 증가제의 예는 -[R30C6H2(OH)(R31)]u-인 화학식 III의 올리고머 또는 페놀-포름알데하이드 수지이며, 여기서 R30는 치환 또는 비치환 알킬렌, 사이클로알킬렌, 비닐, 알릴, 또는 아릴이고; R31는 알킬, 알킬렌, 비닐렌, 사이클로알킬렌, 알릴렌, 또는 아릴이며; u=3-100이다. 유용한 알킬 기의 실시예는 -CH2- 및 -(CH2)n-를 포함하며 여기서 v>1이다. 특별하게 유용한 페놀-포름알데하이드 수지 올리고머는 분자량 1500이고 스키넥테디 인터네셔날 인크로부터 상용된다.
본원에서 고려된 또 다른 적절한 접착력 증가제는 본원에 이미 기재된 것과 같고 노나플루오로부탄-1-설폰산 (nfbs) 또는 도데실벤젠설폰산 (dbs)과 같은 긴 사슬 또는 벌크 군을 가진 하나 이상의 산을 사용하여 합성된 아민 염을 활용하거나 산-TEOS와 같은 반응성 작용기를 가지는 실란과 결합된 산을 활용한다. 고려된 구체예는 산이 흡수 조성물/포토레지스트 층 조성물에서 흡수 조성물로부터 포토레지스트까지 확산함을 막는다. 산의 이 확산을 막음에 의해, 두 층 사이에서 접착력은 매우 개선된다.
하나 이상의 접착력 증가제는 또한 이중 목적 또는 다중 단계 목적을 제공할 수 있다. 접착력 증가제는 흡수 조성물의 접착력을 증진시키기 위한 목적으로 극에 있는 특별한 흡수 조성물 및/또는 접착력 증가제를 필요로 하는 이 특징부와 다른 작용기를 위해 특정적으로 선택될 수 있다. 접착력 증가제가 조성물 속으로 통합되자마자, "마그넷"으로서 효과적으로 작용하여 접착력 증가제 사이의 극의 차이를 활용하거나 접착력 증가제에 작용기를 활용함에 의해 스트립핑 및/또는 에칭 용액를 접착력 증가제로 끌 것이다. 접착력 증가제에 의한 이 유인의 효과는 여러 가지 방법에서 활용될 수 있다. 예를 들어, 접착력 증가제가 흡수 조성물과 상온에서 통합되는 경우에 발생되는 발열 반응이 존재할 수 있거나, 접착력 증가제를 "활성화"하기 위해 첨가될 필요가 있는 외부 에너지 및/또는 열이 존재할 수 있거나, 접착력 증가제의 화학 조성물에 의존하여 조성물에 첨가되는 에너지가 불필요하게 될 수 있거나, 접착력 증가제를 "활성화"시킬 흡수 조성물에 적용되는 압력 차가 있을 수 있다. 그러나, 접착력 증가제가 첨가되자마자 에너지는 첨가되거나 되지 않을지라도, 이 구체예에서 접착력 증가제는 리소그래피 단계가 완성될 때까지 가열되지 않거나 화학적으로 수정되거나 붕괴되는 지점으로 활성화되지 않음은 이해되어야 한다. 리소그래피 단계가 완성되지마자, 접착력 증가제를 포함하는 흡수 조성물은 가열되지 않은 상태로 남아있거나 추가로 가열될 수 있어 접착력 증가제의 화학 조성물이 조성물과 더욱 양립가능하도록 변할 수 있다. 이 지점에서, 코팅 또는 막은 초기의 구체예에서 설명된 바와 같이 더욱 진행될 수 있다.
촉매
다른 고려된 구체예에서, 하나 이상의 촉매는 가교 매트릭스를 설치함을 통해 에칭 민감도 및/또는 스트립핑 선택도를 개선하기 위한 목적으로 무기 재료에 첨가될 수 있다. 촉매를 또한 포로젠과 함께 첨가하여 다공 제형을 촉진시킬 수 있다. 본원에서 사용된 바와 같이, 용어 "촉매"는 화학 반응을 위한 활성화 에너지를 낮춤에 의해 화학 반응 속도에 영향을 미치는 임의의 물질을 의미한다. 몇몇 경우에, 촉매는 그 자신이 소비됨 없거나 화학적 변화를 겪음 없이 화합 반응의 활성화 에너지를 낮출 것이다. 포로젠의 첨가에도 불구하고 고려된 촉매는 HNO3, HCl, 젖산, 아세트산, 옥살산, 숙신산, 말레산 등과 같은 산을 포함할 것이다. 고려된 포로젠 탈중합 촉매는 상기 언급된 것과 같은 간단한 산, 초강산 광감성 분자 예를 들어 트리아릴설포니움, 트리아릴옥소니움, 또는 디아릴이오디움 염, 비닐-기재, 아크릴-기재 (및 다른 다중-결합 모노머-기재 폴리머) 중합 및 다른 자유-라디칼 기재 화학물 (예를 들어 베노질 퍼옥사이드, 아조비시소부티로니트릴)를 위해 전형적으로 사용되는 자유 라디칼 발생기를 포함한다.
가교제
하나 이상의 가교제는 재료 변형제로서 코팅 재료/조성물에 첨가될 수 있다. 본원에서 사용되는 바와 같이, 용어 "가교"는 둘 이상의 분자, 또는 긴 분자의 둘 이상의 부분이 화학 상호 작용에 의해 결합되는 공정을 지칭한다. 이러한 상호 작용은 공유 결합, 수소 결합의 형성, 소수성, 친수성, 이온 또는 정전기 상호 작용의 형성을 포함하는 많은 다른 방법으로 일어날 수 있다. 더구나, 분자 상호 작용은 또한 분자와 그 자신 사이 또는 둘 이상의 분자 사이의 일시적 및 영구적 물리적 결합에 의해 특징될 수 있다.
몇몇 구체예에서, 열-활성 축합 촉매는 재료 변형제로서 또는 조성물의 평탄화 능력을 개선하기 위한 목적으로 재료 변형제에 추가하여 무기 재료 및/또는 조성물에 첨가될 수 있다. 이 구체예에서, 축합 촉매는 코팅 재료에 도입된다. 이 축합 촉매는 일반적으로는 높은 온도와 같은 특정 온도에서 오직 활성화된다. 따라서, 한 온도(예를 들어 상온)에서, 무기 용액/시스템은 저 분자량을 유지하며, 그 결과 웨이퍼 및/또는 기판 토포그래피 위에서 양호한 평탄화 능력을 가능하게 한다. 온도가 증가(예를 들어 50℃ 초과)되는 경우에, 축합 촉매는 Si-OH 축합 반응을 촉진시키며, 이는 더욱 조밀한 구조를 야기하고, 경우에 따라 전체적으로 개선된 포토리소그래픽 성능을 야기한다. 고려된 축합 촉매는 안정한 실리케이트 용액을 유지하도록 도울 수 있는 촉매를 포함한다. 금속-이온-자유 촉매는 오니움 화합물 및 친핵체로 구성되는 군으로부터 선택된다. 촉매는 예를 들어, 암모늄 화합물, 아민, 포스포늄 화합물 또는 포스파인 화합물일 수 있다. 이러한 촉매의 비 제하적 예는 테트라유기암모늄 화합물 및 테트라유기포스포늄 화합물이며, 테트라메틸암모늄 아세테이트 (TMAA), 테트라메틸암모늄 하이드록사이드 (TMAH), 테트라부틸암모늄 아세테이트 (TBAA), 세틸트리메틸암모늄 아세테이트 (CTAA), 테트라메틸암모늄 니트레이트 (TMAN), 트리페닐아민, 트리옥틸아민, 트리도데실아민, 트리에탄올아민, 테트라메틸포스포늄 아세테이트, 테트라메틸포스포늄 하이드록사이드, 트리페닐포스파인, 트리메틸포스파인, 트리옥틸포스파인, 및 이들의 조합물를 포함한다. 이 바람직한 구체예에서, 테트라메틸암모늄 니트레이트 (TMAN)가 사용되고 물에서 테트라메틸암모늄 니트레이트 (TMAN)를 분해하거나 테트라메틸암모늄 아세테이트(TMAA) 또는 테트라메틸암모늄 하이드록사이드 (TMAH)를 테트라메틸암모늄 니트레이트(TMAN)로 질산을 사용하여 전환함에 의해 얻어질 수 있다. 조성물은 조성물의 가교를 가속시키는 비-메탈릭, 친핵성 첨가제를 추가로 포함한다. 이는 디메틸 설폰, 디메틸 포름아미드, 헥사메틸포스포러스 트리아미드 (HMPT), 아민 및 이들의 조합물을 포함한다. 여러 가지 유용한 가교제의 실시예는 공유되고 출원 중인 PCT 출원 PCT/US02/15256 (공개 번호 WO 03/088344)에 기재되어 있고, 이는 또한 본원에 그대로 통합된다.
pH 조율제
pH 조율제는 최종 재료의 pH를 "조율" 하거나 조절하여 365 nm, 248 nm, 193 nm 및 157 nm 주위에서 흡수 피크를 가지는 것들을 포함하는 임의의 선택된 레지스트 재료와 양립가능하거나 더욱 양립가능하도록 하기 위해 흡수 조성물 및/또는 코팅 재료에 첨가되는 화합물, 재료 또는 용액이다. 본원에서 고려된 pH 조율제는 공유된 PCT 출원 PCT/US01/45306 (2001년 11월 15일 출원)에 기재되어 있고, 이는 본원에 그대로 통합된다.
그러나, pH 조율제는 흡수 재료, 코팅 및/또는 막의 pH를 조절함은 물론 흡수 재료의 화학적 성능 및 특성, 기계적 성능 및 구조적 구성, 층 재료, 전자 부품 또는 반도체 부품의 부분인 코팅 및/또는 막에도 영향을 미치며, 흡수 재료, 코팅 및/또는 막이 결합되는 레지스트 재료와 더욱 양립가능하게 됨은 이해되어져야 한다. 더욱 특별하게는, pH 조율제는 최적의 레지스트 성능을 위한 무반사 코팅의 표면 특성을 증가시키기 위해 최적화된 막 구조를 야기하는 폴리머 특성, 구조적 구성 및 공간 배향에 강하게 영향을 미친다. 다시 말해, 흡수 재료, 코팅 및/또는 막 또는 결합된 레지스트 재료의 기계적 특성 및 구조적 구성에 영향을 주지 않고 스핀-온 재료의 pH를 단지 조절하는 pH 조율제는 본원에서 고려되지 않는다. 또한, 여러 가지 pH 조율제는 또한 적절하게 고밀도화제, 촉매 또는 둘 모두일 수 있음은 이해되어야 한다. 본원에서 논의된 각 재료 변형제는 하나 이상의 카테고리로 분류될 수 있다.
고려된 pH 조율제는 a) 첨가되는 조성물의 pH에 영향을 주고; b) 무기 재료, 코팅 및/또는 막의 기계적 성능, 화학적 성능 및/또는 구조적 구성에 영향을 주며, 이는 또한 최적 성능을 위한 무기 코팅의 표면 특성을 높이는 결과를 야기하는 고분자 특성, 구조적 구성 및 공간 배향에 강하게 영향을 주는 것으로 말할 수도 있는 두 개로 나눠지고 때로는 관련된 기능을 수행해야 한다.
고려된 pH 조율제는 첨가되는 조성물의 pH에 영향을 주도록 부분적으로 고안된다. 이러한 잠재적 pH 조율제의 종류는 a) 임의의 적절한 산성 또는 염기성 용액, 화합물, 및/또는 성분 및/또는 b) 임의의 적절한 산성 또는 염기성 용액, 화합물 및/또는 성분의 강도 또는 농도를 포함한다. 흡수 조성물, 코팅 및/또는 막이 결합된 층과 양립가능하거나 더욱 양립가능하도록 하는 동안 pH "영향자"는 또한 무기 재료, 코팅 및/또는 막의 기계적 성능 및/또는 구조적 구성에 영향을 줄 수 있어야 하기 때문에 적절한 pH "영향자"의 이 컴필레이션은 최종 pH 조율제가 선택되는 화합물의 큰 세트이다. 이러한 것에 의해, 예를 들어, 선택된 pH 조율제는 또한 재료의 용해성 파라미터, 분자량, 녹는점 또는 몇몇 다른 물리적 특성과 매치되도록 고안됨을 의미한다. 다시 말해, pH 조율제가 혼합물의 pH에 영향을 주는 첫 번째 기능을 수행할지라도, pH 조율제 및 흡수 조성물 및/또는 코팅 재료는 물리적으로 양립가능하지 않을 수 없고, 요망되는 물리적 특성에 의존한다. 바람직한 구체예에서, 요망되는 물리적 특성은 용해성 파라미터 또는 분자량이다. 더욱 바람직한 구체예에서, 바람직한 물리적 특성은 용해성 파라미터이다.
몇몇 적절한 pH 조율제의 실시예는 일종의 아민, 예를 들어 γ-아미노알킬트리알콕시실란, 특정적으로는 γ-아미노프로필트리에톡시실란 (APTEOS); 물; 옥사이드 및 알콕사이드, 예를 들어 소듐 알콕사이드, 칼륨 알콕사이드, 칼륨 하이드록사이드; 하이드로겐 할라이드, 예를 들어 하이드로겐 브로마이드, 염산; 아세트산; 황산, 젖산, 질산; 테트라메틸암모늄 하이드록사이드(TMAH); 프로필렌 글리콜 메틸 에테르 아세테이트 (PGMEA); 실리콘과 같은 무기 원자를 가진 올리고머를 포함하는 아민-기재 올리고머, 및 이들의 조합물의 다양한 몰 농도를 포함한다. pH 조율제의 고려된 몰 농도는 순수하고, 10 몰, 1.0 몰, 0.1 몰 및 0.01 몰 농도를 포함하며, 레지스트 재료를 위해 선택된 pH 작용제에 의존한다.
pH 조율제의 추가 예는 상기 논의된 접착력 증가제, 즉 테트라메틸암모늄 아세테이트 (TMAA), 테트라메틸암모늄 하이드록사이드 (TMAH), 테트라부틸암모늄 아세테이트 (TBAA), 세틸트리메틸암모늄 아세테이트 (CTAA) 및 테트라메틸암모늄 니트레이트 (TMAN)를 포함한다.
pH 조율제는 또한 이중 목적 또한 다중 단계 목적를 제공할 수 있다. pH 조율제는 특정적으로는 무기 조성물을 "조율"하기 위한 pH 조율제를 필요로 하는 특성과는 다르게 극 및/또는 작용기에 기초한 특별한 흡수 조성물 또는 코팅 재료를 위해 선택될 수 있다. 조율제가 조성물에 통합되자마자, pH 조율제 사이 극의 차이를 활용함에 의하거나 pH 조율제에 작용기를 활용함에 의해 pH 조율제로 스트립핑 및/또는 에칭 용액을 유인하기 위한 "마그넷"과 같이 효과적으로 작용할 것이다. pH 조율제의 이 유인 효과는 여러 가지 방법으로 활성화될 수 있다. 예를 들어, pH 조율제가 상온에서 흡수 조성물 속으로 통합되는 경우에 발생하는 발열 반응일 수 있거나, pH 조율제를 "활성화"하기 위해 첨가될 필요가 있는 외부 에너지 및/또는 열일 수 있거나, pH 조율제의 화학적 조성물에 의존하여 조성물에 첨가되는 임의의 에너지가 필요하지 않게 될 수 있거나, pH 조율제를 "활성화"할 무기 조성물에 적용되는 압력 차이일 수 있다. 그러나, pH 조율제가 첨가되자마자 에너지는 첨가되거나 되지 않을지라도, 이 구체예에서 pH 조율제는 리소그래피 단계가 완성될 때까지 가열되지 않거나 화학적으로 수정되거나 붕괴되는 지점으로 활성화되지 않음은 이해되어야 한다. 리소그래피 단계가 완성되지마자, pH 조율제를 포함하는 흡수 조성물은 가열되지 않은 상태로 남아있거나 추가로 가열될 수 있어 pH 조율제의 화학 조성물이 조성물과 더욱 양립가능하도록 변할 수 있다. 이 지점에서, 코팅 또는 막은 초기의 구체예에서 설명된 바와 같이 더욱 진행될 수 있다.
고밀도화제
하나 이상의 고밀도화제는 본원에 기재된 흡수 조성물에 첨가될 수 있다. 고밀도화제 또는 화합물은 다른 재료 변경 섹션에서 이미 언급된 것과 유사하다. 여기와 다른 섹션에서 몇몇 화합물이 겹치는 언급된 많은 화합물은 고밀도화제 및 촉매 또는 고밀도화제 및 pH 조율제이다. 고밀도화제는 최종 조성물의 밀도를 "조율" 또는 조절하기 위해 본원에 설명된 흡수 조성물에 첨가되는 하나 이상의 화합물, 재료 또는 용액를 포함하며, 이는 최종 재료의 밀도 또는 다른 관련된 물리적 특성을 참조 재료와 비교하여 증가시킨다. 본원에서 사용된 바와 같이, "참조 재료"는 고밀도화제를 제외하고 본원에서 설명된 고려된 조성물의 각 성분을 포함하는 재료이다. "참조 재료"는 고려된 조성물에 비교될 수 있는 벤치마크 조성물을 의미한다.
그러나, 고밀도화제는 흡수 조성물의 밀도를 조절할 뿐만 아니라 층 재료, 전자 부품 또는 반도체 부품의 부분인 최종 조성물의 화학적 성능 및 특성, 기계적 성능 및 구조적 구성에 영향을 미치며, 이 최종 조성물은 결합되는 레지스트 재료와 더욱 양립가능하게 된다. 더욱 특별하게는, 고밀도화제는 고분자 특성, 구조적 구성 및 최적 레지스트 성능을 위해 무반사 코팅뿐만 아니라 다른 층 및 층 재료를 포함하여 하부 코팅의 표면 특성을 증가시키도록 하는 공간 배향에 강하게 영향을 미친다.
본원에 기재된 다른 재료 변형제와 같이, 고려된 고밀도화제는 a) 첨가되는 조성물의 물리적 특성에 영향을 미치고; b) 폴리머 특성, 구조적 구성 및 최적 레지스트 및/또는 성분 성능을 위한 무반사 코팅과 같은 층, 코팅 및/또는 재료의 표면 특성을 증가시키도록 하는 공간 배향에 강하게 영향을 미치는 것으로 진술될 수 있는 조성물의 기계적 성능 및/또는 구조적 구성에 영향을 미치는 두 개의 구분된 기능 및 때로는 관련된 기능을 수행해야한다.
고려된 고밀도화제는 첨가되는 조성물의 밀도에 영향을 미치도록 부분적으로 고안된다. 잠재적 고밀도화제의 종류는 a) 임의의 적합한 산성 또는 염기성 용액, 화합물, 및/또는 성분 및/또는 b) 산성 또는 염기성 용액, 화합물 및/또는 성분의 임의의 적합한 강도 또는 농도를 포함한다. 최종 흡수 조성물이 양립가능하거나 더욱 양립가능하게 되는 동안 밀도 "영향자"는 또한 최종 조성물의 기계적 성능 및/또는 구조적 구성에 영향을 줄 수 있어야 하기 때문에 적절한 밀도 "영향자"의 이 컴필레이션은 최종 고밀도화제가 선택되는 화합물의 큰 세트이다. 이러한 것에 의해, 예를 들어, 선택된 pH 조율제는 또한 무기 재료의 용해성 파라미터, 분자량, 녹는점 또는 몇몇 다른 물리적 특성, 스핀-온 무기 재료 및/또는 스핀-온-글라스 재료와 매치되도록 고안됨을 의미한다. 다시 말해, 고밀도화제 및 무기 재료, 스핀-온 무기 재료 및/또는 스핀-온-글라스 재료는 물리적으로 양립가능하지 않을 수 없고, 바람직한 물리적 특성에 의존한다. 바람직한 구체예에서, 요망되는 물리적 특성은 용해성 파라미터 또는 분자량이다. 더욱 바람직한 구체예에서, 바람직한 물리적 특성은 용해성 파라미터이다
몇몇 적절한 고밀도화제 또는 밀도-조율제의 예는 일종의 아민, 예를 들어 γ-아미노알킬트리알콕시실란 및 이 실란 화합물의 질소-기재 염, 특정적으로는 γ-아미노프로필트리에톡시실란 (APTEOS) 및 APTEOS-N; 물; 옥사이드 및 알콕사이드, 예를 들어 소듐 알콕사이드, 칼륨 알콕사이드, 칼륨 하이드록사이드; 하이드로겐 할라이드, 예를 들어 하이드로겐 브로마이드, 염산; 아세트산; 황산, 젖산, 질산; 테트라메틸암모늄 하이드록사이드(TMAH); 아세테이트-기재 화합물, 예를 들어 테트라메틸암모늄 아세테이트 (TMAA), APTEOS-아세테이트 및 암모늄 아세테이트; 니트레이트-기재 화합물, 예를 들어 테트라메틸암모늄 니트레이트 (TMAN - TMAA의 안정화된 형태의 질산) 및 암모늄 니트레이트; 실리콘과 같은 무기 원자를 가진 올리고머를 포함하는 아민-기재 올리고머, 및 이들의 조합물의 다양한 몰 농도를 포함한다. 고밀도화제의 고려된 몰 농도는 순수하고, 10 몰, 1.0 몰, 0.1 몰 및 0.01 몰 농도를 포함하며, 레지스트 재료를 위해 선택된 고밀도화제에 의존한다.
고려된 조성물을 만드는 고려된 한가지 방법은 하나 이상의 흡수 조성물, 하나 이상의 고밀도화제 (재료 변형제), 산/물 혼합물, 예를 들어 질산/물 혼합물, 및 하나 이상의 용매를 결합하여 반응 혼합물을 형성하고; 반응 혼합물을 환류시켜 고려된 조성물을 형성함을 포함한다. 형성된 조성물을 그 다음에 하나 이상의 용매로 희석시켜 다양한 두께의 막을 생산하는 코팅 용액을 제공한다. 고밀도화제는 환류 단계 중 또는 환류 단계 후에 고밀도화제는 첨가될 수 있다. 고밀도화제는 또한 막의 두께가 결정되는 희석 단계 중에 첨가될 수 있다. 언급된 다른 적절한 구체예에서, 통합가능하지 않는 흡수 화합물은 반응 혼합물을 형성하기 위해 사용된 단계 중에 첨가될 수 있다.
고려된 조성물을 만드는 고려된 또 다른 방법에서, 하나 이상의 흡수 조성물, 하나 이상의 고밀도화제, 및 하나 이상의 용매는 통합되어 반응 혼합물을 형성할 수 있다. 반응 혼합물은 그 다음에 환류시켜 고려된 조성물을 형성한다. 형성된 조성물을 하나 이상의 용매로 희석시켜 막의 다양한 두께를 생산하는 코팅 용액을 제공한다. 이 방법에서 고밀도화제는 다른 산이 첨가될 수 있거나, 적은 양의 산이 첨가될 수 있거나, 더욱 많은 물이 첨가될 수 있는 다양한 통상적인 산/물 혼합물일 수 있다. 희석 단계 중에 고밀도화제는 또한 첨가될 수 있다.
더욱 특별하게는 실란 반응 물질, 예를 들어 HTEOS, 또는 TEOS 및 MTEOS, 또는, TMOS 및 MTMOS; 또는, 택일적으로, 테트라클로로실란 및 메틸트리클로로실란, 하나 이상의 고밀도화제, 예를 들어 APTEOS-N 또는 APTEOS; 용매 또는 용매의 조합물; 및 산/물 혼합물을 포함하는 반응 혼합물은 반응 용기에서 형성된다. 적절한 용매는 아세톤, 2-프로파놀, 및 다른 간단한 알콜, 케톤 및 에스테르 예를 들어 1-프로파놀, MIBK, 프로폭시프로파놀, 및 프로필 아세테이트를 포함한다. 산/물 혼합물은 예를 들어 질산과 물이다. 다른 프로틱산 또는 산 무수물, 예를 들어 아세트산, 포름산, 인산, 염산 또는 아세트산 무수물은 산 혼합물에서 택일적으로 사용된다. 그 결과로 얻은 혼합물은 약 1 내지 24 시간 동안 환류시켜 흡수 조성물을 생산한다. 이미 언급된 바와 같이, 고밀도화제는 환류 단계 중 또는 후에 첨가될 수 있고, 선택된 레지스트 재료에 의존한다. 또한, 이미 언급된 바와 같이, 산/물 혼합물에서 산 농도 및/또는 강도 및 물 농도는 고밀도화제가 되기 위해 변할 수 있으며, 특정 층 재료, 전자 부품 또는 반도체 부품 장치를 위해 선택된 레지스트 재료에 의존한다.
밀도-조율 코팅 용액(흡수 코팅 용액이거나 일 수 있음)은 다양한 기판에 적용되어 층 재료, 반도체 공정에 사용되는 층, 또는 전자 소재에 사용되는 층을 형성하고, 특정 제조 공정에 의존하며, 통상적인 스핀-온 증착 기술에 의한다. 이 기술은 적절한 코팅을 생산하기 위해 디스펜스 스핀(dispense spin), 두께 스핀(thickness spin), 및 열 베이크 단계를 포함한다. 전형적 공정은 약 20초 동안 1000 내지 4000 rpm의 두께 스핀 및 각각 약 1 분 동안의 80℃ 내지 300℃ 온도에서 2 또는 3 개의 베이크 단계를 포함한다. 본 발명에 따른 밀도-조율 코팅은 약 1.3 내지 약 2.0의 굴절 지수 및 약 0.07 보다 큰 감쇠 계수를 나타낸다. 본원에서 고려된 밀도-조율 코팅은 이들이 밀도 조율되지 않은 대조물에 비해 증가된 굴절 지수 측정치를 가짐은 또한 알려져야 한다.
포로젠
하나 이상의 포로젠은 흡수 조성물 및/또는 코팅 재료 또는 조성물의 에칭 민감도 및/또는 스트립핑 선택도를 증가시키기 위해 무기-기재 조성물 또는 무기 재료에 첨가될 수 있다. 임의의 특정 이론에 제한됨 없이, 본원의 주제의 한 측면에서 흡수 조성물 및/또는 코팅 재료에 하나 이상의 포로젠의 첨가는 재료, 코팅 및/또는 막에 형성되는 포어 또는 보이드를 야기할 수 있음은 이해된다. 포어 또는 보이드는 재료의 구조 재배열 또는 손실의 결과로서 형성될 수 있으며, 포어 또는 보이드 또는 자유 부피의 증가를 남기게 된다. 재료, 코팅 및/또는 막에 포어 또는 보이드은 코팅 또는 막에 추가 표면적을 생성하며, 이는 궁극적으로 재료, 코팅 및/또는 막의 에칭 민감도 및/또는 스트립핑 선택도를 증가시킨다. 필 재료의 다공성은 일반적으로 유전 재료의 다공성과 같고, 두 예에서, 다공성은 포토레지스트 재료의 다공성보다 크다. 이 건식 식각 선택도는 때로는 에칭을 통한 포토레지스트 페턴으로부터 정밀한 치수의 적절한 전사를 유지하기 위해 필요하다. 포로젠의 분자량은 또한 포로젠이 재료 내 흡수 조성물 및/또는 코팅 화합물의 매트릭스와 양립가능한지를 결정하기 위해 사용될 수 있다. 이 적합성 몫은 흡수 조성물 및/또는 코팅 화합물의 매트릭스의 용해성 파라미더와 관련된다. 이상적 예에서, 포로젠은 베이크 전에 메트릭스 코팅 제형의 용해성 파라미터를 매치시켜야하며, 제형 분자량이 알려진 경우에는, 포로젠의 적절한 분자량은 매트릭스와 용해성 파라미터를 매치시킴에 의해 결정될 수 있다. 용해성 파라미터는 막 결점, 유전 상수, 습식 식각 테스트, 현미경 또는 스케닝 전자 마이크로스코피(scanning electron microscopy)를 통한 결점 조사와의 관계에 의해 또는 그룹 분배 방법을 사용하여 계산함에 의해 또는 응집 에너지의 분자 모델에 의해 실험적으로 결정될 수 있다. (참조 : Physical Propertics of 폴리머 Handbook, Chapter 16 "Soluility Parmaters" Y. Du, Y. Xue, H. L. Frisch pp 227-239; James E. Mark Ed., 1996, American Institute of Physics, Woodbury, N.Y.).
본원에서 사용되는 바와 같이, 용어 "포어"는 재료 내 공극 및 셀 및 재료 내 가스에 의해 차지된 공간을 의미하는 임의의 다른 용어를 포함한다. 용어 "포어"는 또한 재료 밀도의 차이를 포함하며, 여기서 자유 부피는 증가된다("포어 특성"이 소개되었다). 적절한 가스는 상대적으로 순수한 가스 및 이들의 혼합물을 포함한다. N2 및 O2의 혼합물로 주도적으로 이루어진 공기는 일반적으로 포어에 분배되지만, 질소, 헬륨, 아르곤, CO2 또는 CO와 같은 순수한 가스는 또한 고려된다. 포어는 전형적으로 구 형태이지만 택일적으로 또는 부가적으로 튜브형, 판형, 원반형, 다른 형태를 가진 공극, 또는 이전의 모습의 조합을 포함할 수 있고 개방형 또는 닫힘형일 수 있다. 본원에서 사용되는 바와 같이 용어 "포로젠"은 포어를 형성할 수 있는 다양한 메카니즘을 가질 수 있지만, 일반적으로 제거 과정에서 "포어" 또는 "공극"을 남기는 재료 또는 "포어" 또는 "공극"을 만들기 위해 재배열할 수 있는 재료이다. 한 가지 구체예에서, 포로젠은 방사선으로, 열로, 화학적으로 또는 습기로 분해, 붕괴, 탈중합 또는 그렇지 않다면 부숴짐이 가능한 분해가능한 재료이고 고체, 액체 또는 기체 재료를 포함한다.
본원의 주제의 또 다른 측면에서, 포로젠은 이중 목적 또는 다중 단계 목적을 제공할 수 있다. 포로젠은 극 및/또는 작용기에 기초한 특별한 코팅 조성물을 위해 특정적으로 선택될 수 있다. 포로젠이 조성물, 사전-베이크(포어/공극이 거의 없음) 또는 사후-베이트(포어/공극이 재료에 존재)에 통합되자마자, 포로젠 사이의 극의 차이점을 활용함에 의해 또는 포로젠 작용기를 활용함에 의해 포로젠으로 스트립핑 및/또는 에칭 용액을 유인하기 위해 "마그넷"으로서 효과적으로 작용할 것이다. 포로젠에 의한 이 유인의 효과는 여러 가지 방법으로 활용될 수 있다. 예를 들어, 포로젠이 상온에서 흡수 조성물 및/또는 코팅 재료로 통합되는 경우 발생하는 발열 반응일 수 있거나, 포로젠을 "활성화"하기 위해 첨가될 필요가 있는 외부 에너지 및/또는 열일 수 있거나, 포로젠을 "활성화"할 흡수 조성물 및/또는 코팅 재료에 적용되는 압력 차이일 수 있다. 포로젠은 포어 및/또는 공극을 만들기 위해 포로젠을 의도함 없이 재료 변형제로서 흡수 조성물에 첨가될 수 있다. 그러나, 리소그래피 단계가 완성되자마자, 포로젠을 포함하는 흡수 조성물 및/또는 코팅 재료는 더욱 가열될 수 있으며, 그 결과 포로젠은 포어 또는 공극을 형성할 수 있거나 포로젠은 포어 또는 공극을 만듬 없이 흡수 조성물 내에 남아 있을 수 있다. 이 관점에서, 포어 또는 공극이 재료, 코팅 및/또는 막에 형성된다면, 포어/공극은 이미 구체예에서 설명된 바와 같이 재료, 코팅 및/또는 막의 에칭 민감도 및/또는 스트립핑 선택도를 궁극적으로 증가시키는 코팅 또는 막에서 추가 표면적을 만들 것이다.
몇몇 고려된 구체예에서, 분해된 포로젠은 제거될 수 있거나, 부분적으로 또는 전체적으로 가교된 매트릭스를 통해 휘발 또는 발산시켜 결과적으로 완전히-경화된 매트릭스에 포어를 생산하고, 따라서 매트릭스의 유전 상수를 낮추고 희생적 특성을 높일 수 있다. 또 다른 구체예에서, 포로젠은 분해되는 것이 아니고 "포어"를 남기는 매트릭스로부터 분해될 수 있는 재료일 수 있다. 세 번째 구체예에서, 포로젠은 분해하지는 않지만 250-350℃ 범위와 같은 특정적으로 증가된 온도에서 발산되기 충분한 휘발성이 있는 재료일 수 있다. CO2와 같은 초임계 재료는 포로젠 및 분해된 포로젠 절편을 제거하기 위해 사용될 수 있다. 바람직하게는 열적으로 분해가능한 포로젠을 위해, 포로젠은 재료의 최소 가교 온도 이상의 분해 온도를 가지는 재료를 포함한다. 바람직하게는 현재 새로운 포로젠은 약 300℃ 이하 및 몇몇의 경우에 약 300℃ 초과의 붕괴 또는 분해 온도를 가진다. 바람직하게는, 붕괴 또는 분해된 포로젠은 포로젠이 결합되는 재료의 최소 가교 온도보다 높은 온도에서 휘발된다. 바람직하게는 붕괴 또는 분해된 포로젠은 약 50℃ 내지 약 450℃의 온도에서 휘발된다.
알려진 포로젠 예를 들어 선형 폴리머, 스타 폴리머, 가교 폴리머 나노스피어, 블록 공중합체, 및 과분지형 폴리머는 무기-기재 화합물 및 재료와 함께 고려된 구체예에서 사용될 수 있다. 적절한 선형 폴리머는 폴리에테르, 예를 들어 폴리(에틸렌 옥사이드) 및 폴리(프로필렌 옥사이드); 폴리아크릴레이트 예를 들어 폴리(메틸메타크릴레이트); 지방족 폴리카르보네이트 예를 들어 폴리(프로필렌 카르보네이트) 및 폴리(에틸렌 카르보네이트); 폴리에스테르; 폴리설폰; 폴리스티렌 (할로겐화 스티렌 및 하이드록시-치환 스티렌으로부터 선택된 모노머 유닛을 포함); 폴리(α-메틸스티렌); 및 다른 비닐-기재 폴리머이다. 유용한 폴리에스테르 포로젠은 폴리카프로락톤; 폴리에틸렌 테레프탈레이트; 폴리(옥시아디포일록시-1,4-페닐렌); 폴리(옥시테레프탈로일록시-1,4-페닐렌); 폴리(옥시아디포일록시-1,6-헥사메틸렌); 폴리글리콜라이드, 폴리락티드 (폴리젖산), 폴리락티드-글리콜라이드, 폴리피루브산, 폴리카르보네이트 예를 들어 약 500 내지 약 2500의 분자량을 가지는 폴리(헥사메틸렌 카르보네이트) 디올; 및 폴리에테르 예를 들어 약 300 내지 약 6500개의 분자량을 가지는 폴리(비스페놀 A-코-에피클로로하이드린)를 포함한다. 적절한 가교 비용해성 나노스피어(나노에멀젼으로 제조됨)는 폴리스티렌 또는 폴리(메틸메타크릴레이트)로 적절히 구성된다. 적절한 블록 공중합체는 폴리-길콜리드, 폴리젖산, 폴리(스티렌-코-α-메틸스티렌, 폴리(스티렌-에틸렌 옥사이드), 폴리(에테르락톤), 폴리(에스테르카르보네이트) 및 폴리(락톤락티드)이다. 적절한 과분지형 폴리머는 과분지형 폴리에스테르, 예를 들어 과분지형 폴리(카프로락톤), 및 폴리에테르 예를 들어 폴리에틸렌 옥사이드 및 폴리프로필렌 옥사이드이다. 또 다른 유용한 포로젠은 에틸렌 글리콜-폴리(카프로락톤)이다. 유용한 폴리머 블록은 폴리비닐피리딘, 하이드로겐화 폴리비닐 아로마틱, 폴리아크릴로니트릴, 폴리실록산, 폴리카프로락탐, 폴리우레탄, 폴리디엔 예를 들어 폴리부타디엔 및 폴리이소프렌, 폴리비닐 클로라이드, 폴리아세탈 및 아민-캡 알킬렌 옥사이드를 포함한다. 다른 유용한 열가소성 재료는 폴리이소프렌, 폴리테트라하이드로푸란 및 폴리에틸옥사졸린을 포함한다.
고려된 구체예에서 사용에 적절한 다른 적절한 포로젠은 폴리머, 바람직하게는 하나 이상의 반응성 기를 함유하는 것, 예를 들어 하이드록실 또는 아미노를 포함한다. 이 일반적 파라미터 내에서, 본원에 기재된 조성물 및 방법에서 사용하기 위한 적절한 폴리머 포로젠은 예를 들어 폴리알킬렌 옥사이드, 폴리알킬렌 옥사이드의 모노에테르, 폴리알킬렌 옥사이드의 디에테르, 폴리알킬렌 옥사이드의 비스에테르, 지방족 폴리에스테르, 아크릴 폴리머, 아세탈 폴리머, 폴리(카프로락톤), 폴리(발레락톤), a 폴리(메틸메소아크릴레이트), 폴리(비닐부티랄) 및/또는 이들의 조합물이다. 포로젠이 폴리알킬렌 옥사이드 모노에테르인 경우에, 하나의 특징적 구체예는 산소 원자 사이의 C1 내지 약 C6 알킬 사슬 및 C1 내지 약 C6 알킬 에테르 부분이고, 여기서 알킬 사슬은 치화 또는 비치환, 예를 들어, 폴리에틸렌 글리콜 모노메틸 에테르, 폴리에틸렌 글리콜 디메틸 에테르, 또는 폴리프로필렌 글리콜 모노메틸 에테르이다.
둘 이상의 융합된 아로마틱 고리를 포함하는 포로젠으로서 여기서 각 융합된 아로마틱 고리는 하나 이상의 이들의 알킬 치환체를 가지고 결합은 인접 아로마틱 고리에 둘 이상의 알킬 치환체 사이에 존재하며 상기 포로젠은 고려된 구체예에서 사용될 수 있다. 바람직한 포로젠은 비기능화된 폴리아세나프틸렌 호모폴리머, 기능화된 폴리아세나프틸렌 호모폴리머, 하기 설명된 폴리아세나프틸렌 공중합체, 폴리(2-비닐나프탈렌) 및 비닐 안트라센, 및 이들의 혼합물을 포함한다. 다른 유용한 포로젠은 아다만탄, 디아만탄, 풀러린 및 폴리노르보넨을 포함한다. 상기 목록을 포함하는 이 각 포로젠은 서루 또는 다른 포로젠 재료, 예를 들어 폴리카프로락톤, 폴리스티렌 및 폴리에스테르와 혼합될 수 있다. 유용한 혼합은 비기능화된 폴리아세나프틸렌 호모폴리머 및 폴리카프로락톤을 포함한다. 더욱 바람직한 포로젠은 비기능화된 폴리아세나프틸렌 호모폴리머, 기능화된 폴리아세나프틸렌 호모폴리머, 폴리아세나프틸렌 공중합체 및 폴리노르보넨이다.
유용한 폴리아세나프틸렌 호모폴리머는 바람직하게 약 300 내지 약 20,000; 더욱 바람직하게는 약 300 내지 약 10,000; 및 가장 바람직하게는 약 1000 내지 약 7000의 범위의 평균 분자량을 가질 수 있고 다른 개시제 예를 들어 2,2'-아조비소이소부티로니트릴 (AIBN); 디-3차-부틸 아조디카르복실레이트; 디-페닐아조디카르복실레이트; 1,1'-아조비스(사이클로헤잔카르보니트릴); 벤조일 퍼옥사이드 (BPO); t-부틸 퍼옥사이드; 및 붕소 트리플루오라이드 디에틸 에테르에이트를 사용하여 아세나프틸렌로부터 중합될 수 있다. 폴리아세나프틸렌 호모폴리머는 사슬 말단에 삼중 결합 또는 이중 결합과 같은 작용 말단 기를 가질 수 있거나 알릴 알콜, 프로파길 알콜, 부티놀, 부테놀 또는 하이드록시에틸메타크릴레이트와 같은 이중 또는 삼중 결합 알콜로 켄칭(quenching)된 양이온 중합을 가질 수 있다.
유용한 폴리아세나프틸렌 공중합체는 선형 폴리머, 스타 폴리머 또는 과분지형 폴리머일 수 있다. 코모노머는 폴리아세나프틸렌 호모폴리머와 유사한 공중합체 형태를 야기할 벌키한 측기(bulky side group)를 가질 수 있거나 폴리아세나프틸렌 호모폴리머와 유사하지 않은 공중합체 형태를 야기할 벌키하지 않은 측기를 가질 수 있다. 벌키한 측기를 가지는 코모노머는 비닐 피발레이트; 3차-부틸 아크릴레이트; 스티렌; α-메틸스티렌; 3차-부틸스티렌; 2-비닐나프탈렌; 5-비닐-2-노르보넨; 비닐 사이클로헥산; 비닐 사이클로펜탄트; 9-비닐안트라센; 4-비닐비페닐; 테트라페닐부타디엔; 스틸벤; 3차-부틸스틸벤; 및 인덴; 및 바람직하게는 비닐 피발레이트를 포함한다. 하이드리도폴리카르보실란은 아세나프틸렌 및 하나 이상의 이전 코모노머와 함께 추가 코모노머 또는 공중합체 성분으로서 사용될 수 있다. 유용한 하이드리도폴리카르보실란의 예는 0% 또는 75% 알릴 기이다. 벌키하지 않은 측기를 가지는 코모노머는 비닐 아세테이트, 메틸 아크릴레이트, 메틸 메타크릴레이트, 및 비닐 에테르 및 바람직하게 비닐 아세테이트를 포함한다.
포어 생성에 대해 논의하는 경우에, 용어 "붕괴"는 공유 결합을 끊는 것을 지칭한다. 이러한 결합의 끊음은 이질융해 및 균질융해 파괴를 포함하는 많은 방법에서 일어날 수 있다. 결합의 파괴는 완전할 필요 없으며, 다시 말해 모든 붕괴가능한 결합이 쪼개져야 하는 것은 아니다. 더구나, 결합의 파괴는 다른 것보다 빠르게 몇몇 결합에서 일어날 수 있다. 에스테르 결합은, 예를 들어, 아미드 결합보다 덜 안정하고, 그래서, 더욱 빠른 속도로 쪼개진다. 결합의 파괴는 붕괴된 부분의 화학적 조성물에 의존하는 서로 다른 파손의 방출을 야기할 수 있다.
포어 생성 공정의 바람직한 구체예에서, 열적 붕괴가능한 포로젠을 위해, 열 에너지는 실질적으로 출발 성분 또는 모노머로 포로젠을 붕괴 또는 분해하기 위해 재료를 함유하는 포로젠에 적용된다. 본원에 사용되는 바와 같이, "실질적으로 붕괴"는 바람직하게 약 40중량% 이상의 포로젠 붕괴 또는 분해를 의미한다. 더욱 바람직한 구체예에서는 약 50중량%의 포로젠 붕괴 또는 분해, 및 가장 바람직한 구체예에서는 약 80중량%의 포로젠 붕괴 또는 분해이다. 또 다른 구체예에서, 포로젠은 분리된 공정 단계 또는 다른 공정 단계와 통합하여, 예를 들어 포토리소그래피 현상 중 또는 재료를 함유하는 포로젠의 실질적 습윤 스트립핑 중에 분해된다.
고려된 구체예를 위해, 열 에너지는 또한 무기 화합물 매트릭스로부터 실질적으로 붕괴된 또는 분해된 포로젠을 휘발시키기 위해 적용된다. 바람직하게, 같은 열 에너지는 붕괴 및 휘발 단계 둘 모두를 위해 사용된다. 휘발 붕괴된 포로젠의 양이 증가함에 따라, 그 결과 재료, 코팅 및/또는 막의 다공성은 증가한다.
또는 임의의 적절한 과정 또는 조건은 하나 이상의 포로젠을 부분 및 전체적으로 제거하기 위해 사용될 수 있으며, 열, 용매 내 용해, 우선적 에칭, 방사능 노출, 전자기 방사, 예를 들어 자외선, x-레이, 레이져 또는 적외선; 기계적 에너지, 예를 들어 고주파 분해 또는 물리적 압력; 또는 입자 방사, 예를 들어 감마선, 알파 입자, 중성자 빔 또는 전자 빔을 포함하며, 이는 공유된 특허 공개 PCT/US96/08678 및 미국 특허 6,042,994; 6,080,526; 6,177,143; 및 6,235,353에서 설명되며, 본원에 참조로서 그래도 통합된다.
용매
하나 이상의 고비점 용매는 흡수 재료, 코팅 및/또는 막에 첨가될 수 있다. 임의의 특정 이론에 제한됨 없이, 고비점 용매의 첨가는 공극을 막고 막이 "느린 건조" 막이 되도록 함에 의해 평탄화를 개선함은 이해된다. 본원에 설명된 바와 같이, 표현 "고비점 용매"는 용매가 재료, 코팅 및/또는 막의 건조 및/또는 경화 온도, 근처 및/또는 바람직하게는 약간 위에서 휘발됨을 의미한다. 바람직한 고비점 용매는 글리세롤, 디부틸에테르, 에틸 락테이트 디부틸글리세롤, 디메틸 설폭사이드 (DMSO), 디메틸포름아미드 (DMF), N-메틸-피롤리돈 (NMP), 디-메틸-아세타미드 (DMAc), 고비점 아로마틱-기재 용매, 원유 에테르, 카르비톨 페밀리, 및 글리콜 에테르 페밀리를 포함한다. 고비점 용매는 또한 포로젠, 예를 들어 디프로필렌글리콜, 폴리(에틸렌) 옥사이드 또는 에틸 락테이트와 같은 작용을 할 수 있다.
상기-고려된 구체예를 추가로 설명하기 위해, 용매는 이중 목적 또는 다중 단계 목적을 제공할 수 있다. 용매는 코팅 조성물의 성분과 혼합 또는 용매화되는 용매를 필요로 하는 특성과 다른 극 및/또는 작용기에 기초한 특별한 코팅 조성물을 위해 특정적으로 선택될 수 있다. 용매가 조성물 속으로 통합되자마자, 용매 사이 극의 차이를 활용함에 의해 또는 용매에 작용기를 활용함에 의해 용매에 스트립핑 및/또는 에칭 용액을 유인하기 위해 "마그넷"으로서 효과적으로 작용할 수 있다. 용매에 의해 이 유인 효과는 여러 가지 방법으로 활성화될 수 있다. 예를 들어, 상온에서 용매가 흡수 조성물 및/또는 코팅 재료 속으로 통합되는 경우에 발생하는 발열 반응일 수 있거나, 용매를 "활성화"하기 위해 첨가될 필요가 있는 외부 에너지 및/또는 열일 수 있거나, 용매를 "활성화"할 흡수 조성물 및/또는 코팅 재료에 적용되는 압력 차이일 수 있다. 그러나, 용매가 첨가되자마자 에너지가 첨가되든지 아니든지, 이 구체예에서 용매는 리소그래피 단계가 완성될 때까지 더이상 존재하지 않는 지점으로 가열 또는 활성화되지 않음을 이해해야 한다. 리소그래피 단계가 완성되지마자, 용매를 포함하는 흡수 조성물 및/또는 코팅 재료는 추가로 가열되어 용매는 증발할 수 있다. 이 지점에서, 이전 구체예에서 설명된 바와 같이 코팅 또는 막은 추가로 진행될 수 있다.
전형적 용매는 또한 코팅 조성물 및 재료로서 사용되기 위해 본원에서 고려된 모노머 및 폴리머를 용해시킬 수 있는 용매이다. 고려된 용매는 바람직한 온도에서 휘발되는 유기, 유기메탈릭 또는 무기 분자의 임의의 적절한 순종 또는 혼합물을 포함한다. 용매는 또한 극성 및 비극성 화합물의 임의의 순종 또는 혼합물을 포함할 수 있다. 바람직한 구체예에서, 용매는 물, 에탄올, 프로판올, 아세톤, 톨루엔, 에테르, 사이클로헥사논, 부티로락톤, 메틸에틸케톤, 메틸이소부틸케톤, N-메틸피롤리돈, 폴리에틸렌글리콜메틸에테르, 메시틸렌, 에틸 락테이트, PGMEA, 아니졸, 및 폴리에테르 용매 예를 들어 카르비톨의 패밀리 (이는 하이드록시, 알콕시 또는 카르복시 기에 의해 도포된 에틸렌글리콜 에테르의 패밀리를 구성함) 및 유사한 프로필렌글리콜 에테르를 포함한다.
캡핑제
하나 이상의 캡핑제는 또한 재료 변형제로서 코팅 조성물에 첨가될 수 있다. 본원에서 사용된 바와 같이, 용어 "캡핑제"는 폴리머 말단을 "도포"함에 의해 특정 고분자의 분자량을 효과적으로 조절하는 말단 모노머이다. 고려된 구체예에서, 캡핑제는 에톡시 반응성 기와 같은 오직 하나의 반응성 기와 함께 실란 모노머를 포함하며 모노머의 나머지는 메틸기이다. 더구나, 실란 모노머는 무기 조성물을 형성하는 모 반응에서 늦게 첨가된다. 임의의 적절한 말단 모노머는 TMEOS와 같은 실란 조성물을 포함하여 이 출원에서 사용될 수 있다.
생산 방법
본원에 기재된 주제의 또 다른 목적에 따라, 본원에 설명된 흡수 조성물을 합성하는 방법이 제공된다. 흡수 재료는 전형적으로 다양한 실란 반응 물질로 예를 들어, 트리에톡시실란 (HTEOS), 테트라에톡시실란 (TEOS), 메틸트리에톡시실란 (MTEOS), 디메틸디에톡시실란, 테트라메톡시실란 (TMOS), 디메틸디에톡시실란, 메틸트리메톡시실란 (MTMOS), 트리메톡시실란, 디메틸디메톡시실란, 트리메틸에톡시실란 (TMEOS), 페닐트리에톡시실란 (PTEOS), 페닐트리메톡시실란 (PTMOS), 디페닐디에톡시실란, 디페닐디메톡시실란, 테트라세톡시실란 (TAS), 메틸트리아세톡시실란 (MTAS), 페닐트리아세톡시실란 (PTAS), 디메틸디아세톡시실란, 및, 디페닐디아세톡시실을 포함한 것으로부터 합성된다. 그러나 갈륨, 비소, 게르마늄, 붕소 및 이와 유사한 원자 및 재료는 흡수 재료를 생산하기 위해 유일한 원자 재료로서 또는 실리콘 원자와 함께 사용될 수 있다.
할로실란으로, 클로로실란, 예를 들어 트리클로로실란, 메틸트리클로로실란, 에틸트리클로로실란, 페닐트리클로로실란, 테트라클로로실란, 디클로로실란, 메틸디클로로실란, 디메틸디클로로실란, 클로로트리에톡시실란, 클로로트리메톡시실란, 클로로메틸트리에톡시실란, 클로로에틸트리에톡시실란, 클로로페닐트리에톡시실란, 클로로메틸트리메톡시실란, 클로로에틸트리메톡시실란, 및 클로로페닐트리메톡시실란을 포함하는 것은 또한 실란 반응 물질로서 사용될 수 있다.
일반적으로, 흡수 조성물, 흡수 화합물, 예를 들어 흡수 화합물 1-41, 또는 이들의 조합물을 생산하기 위해 흡수 재료의 합성 중에 실란 반응 물질과 통합된다. 재료 변형제, 예를 들어 접착력 증가제, 하나 이상의 pH 조율제, 하나 이상의 포로젠, 하나 이상의 고비점 용매, 하나 이상의 가교제 하나 이상의 촉매 및/또는 하나 이상의 캡핑제, 및/또는 a 이들의 조합물 중 하나 이상은 하나 이상의 캡핑제의 경우와 같이, 합성이 완성되자마자 또는 흡수 조성물의 합성 중에 실란 반응 물질과 통합될 수 있다.
흡수 조성물을 만드는 하나의 고려된 방법은 하나 이상의 무기-기재 조성물, 하나 이상의 흡수 화합물, 하나 이상의 재료 변형제, 예를 들어 하나 이상의 접착력 증가제, 하나 이상의 pH 조율제, 하나 이상의 포로젠, 하나 이상의 고비점 용매, 하나 이상의 가교제 하나 이상의 촉매 및/또는 하나 이상의 캡핑제, 및/또는 a 이들의 조합물, 산/물 혼합물, 예를 들어 질산/물 혼합물, 및 하나 이상의 용매를 결합하여 반응 혼합물을 형성하고; 약 40℃이상의 온도로 가열하거나 반응 혼합물을 환류시켜 흡수 조성물을 형성하는 것을 포함한다. 형성된 흡수 조성물은 그 다음에 하나 이상의 용매와 희석되어 다양한 두께의 막을 생산하는 코팅 용매를 제공한다. 재료 변형제, 예를 들어 하나 이상의 접착력 증가제, 하나 이상의 pH 조율제, 하나 이상의 포로젠, 하나 이상의 고비점 용매, 하나 이상의 가교제 하나 이상의 촉매 및/또는 하나 이상의 캡핑제 및/또는 이들의 조합물는 또한 택일적으로 환류/가열 단계 후 또는 환류 단계 중에 첨가될 수 있다.
흡수 조성물을 만드는 또 다른 고려된 방법에서, 하나 이상의 무기-기재 조성물, 하나 이상의 흡수 화합물, 하나 이상의 재료 변형제, 예를 들어 하나 이상의 접착력 증가제, 하나 이상의 pH 조율제, 하나 이상의 포로젠, 하나 이상의 고비점 용매, 하나 이상의 가교제 하나 이상의 촉매 및/또는 하나 이상의 캡핑제 및/또는 이들의 조합물, 및 하나 이상의 추가 용매는 결합되어 반응 혼합물을 형성할 수 있다. 반응 혼합물은 그 다음에 40℃ 이상의 온도로 가열되거나 환류되어 흡수 조성물을 형성한다. 형성된 흡수 조성물은 하나 이상의 용매와 희석되어 다양한 두께의 막을 생산하는 코팅 용액을 제공한다. 이 방법에서 pH 조율제는 다양한 통상적 산/물 혼합물일 수 있으며, 다시 말해 다른 산이 첨가될 수 있거나, 적은 양의 산이 첨가될 수 있거나, 도는 많은 양의 물이 첨가될 수 있다. 그러나 선택된 pH 조율제에 관계없이, pH는 pH 조율제에 의해 영향을 받음은 물론 ARC의 화학적, 기계적 및 물리적 특성이 더욱 양립가능한 레지스트/ARC 결합을 야기하도록 영향을 줄 수 있음은 여전히 기초 원리이다.
더욱 특별하게는, 실란 반응 물질을 포함하는 반응 혼합물, 예를 들어 HTEOS, 또는 TEOS 및 MTEOS, TMEOS 또는 TMOS 및 MTMOS; 또는, 택일적으로, 테트라클로로실란 및 메틸트리클로로실란, 하나 이상의 흡수 화합물, 예를 들어 흡수 화합물 1-41; 하나 이상의 pH 조율제; 용매 또는 용매의 조합물; 및 산/물 혼합물는 반응 용기에서 형성된다. 적절한 용매는 아세톤, 2-프로파놀, 및 다른 간단한 알콜, 케톤 및 에스테르 예를 들어 1-프로파놀, MIBK, 프로폭시프로파놀, 및 프로필 아세테이트를 포함한다. 산/물 혼합물은 예를 들어 질산 및 물이다. 다른 포르트산 또는 산 무수물, 예를 들어 아세트산, 젖산, 옥살산, 포름산, 인산, 염산 또는 아세트산 무수물은 산 혼합물에서 택일적으로 사용된다. 그 결과 얻어진 혼합물은 40℃ 이상의 온도로 가열되거나 약 1 내지 24 시간 동안 환류되어 흡수 용액을 생성한다. 상기 언급된 바와 같이, 재료 변형제, 예를 들어 하나 이상의 접착력 증가제, 하나 이상의 pH 조율제, 하나 이상의 포로젠, 하나 이상의 고비점 용매, 하나 이상의 가교제 하나 이상의 촉매 및/또는 하나 이상의 캡핑제, 및/또는 a 이들의 조합물은 가열/환류 단계 후 또는 중에 첨가될 수 있고, 이는 선택된 레지스트 재료에 의존한다. 또한, 상기 언급된 바와 같이, 산/물 혼합물에 산 농도 및/또는 강도 및 물 농도는 pH 조율제가 되기 위해 다양하게 될 수 있으며, 이는 특정 층 재료, 전자 부품 또는 반도체 부품 장치를 위해 선택된 레지스트 재료에 의존한다.
흡수 재료는 적절한 용매로 희석되어 다양한 두꼐의 막을 생산하는 코팅 용액을 얻을 수 있다. 적절한 희석 용매는 아세톤, 2-프로파놀, 에탄올, 부탄올, 메탄올, 프로필아세테이트, 에틸 락테이트, 프로필렌 글리콜 메틸 에테르 아세테이트, 및 프로필렌 글리콜 프로필 에테르를 포함하며, 상용되는 프로파졸-P(Propasol-P)로 참조된다. 고비점을 가지는 희석 용매 예를 들어 에틸 락테이트 및 프로필렌 글리콜 프로필 에테르는 이로운 것으로 알려져 있다. 고비점 용매는 버블 막 결점의 형성 가능성을 줄이는 것으로 알려져 있다. 반대로, 저비점 용매는 막의 가교된 상부 층 밑에 트랩될 수 있고 베이킹 공정 단계 중에 떨어지는 경우에 공극이 결과적으로 생성될 수 있다. 본 발명에 유용한 추가적 용매는 에틸렌 글리콜 디메틸 에테르(택일적으로 글림으로 불림), 아니졸, 디부틸 에테르, 디프로필 에테르, 프로필렌 글리콜 메틸 에테르 아세테이트, 및 펜타놀를 포함한다. 선택적으로, 계면활성제는 활용될 수 있고 이 선택적 계면활성제를 위한 테이터/측정값은 하기 실시예 섹션에 나타나있지만, 이 데이터 및 측정값은 수행된 연구의 모든 것이 아니고 시험된 모든 계면활성제를 나타내지 않는다. 예를 들어, 하기의 계면활성제의 종류가 테스트되었으며: 폴리아크릴레이트 (이온 및 비이온) (BYK 브랜드 계면활성제, 특정적으로는 BYK 306, 307, 308, 380 및 381), 기능성 폴리디메틸실록산 (타고프렌(Tagopren) 브랜드 계면활성제), 실리콘, 플루오르화 알킬에스테르 (FC 브랜드 계면활성제, 특정적으로는 3M(미네아폴리스, 미세소타(Minneapolis, Minn.))에 의해 공급되는 FC4430, FC430 또는 FC4432, 폴리에테르 및 지방족 탄화수소 사슬 계면활성제 (Brij 계면활성제), 제품 메가페이스(Megaface) R08 (DIC(일본)에 의해 공급됨), 또는 일반적으로 플루오로카르보 계면활성제의 부류가 또한 코팅 용액에 첨가된다. 더구나, 여러 가지 점도 작용제는 BYK 410 및 BYK 420와 같은 코팅 용액의 점도에 영향을 주기 위해 코팅 용액에 첨가될 수 잇다. 코팅 용액은 전형적으로 약 0.5 내지 20중량% 폴리머이다. 사용전에, 코팅 용액은 표준 여과 기술에 의해 여과된다.
흡수 재료를 형성하는 두 번째 방법에 따라, 하나 이상의 실란 반응물을 포함하는 반응 혼합물, 하나 이상의 흡수 화합물, 예를 들어 흡수 화합물 1-41, 하나 이상의 접착력 증가제를 포함하는 하나 이상의 재료 변형제, 하나 이상의 pH 조율제, 하나 이상의 포로젠, 하나 이상의 고비점 용매, 하나 이상의 가교제 하나 이상의 촉매 및/또는 하나 이상의 캡핑제, 및/또는 이들의 조합물, 및 추기 용매 또는 용매 조합물은 반응 용기에서 형성된다. 반응 혼합물을 40℃ 이상의 온도로 가열하고 약 1 내지 24 시간 동안 바람직한 온도로 유지한다. 실란 반응 물질 및 용매는 상기 첫 번째 방법에서 설명된 바와 같다. 상기 설명된 산/물 혼합물을 교반 중에 반응 혼합물에 첨가하였다. 그 결과 얻은 혼합물을 40℃의 온도 이상으로 가열하고 약 1 내지 24 시간 동안 바람직한 온도로 유지하여 흡수 재료를 생산하였다. 흡수 재료를 상기 설명된 방법과 같이 희석시키고 여과시켜 코팅 용액을 형성하였다. 다시, 이전에 언급된 바와 같이, 하나 이상의 재료 변형제를 첫 번째 가열 단계 중 또는 후에 첨가할 수 있다.
흡수 유기하이드리도실록산 재료를 형성하는 방법은 비극성 용매 및 극성 용매 및 상 전화 촉매 포함하는 이중 상 용매의 혼합물을 형성하고; 하나 이상의 유기트리할로실란, 하이드리도트리할로실란을 첨가하고; 하나 이상의 재료 변형제 및 하나 이상의 흡수 화합물, 예를 들어 흡수 화합물 1-41를 첨가하여 이중 상 반응 혼합물을 제공하고; 1 시간 내지 24 시간 동안 이중 상 반응 혼합물을 반응하여 흡수 유기하이드리도실록산 폴리머를 생성함을 포함한다. 상 전환 촉매는 테트라부틸암모늄 클로라이드 및 벤질트리메틸암모늄 클로라이드를 포함하지만 이에 제한되지 않는다. 예시적 비극성 용매는 펜탄, 헥산, 헵탄, 사이클로헥산, 벤젠, 톨루엔, 자일렌, 할로겐화 용매 예를 들어 카본 테트라클로라이드 및 이들의 혼합물을 포함하며 이에 제한되지 않는다. 유용한 극성 용매는 물, 알콜, 및 알콜 및 물 혼합물을 포함한다. 흡수 고분자 용액을 상기 설명된 바와 같이 희석하고 여과하여 코팅 용액을 형성하였다.
또 다른 구체예에서, 촉매는 표면에 중합이 진행되며, 고체 상에 존재할 수 있다. 보조 재료는 설폰산과 같은 부착된 산 기를 가진 전형적으로 실리카-기재 이온-교환 수지 또는 사용된 용매 조건 하에서 용해가능하지 않는 임의의 다른 산-함유 폴리머(예를 들어 폴리락티드, 폴리아크릴산, 폴리비닐설폰산)를 포함할 수 있다.
다른 구체예에서, 흡수 코팅 조성물의 합성 및/또는 제조 중 및 후에 조성물의 물 함유량은 폴리머의 분자량 성장을 조절하기 위해 조절될 수 있다. 물 함유량을 조절함은 폴리머 분자량 성장과 캡핑제로서 유사한 효과를 가진다.
대안적으로는, 흡수 조성물을 만드는 또 다른 방법은 중합 반응이 "단계 실란 첨가(staged silane addition)" 방법에서 시작한 후에 첨가되기 위해 하나 이상의 무기-기재 모노머를 요구한다. 그 다음에 반응 혼합물에 임의의 잔존 실란 모노머, 약 375nm 미만의 파장에서 빛을 흡수하는 통합가능하지 않은 흡수 화합물, 및 하나 이상의 재료 변형를 첨가하였다.
적용
본원에 기재되어 있는 코팅 재료 및 용액은 다양한 기판 및/또는 표면에 적용되어 비아 필 층, 층 재료, 반도체 공정에서 사용되는 층, 또는 전자 부품에서 사용되는 층을 형성할 수 있으며, 이는 특정 제조 공정에 의존하고, 전형적으로는 통상적 스핀-온 흡착 기술, 증착 또는 화학 증착에 의한다. 이 기술은 디스펜스 스핀, 두께 스판, 및 열 베이크 단계를 포함하고 무기 코팅을 생산한다. 전형적인 공정은 약 20초 동안 1000 내지 4000 rpm의 두께 스핀 및 각 약 1 분 동안 80℃ 내지 300℃의 온도에서 1 내지 3번의 베이크 단계를 포함한다. 본 발명에 따라 무기 코팅은 약 1.3 내지 약 2.0의 굴절 지수 및 약 0.07 보다 큰 감쇠 계수를 나타낸다.
본원에서 고려된 기판은 임의의 바람직한 실질적인 고체 재료를 포함한다. 특별하게 바람직한 기판 층은 막, 글라스, 세ㄹ라믹, 플라스틱, 금속 또는 코팅 금속, 또는 복합체 재료를 포함할 수 있다. 바람직한 구체예에서, 기판은 실리콘 또는 게르마늄 비소화물 다이 또는 웨이퍼 표면, 봉지 표면 예를 들어 구리, 은, 니켈 또는 금 플레이트 리드프레임에서 발견되는 것, 구리 표면 예를 들어 서킷 보드 또는 봉지 인터커넥트 트레이스(package interconnect trace), 비아-웰(via-wall) 또는 단단한 인터페이스(stiffener interface) ("구리"는 베어(bare) 구리 및 이의 옥사이드를 포함), 폴리머-기재 봉지 또는 보드 인터페이스(board interface) 예를 들어 폴리이미드-기재 플렉스 봉지(flex package)에서 발견되는 것, 납 또는 다른 급속 합금 땜납 볼 표면, 글라스 및 폴리머 예를 들어 폴리이미드를 포함한다. 더욱 바람직한 구체예에서, 기판은 실리콘, 구리, 글라스 및 다른 폴리머와 같은 봉지 및 서킷 보드 산업에서 일반적인 재료를 포함한다.
고려된 코팅 재료, 코팅 용액 및 막은 활용될 수 있고, 다양한 전자 장치, 마이크로전자 장치, 특별히 하드마스크 층, 유전 층, 에칭 정지 층(etch stop layer) 및 덮어진 에칭 정지 층(buried etch stop layer)을 포함하는 반도체 집적 회로 및 전자 및 반도체 부품을 위한 여러 가지 층 재료의 제조에서 유용하다. 이 코팅 재료, 코팅 용액 및 막는 아다만탄-기재 화합물, 디아만탄-기재 화합물, 실리콘-코어 화합물, 유기 유전체, 및 나노다공성 유전체와 같은 층 재료 및 장치를 위해 사용될 수 있는 다른 재료와 양립가능하다. 본원에서 고려된 코팅 재료, 코팅 용액 및 막에 상당히 양립가능한 화합물은 PCT 출원 PCT/US01/32569 (2001년 10월 17일 출원); PCT 출원 PCT/US01/50812 (2001년 12월 31일 출원); 미국 출원 제 09/538,276호; 미국 출원 제 09/544,504호; 미국 출원 제 09/587,851호; 미국 특허 제 6,214,746호; 미국 특허 제 6,171,687호; 미국 특허 제 6,172,128호; 미국 특허 제 6,156,812호, 미국 출원 제 60/350,187호 2002년 1월 15일 출원); 및 미국 60/347,195 (2002년 1월 8일 출원)에 기재되어 있고, 이는 모두 본원에 참조로 그대로 통합된다.
본원에 기재된 화합물, 코팅s, 막 및 재료 등등은 전자 부품 및/또는 반도체 부품을 형성하거나, 이의 부분이 되거나, 이의 부분을 형성하기 위해 사용될 수 있다. 본원에서 사용된 바와 같이, 용어 "전자 부품"는 또한 몇몇 바람직한 전기 작용을 얻기 위해 서킷에 사용될 수 있는 임의의 장치 또는 부분을 의미한다. 본원에 고려된 전자 부품는 능동 성분 및 수동 성분으로 구별함을 포함하는 많은 다른 방법으로 구별될 수 있다. 능동 성분은 몇몇 동적인 기능, 예를 들어 증폭, 진동, 또는 신호 조절이 가능하게 하는 전자 부품이며, 이는 일반적으로 이의 작동을 위한 파워 공급원을 요구한다. 예는 양극 트랜지스터, 전계-효과 트랜지스터, 및 집적 회로이다. 수동 소자는 작동이 정적인 즉, 증폭 또는 진동이 일반적으로 가능하지 않고, 일반적으로 이들의 특징적 작동을 위해 파워를 요구하지 않는 전자 부품이다. 예는 통상적 저항, 축전기, 인덕터, 다이오드, 정류기 및 퓨즈이다.
본원에서 고려된 전자 부품는 또한 도체, 반도체, 또는 절연체와 같이 분류될 수 있다. 여기서, 하전된 담체 (예를 들어 전자)가 전류에서와 같이 쉽게 원자 사이를 움직일 수 있는 소자이다. 도체의 예는 금속을 포함하여 서킷 트레이스 및 비아스이다. 절연체는 전도 흐름에 극히 저항하는 재료의 특성에 실질적으로 관련된 소자로서, 다른 소자와 전기적으로 분리하는데 사용되는 재료와 같은 역할을 하는 소자이며, 반면에 반도체는 도체와 절연체 사이에 그 자체의 저항성으로 전도 흐름을 만드는 재료의 능력과 실질적으로 관련된 기능을 가진 소자이다. 반도체 소자의 예는 트랜지스터, 다이오드, 일종의 레이져, 정류기, 사이리스터 및 광센서이다.
본원에서 고려된 전자 부품는 또한 파워 공급원 또는 파워 소비원으로서 구분될 수 있다. 파워 공급원 소자는 다른 소자에 파워를 공급하기 위해 전형적으로 사용되고 전지, 축전기, 코일, 및 연료전지를 포함한다. 파워 소비원 소자는 에시스터, 트랜지스터, 집적 회로 (ICs) 및 센서 등등을 포함한다.
더욱더, 본원에서 고려된 전자 부품는 분리 또는 통합으로 구별될 수 있다. 분리 소자는 서킷 내 한 장소에 집중된 하나의 특별한 전자 특성을 제공하는 장치이다. 예는 저항, 축전기, 다이오드, 및 트랜지스터이다. 통합 소자는 서킷 내 한 장소에 다중 전기 특성을 제공할 수 있는 소자의 조합이다. 예는 다중 소자 및 연결 트레이스가 통합되어 로직과 같은 다중 또는 복합 기능을 수행하는 집적 회로이다.
실시예
분석적 테스트 방법:
굴절 지수 : 굴절 지수 (n) 측정은 n & k 스펙트로포토미터 분석기를 사용하는 두께 측정으로 수행된다.
흡수 계수 : 흡수 계수 (k) 측정값은 n & k 스펙트로포토미터 분석기를 사용하는 두께 측정으로 얻었다.
분자량 : 분자량 (Mw) 측정값은 기체상 크로마토그레피(Gas Phase Chromatography)를 사용하여 얻었다.
% 비아 필 : % 비아 필 측정값은 스케닝 전자 마이크로스코프(Scanning Electron Microscope)로 비아 필 웨이퍼를 사용하여 얻었다.
습윤 스트립 율 ( BOE , TMAH , NE -89 또는 다른 습윤 화학물질) : 웨이퍼는 다양한 온도 예를 들어 상온, 50℃, 75℃, 등에서 다양한 기간 예를 들어 15 초, 30 초, 1 분, 2 분, 5 분, 또는 10 분 동안 고려된 습윤 화학물질 막으로 딥-코팅된다. 딥핑 전 그리고 딥핑 후에 막 두께를 측정한다. 습윤 스트립 율은 단위 시간당 막 두께 변화에 의해 계산된다.
등온 그래비메트릭 분석기( Isothermal Gravimetric Analysis ( ITGA )) 중량 손실 : 총 무게 손실은 TA 인스트루먼트 열 분석 조절기(TA Instruments thermal analysis controller) 및 관련된 소프트웨어와 함께 사용되는 TA 인스트루먼트 2950 테르모그래비메트릭 분석기(TA Instruments 2950 Thermogravimetric Analyzer (TGA))에서 측정되었다. 약 25℃ 내지 약 1000℃의 온도 범위 및 약 0.1℃ 내지 약 100℃/분 범위의 가열 속도를 가진 플래티넬 II 써모커플 (Platinel II Thermocouple) 및 표준 퍼니스(Standard Furnace)를 사용하였다. 작은 약의 샘플 (약 7 내지 약 12 mg)은 TGA 발렌스(balance) (분석: 약 0.1 g; 정확도: + 약 0.1%)에서 무게를 쟀고 플라티늄 팬에서 가열되었다. 샘플을 약 100 ml/분의 정화 속도(약 60 ml/분으로 퍼니스로 주입하고 40 ml/분로 발렌스에 주입)를 가지는 질소 하에서 가열하였다. 샘플을 약 20분 동안 약 20℃에서 질소 하에 평형시키고, 온도를 약 130℃로 약 10℃/분의 속도로 올리고 약 1 분 동안 약 130℃에서 유지하였다. 온도를 그 다음에 약 250℃로 약 10℃/분의 속도로 가열하고 약 3분 동안 약 250℃로 유지하였다. 약 90 초 기간 동안 약 250℃에서의 질량 손실을 계산하였다.
FTIR 분석 : FTIR 스텍트라(FTIR spectra)를 트렌스미션 모드에서 니콜렛 마그나(Nicolet Magna) 550 FTIR 스펙트로미터를 사용하여 얻었다. 기판 백그라운드 스펙트라(background spectra)를 코팅되지 않은 기판에 두었다. 막 스펙트라를 백그라운드로 기판을 사용하여 얻었다. 막 스펙트라를 그 다음에 피크 위치 및 강도의 변화에 대해 분석하였다. 임의의 고려된 조성물 또는 용액의 FTIR를 측정하기 위한 방법은 공유된 US 특허 출원 제 U.S. 20030151031호(2003년 8월 14일 출원)에 기재되어 있으며, 이는 본원에 그대로 통합된다.
접촉각 : 임의의 고려된 조성물 또는 용액의 접촉각을 측정하는 방법은 공유된 PCT 출원 PCT/US02/36327에 기재되어 있으며, 이는 본원에 그대로 통합된다.
pH 측정 : 임의의 고려된 조성물 또는 용액의 pH를 측정하는 방법은 공유된 PCT 출원 PCT/US01/45306에 기재되어 있으며, 이는 본원에 그대로 통합된다.
기호 및 약어:
AC/Absorb. Comp.=흡수 조성물
POR=porous
ER=에칭율
Pre= 암스트롱으로 사전-액침(Pre-immersion) SOG 막 평균 두께 SOG 막
WER=습식 식각 율
Rev A = pH 1.5에서 유기실록산 폴리머
Rev C = pH 1.5에서 Rev A의 성분 더하기 600 ppm의 산성화된 TMAA
TMAH = 테트라메틸암모늄 하이드록사이드
TMAA = 테트라메틸암모늄 아세테이트
[0199] DPG=디프로필렌 글리콜
BOE = 버퍼 옥사이드 에칭
193 = 193 nm
248 = 248 nm
본원에 설명된 흡수 조성물 및/또는 코팅 재료를 합성하는 몇몇 방법은 하기 실시예에서 설명된다. 하기 실시예에서 제조된 용액 및 코팅제는 157 nm, 193 nm, 248 nm, 및 375 nm 주위의 파장을 흡수하는 것들을 포함하는 여러 가지 포토레지스트 재료와 양립가능 하도록 하기 위해 맞춰지고/거나 제조되었다. 193 nm 레지스트 재료의 실시예는 아크릴레이트 레지스트 재료이다.
실시예 1
표 3-9는 습윤 스트립핑 작용제로서 사용되는 여러 가지의 고려된 흡수 조성물 및 다른 농도의 TMAH 수성 용액을 사용하여 개발된 온도 메트릭스를 보여준다. 표는 베이크 순서, 수성 TMAH의 %, 에칭율(ER) 및 pH를 보여준다. 보는 바와 같이, "Rev A"는 pH 1.5에서 유기실록산 폴리머를 나타낸다. 보는 바와 같이, "Rev C"는 pH 1.5에서 "Rev A" 및 산성화된 TMAA의 600 ppm을 포함한다.
실시예 2
표 2-5는 50℃ 베이킹 플레이트에서 90초 후에 TMAA (도 2), TMAN (도 3), APTEOS 트리플레이트 (도 4) 및 암모늄 트리플레이트 (도 5)에 대한 TGA 데이터를 보여준다.
실시예 3
6는 고려된 흡수 조성물 및/또는 코팅 재료의 습식 식각 율에서 각 루트의 효과를 보여준다. APTEOS-토실레이트 및 TMAH-토실레이트/TMAH-트리플레이트를 사용하여 고려된 흡수 조성물 및/또는 코팅 재료의 습식 식각 율 (WER)의 측정을 통해 개발된 기초 자료는 표 10 및 11에서 설명된다.
실시예 4
표 12는 재료 변형제, 특별하게는, 접착력 증가제를 함유하는 "N"를 포함하는 고려된 흡수 조성물의 질소 함유량을 보여준다. 표 13 및 도 7-15표 11의 조성물에 대한 습식 식각 율 데이터 및 막 특성 데이터를 보여준다.
실시예 5
본 실시예에 용액 노화 연구에 대해 설명되어 있고 두 개의 고려된 흡수 조성물에 대한 표 14 및 도 16-25에서 설명된 결과가 있다. 용액 노화 조건은 하기와 같다.
∨ 5일 동안 40℃에서 저장
∨ 1달 동안 상온에서 저장
∨ 6일 동안 0℃에서 저장
∨ 6월 동안 -20℃에서 저장
반응 테스트는 하기와 같다:
∨ GPC (Mn, Mw, MP, Mz, Mz+1, 다분산성)
∨ 90도 동안 130/240℃에서 막 두께
∨ 193 nm 에서 반사, 굴절 지수 및 감쇠 계수
∨ TMAH 저항
∨ 500:1 BOE 스트립 율
∨ 접촉각 (물 & 에틸렌 글리콜)
실시예 6
본 실시예에 막 시효 연구가 설명되어 있고 두 개의 고려된 흡수 조성물에 대한 표 15-16에서 설명된 결과가 있다. 상온에서의 막 시효 조건은 하기와 같다:
∨ 1 시간
∨ 3 시간
∨ 8 시간
∨ 24 시간
∨ 48 시간
반응 테스트는 하기와 같다:
∨ TMAH 저항
∨ 500:1 BOE 스트립 율
실시예 7
표 17-19는 pH 1.5에서 유기실록산 폴리머를 포함하는 "Rev A" 조성물과 비교하여 3개의 다른 흡수 조성물에 대한 습식 식각 율을 보여준다. 표 17은 pH 1.5에서 1070 ppm의 APTEOS 트리플레이트와 함께 성분 "Rev A"를 포함하는 흡수 조성물을 보여준다. 표 18은 pH 1.5에서 1070 ppm의 APTEOS 트리플레이트와 1.5% DPG와 함께, 성분 "Rev A"을 포함하는 흡수 조성물을 보여준다. 표 19는 pH 1.5에서 1070 ppm의 APTEOS MSA와 1.5% DPG와 함께 성분 "Rev A"을 포함하는 흡수 조성물을 보여준다. 표 20은 분자량 성장 및 시효에 대한 상기 언급된 같은 조성물을 보여준다.
실시예 8
표 21은 pH 1.5 및 5.5에서 유기실록산 폴리머를 포함하는 "Rev A" 조성물과 비교하여 다른 흡수 조성물에 대한 습식 식각 율 연구를 보여준다. 실험 조성물은 1070 ppm의 APTEOS 니트레이트 및 4개의 다른 농도의 DPG와 함께 "Rev A" 조성물을 포함한다.
실시예 9
도 26 및 표 22-23는 pH 1.5 및 다른 농도의 APTEOS 트리플레이트에서 물 접촉 각, n & k 및 유기실록산 폴리머의 분자량을 보여준다. 27는 APTEOS 트리플레이트의 다른 로드를 가진 언급된 유기실록산 폴리머에 대한 FTIR 데이터를 보여준다. 표 24는 높은 로드의 APTEOS 트리플레이트를 가진 유기실록산 폴리머 조성물 중 하나의 습식 식각 율을 보여준다.
따라서, 특정 구체예 및 조성물의 장치 및 흡수 조성물, 코팅 재료, 스핀-온 재료, 스핀-온 무기 재료 및 스핀-온 글라스 재료이며 하나 이상의 무기 화합물, 하나 이상의 흡수 화합물 또는 재료, 및 하나 이상의 재료 변형제, 예를 들어 하나 이상의 접착력 증가제, 하나 이상의 pH 조율제, 하나 이상의 포로젠, 하나 이상의 고비점 용매, 하나 이상의 가교제 하나 이상의 촉매 및/또는 하나 이상의 캡핑제, 및/또는 a 이들의 조합물를 포함하는 재료를 생산하는 방법을 설명한다. 그러나, 이미 설명된 것들 외에 보다 많은 수정이 본원의 발명적 사고를 벋어남 없이 가능하다는 것은 당업자에게 분명하다. 그래서, 발명 주제는 첨부된 청구 범위의 취지 내에서 제한되지 말아야 한다. 더구나, 상세한 설명 및 청구 범위를 해석함에 있어, 모든 용어는 본문과 일치하는 가능한 가장 넓은 방법으로 해석되어야 한다. 특히, "포함하다" 및 "포함하는"은 비배타적인 방법으로 요소, 성분, 또는 단계를 지칭하는 것으로 해석되어야 하며, 참조된 요소, 성분 또는 단계는 존재하거나, 활용되거나, 명백하게 참조 되지 않은 다른 요소, 성분 또는 단계와 통합될 수 있는 것을 의미한다.
Figure 112012069630517-pat00003
Figure 112012069630517-pat00004
Figure 112012069630517-pat00005
Figure 112012069630517-pat00006
Figure 112012069630517-pat00007
Figure 112012069630517-pat00008
Figure 112012069630517-pat00009
Figure 112012069630517-pat00010
Figure 112012069630517-pat00011
Figure 112012069630517-pat00012
Figure 112012069630517-pat00013
Figure 112012069630517-pat00014
Figure 112012069630517-pat00015
Figure 112012069630517-pat00016
Figure 112012069630517-pat00017
Figure 112012069630517-pat00018
Figure 112012069630517-pat00019
Figure 112012069630517-pat00020
Figure 112012069630517-pat00021
Figure 112012069630517-pat00022
Figure 112012069630517-pat00023
Figure 112012069630517-pat00024

Claims (37)

  1. 하나 이상의 무기-기재 화합물(inorganic-based compound) 및 하나 이상의 흡수 화합물(absorbing compound)로부터 형성된 흡수 재료(absorbing material); 및
    하나 이상의 재료 변형제(material modification agent)를 포함하는 흡수 조성물로서,
    상기 하나 이상의 무기-기재 화합물은 메틸실록산, 메틸트리에톡시실란 (MTEOS), 메틸실세스퀴옥산, 페닐실록산, 페닐실세스퀴옥산, 비닐트리에톡시실란, 페닐트리에톡시실란, 테트라에톡시실란, 아크릴릭 실록산 폴리머, 메틸페닐실록산, 메틸페닐실세스퀴옥산, 폴리카보실란, 실리케이트 폴리머, 실라잔 폴리머 또는 이들의 혼합물을 포함하고,
    상기 흡수 화합물은 알콕시기, 하이드록시기, 아민기, 카르복실산기, 치환된 실릴기 또는 이들의 조합을 포함하는 그룹으로부터 선택되는 반응기, 및 하나 이상의 벤젠 고리를 포함하고, 상기 치환된 실릴기는 알콕시 실릴기, 디알콕시 실릴기 및 트리알콕시 실릴기를 포함하는 그룹으로부터 선택되며,
    상기 하나 이상의 재료 변형제가 하나 이상의 질소-기재 기 또는 치환기(nitrogen-based group or substituent)를 포함하고,
    상기 하나 이상의 질소-기재 기 또는 치환기는 암모늄기, 아민기 또는 이들의 조합을 포함하는
    흡수 조성물.
  2. 삭제
  3. 삭제
  4. 제1항에 있어서,
    상기 흡수 화합물은 안트라플라브산, 9-안트라센 카르복실산, 9-안트라센 메탄올, 알리자린, 퀴니자린, 프리물린, 2-하이드록시-4(3-트리에톡시실릴프로폭시)-디페닐케톤, 로졸산, 트리에톡시실릴프로필-1,8-나프탈이미드, 9-안트라센 카르복시-알킬 트리에톡시실란, 페닐트리에톡시실란, 10-페난트렌 카르복시-메틸 트리에톡시실란, 4-페닐아조페놀, 4-에톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란, 4-메톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란 또는 이들의 혼합물을 포함하는
    흡수 조성물.
  5. 제1항에 있어서,
    상기 흡수 재료가 실리콘을 포함하는
    흡수 조성물.
  6. 제5항에 있어서,
    상기 실리콘, 상기 흡수 재료 또는 이들의 조합이 폴리머인
    흡수 조성물.
  7. 삭제
  8. 제1항에 있어서,
    상기 하나 이상의 무기-기재 화합물은 하이드로겐실록산, 하이드로겐실세스퀴옥산, 유기하이드리도실록산, 실세스퀴옥산-기재 화합물, 규산과 유기하이드리도실세스퀴옥산 폴리머의 유도체; 하이드로겐실세스퀴옥산과 알콕시하이드리도실록산의 공중합체, 하이드록시하이드리도실록산, 규산 유도체 또는 이들의 혼합물을 더 포함하는
    흡수 조성물.
  9. 제1항에 있어서,
    상기 하나 이상의 재료 변형제는 하나 이상의 아민 염을 포함하는
    흡수 조성물.
  10. 제1항에 있어서,
    상기 하나 이상의 재료 변형제는 테트라메틸암모늄 하이드록사이드(tetramethylammonium hydroxide; TMAH), 세틸트리메틸암모늄 하이드록사이드(cetyltrimethylammonium hydroxide; CTAH), 세틸트리메틸암모늄 아세테이트 (cetyltrimethylammonium acetate; CTAA), 테트라부틸암모늄 아세테이트 (tetrabuthylammonium acetate; TBAA), 테트라부틸암모늄 하이드록사이드(tetrabutylammonium hydroxide; TBAH), 아미노프로필트리에톡시실란(aminopropyltriethoxysilane; APTEOS)-기재 화합물, 암모늄 트리플레이트, 암모늄 노나플루오로부탄-1-설포네이트(ammonium nonafluorobutane-1-sulfonate; ammonium nfbs), 암모늄 메탄설포네이트, 암모늄 니트레이트 또는 이들의 조합을 포함하는
    흡수 조성물.
  11. 제10항에 있어서,
    상기 APTEOS-기재 화합물은 APTEOS 트리플레이트, APTEOS 메탄설포네이트, APTEOS 니트레이트, APTEOS nfbs 또는 이들의 조합을 포함하는
    흡수 조성물.
  12. 제1항에 있어서,
    상기 하나 이상의 재료 변형제는 알콕시 또는 하이드록시-함유 실란 모노머, 비닐-함유 실란 모노머, 아크릴화된 실란 모노머 또는 실릴 하이드라이드 화합물을 더 포함하는
    흡수 조성물.
  13. 제1항의 흡수 조성물 및 하나 이상의 용매 또는 용매 혼합물을 포함하는 코팅 용액.
  14. 제13항에 있어서,
    상기 하나 이상의 용매 또는 용매 혼합물이 하나 이상의 알코올, 하나 이상의 케톤, 프로필렌 글리콜 메틸 에테르 아세테이트, 하나 이상의 에테르, 물 또는 이들의 조합을 포함하는
    코팅 용액.
  15. 제14항에 있어서,
    상기 하나 이상의 알코올은 에탄올, 이소-부틸 알코올, n-프로폭시 프로판올, 시클로펜탄올, 이소-아밀 알코올, t-아밀 알코올, 1-옥탄올, 1-메톡시-2-프로판올 또는 이들의 조합을 포함하는
    코팅 용액.
  16. 제13항에 있어서,
    흡수 조성물이 용액의 0.5중량% 내지 20중량%인
    코팅 용액.
  17. 제1항의 흡수 조성물로부터 형성된 막.
  18. 제17항에 있어서,
    적어도 부분적으로 제거된
    막.
  19. 제17항에 있어서,
    365 nm 또는 그 미만의 파장에서 빛을 흡수하는
    막.
  20. 하나 이상의 무기-기재 화합물(inorganic-based compound) 및 하나 이상의 흡수 화합물(absorbing compound)로부터 형성된 흡수 재료(absorbing material); 및
    테트라메틸암모늄 하이드록사이드(tetramethylammonium hydroxide; TMAH), 세틸트리메틸암모늄 하이드록사이드(cetyltrimethylammonium hydroxide; CTAH), 세틸트리메틸암모늄 아세테이트 (cetyltrimethylammonium acetate; CTAA), 테트라부틸암모늄 아세테이트 (tetrabuthylammonium acetate; TBAA), 테트라부틸암모늄 하이드록사이드(tetrabutylammonium hydroxide; TBAH), 아미노프로필트리에톡시실란(aminopropyltriethoxysilane; APTEOS)-기재 화합물 또는 이들의 조합으로부터 선택된 성분을 포함하고,
    상기 하나 이상의 무기-기재 화합물은 메틸실록산, 메틸트리에톡시실란 (MTEOS), 메틸실세스퀴옥산, 페닐실록산, 페닐실세스퀴옥산, 비닐트리에톡시실란, 페닐트리에톡시실란, 테트라에톡시실란, 아크릴릭 실록산 폴리머, 메틸페닐실록산, 메틸페닐실세스퀴옥산, 폴리카보실란, 실리케이트 폴리머, 실라잔 폴리머 또는 이들의 혼합물을 포함하고,
    상기 흡수 화합물은 알콕시기, 하이드록시기, 아민기, 카르복실산기, 치환된 실릴기 또는 이들의 조합을 포함하는 그룹으로부터 선택되는 반응기, 및 하나 이상의 벤젠 고리를 포함하고, 상기 치환된 실릴기는 알콕시 실릴기, 디알콕시 실릴기 및 트리알콕시 실릴기를 포함하는 그룹으로부터 선택되는,
    흡수 조성물.
  21. 제20항에 있어서,
    상기 흡수 재료는 폴리머인
    흡수 조성물.
  22. 제1항에 있어서,
    상기 하나 이상의 흡수 화합물은 페닐실록산, 페닐실세스퀴옥산, 페닐트리에톡시실란, 메틸페닐실록산, 메틸페닐실세스퀴옥산, 실리케이트 폴리머, 실라잔 폴리머 또는 이들의 혼합물을 포함하는
    흡수 조성물.
  23. 하나 이상의 실리콘(silicon), 하나 이상의 유기-기재 흡수 화합물(organic-based absorbing compound), 및 하나 이상의 재료 변형제(material modification agent)를 포함하는 흡수 조성물로서,
    상기 하나 이상의 재료 변형제가 하나 이상의 접착력 증가제(adhesion promoter)를 포함하고, 하나 이상의 가교제(crosslinking agent), 하나 이상의 촉매(catalyst), 하나 이상의 캡핑제(capping agent), 하나 이상의 pH 조율제(pH tuning agent) 또는 이들의 조합물을 더 포함하고,
    상기 하나 이상의 접착력 증가제가 아미노프로필트리에톡시실란(aminopropyltriethoxysilane; APTEOS) 트리플레이트, 아미노프로필트리에톡시실란(APTEOS) 메탄설포네이트, 아미노프로필트리에톡시실란 노나플루오로부탄-1-설포네이트(aminopropyltriethoxysilane nonafluorobutane-1-sulfonate; APTEOS nfbs), 암모늄 트리플레이트, 암모늄 노나플루오로부탄-1-설포네이트(nfbs), 암모늄 메탄설포네이트, 암모늄 니트레이트, 테트라메틸암모늄 하이드록사이드(TMAH) 트리플레이트, 테트라메틸암모늄 하이드록사이드 노나플루오로부탄-1-설포네이트(TMAH nfbs), 테트라메틸암모늄 하이드록사이드(TMAH) 메탄설포네이트, 테트라메틸암모늄 하이드록사이드(TMAH) 니트레이트 또는 이들의 조합물을 포함하고,
    상기 하나 이상의 접착력 증가제가 상기 조성물에 첨가될 때 상기 조성물에서 가교에 영향을 미치는 다른 성분과 반응하지 않고,
    상기 하나 이상의 유기-기재 흡수 화합물이 375 nm 미만의 파장에서 10 nm 초과의 파장 폭 범위의 빛을 흡수하고,
    상기 하나 이상의 유기-기재 흡수 화합물이 안트라플라브산, 9-안트라센 카르복실산, 9-안트라센 메탄올, 알리자린, 퀴니자린, 프리물린, 2-하이드록시-4(3-트리에톡시실릴프록폭시)-디페닐케톤, 로졸산, 트리에톡시실릴프로필-1,8-나프탈이미드, 9-안트라센 카르복시-알킬 트리에톡시실란, 페닐트리에톡시실란, 10-페난트렌 카르복시-메틸 트리에톡시실란, 4-페닐아조페놀, 4-에톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란, 4-메톡시페닐아조벤젠-4-카르복시-메틸 트리에톡시실란 또는 이들의 혼합물을 포함하는
    흡수 조성물.
  24. 제23항에 있어서,
    상기 하나 이상의 유기-기재 흡수 화합물이 탄소-함유 화합물인
    흡수 조성물.
  25. 제23항에 있어서,
    상기 범위가 260 nm 미만의 파장을 포함하는
    흡수 조성물.
  26. 제23항에 있어서,
    상기 하나 이상의 접착력 증가제가 인을 더 포함하는
    흡수 조성물.
  27. 제23항에 있어서,
    상기 하나 이상의 접착력 증가제가 산을 더 포함하는
    흡수 조성물.
  28. 제23항에 있어서,
    상기 하나 이상의 접착력 증가제가 아민 염, 메틸암모늄 니트레이트, 테트라메틸암모늄 아세테이트 (tetramethylammonium acetate; TMAA), 테트라부틸암모늄 아세테이트 (tetrabuthylammonium acetate; TBAA), 세틸트리메틸암모늄 아세테이트 (cetyltrimethylammonium acetate; CTAA), 및 테트라메틸암모늄 니트레이트 (tetramethylammonium nitrate; TMAN)을 포함하는 그룹으로부터 선택되는 중성 화합물을 더 포함하는
    흡수 조성물.
  29. 제23항에 있어서,
    상기 하나 이상의 접착력 증가제가 약산을 더 포함하는
    흡수 조성물.
  30. 제23항에 있어서,
    상기 하나 이상의 접착력 증가제가 수지-기재 재료를 더 포함하고,
    상기 수지-기재 재료가 페놀-함유 수지, 노볼락 수지, 유기 아크릴레이트 수지 또는 스티렌 수지 중 하나 이상을 포함하는
    흡수 조성물.
  31. 삭제
  32. 제23항에 있어서,
    상기 하나 이상의 접착력 증가제가 폴리디메틸실록산-기재 재료, 알콕시 또는 하이드록시-함유 실란 모노머, 비닐-함유 실란 모노머, 아크릴화된 실란 모노머 또는 실릴 하이드라이드 화합물을 더 포함하는
    흡수 조성물.
  33. 제23항에 있어서,
    상기 실리콘이 폴리머를 포함하는
    흡수 조성물.
  34. 제33항에 있어서,
    상기 폴리머가 유기실록산 화합물을 포함하는
    흡수 조성물.
  35. 제34항에 있어서,
    상기 유기실록산이 메틸실록산, 메틸실세스퀴옥산, 페닐실록산, 페닐실세스퀴옥산, 아크릴릭 실록산 폴리머, 메틸페닐실록산, 메틸페닐실세스퀴옥산, 실리케이트 폴리머, 실라잔 폴리머 또는 이들의 혼합물을 포함하는
    흡수 조성물.
  36. 제33항에 있어서,
    상기 폴리머가 하이드로겐실록산, 하이드로겐실세스퀴옥산, 유기하이드리도실록산, 실세스퀴옥산-기재 화합물, 규산 및 유기하이드리도실세스퀴옥산 폴리머의 유도체; 하이드로겐실세스퀴옥산과 알콕시하이드리도실록산의 공중합체, 하이드록시하이드리도실록산, 규산 유도체 또는 이들의 혼합물을 포함하는
    흡수 조성물.
  37. 제33항에 있어서,
    상기 폴리머의 화학식이 (H0-1.0SiO1.5-2.0)x (여기서, x는 4 초과) 및 (H0-1.0SiO1.5-2.0)n(R0-1.0SiO1.5-2.0)m(여기서, m은 0 초과이며, n과 m의 합은 4 내지 5000이고 R은 C1-C20 알킬기 또는 C6-C12 아릴기)를 포함하는
    흡수 조성물.
KR1020127022626A 2003-11-18 2004-11-17 비아 필 및 포토리소그래피 장치를 위한 무반사 코팅 및 이들의 제조 방법 KR101324022B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/717,028 US8053159B2 (en) 2003-11-18 2003-11-18 Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US10/717,028 2003-11-18
PCT/US2004/038517 WO2005049681A2 (en) 2003-11-18 2004-11-17 Antireflective coatings for via fill and photolithography applications and methods of preparation thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020067012136A Division KR20060099532A (ko) 2003-11-18 2004-11-17 비아 필 및 포토리소그래피 장치를 위한 무반사 코팅 및이들의 제조 방법

Publications (2)

Publication Number Publication Date
KR20120115432A KR20120115432A (ko) 2012-10-17
KR101324022B1 true KR101324022B1 (ko) 2013-11-01

Family

ID=34619917

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020127022626A KR101324022B1 (ko) 2003-11-18 2004-11-17 비아 필 및 포토리소그래피 장치를 위한 무반사 코팅 및 이들의 제조 방법
KR1020067012136A KR20060099532A (ko) 2003-11-18 2004-11-17 비아 필 및 포토리소그래피 장치를 위한 무반사 코팅 및이들의 제조 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020067012136A KR20060099532A (ko) 2003-11-18 2004-11-17 비아 필 및 포토리소그래피 장치를 위한 무반사 코팅 및이들의 제조 방법

Country Status (7)

Country Link
US (2) US8053159B2 (ko)
EP (1) EP1695142B1 (ko)
JP (2) JP4857119B2 (ko)
KR (2) KR101324022B1 (ko)
CN (2) CN1902546B (ko)
TW (1) TWI374914B (ko)
WO (1) WO2005049681A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11469376B2 (en) 2019-11-12 2022-10-11 Samsung Display Co., Ltd. Display apparatus and method of manufacturing the same

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
JP5102428B2 (ja) * 2003-11-25 2012-12-19 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 導波路組成物およびこれから形成された導波路
KR100586165B1 (ko) * 2003-12-30 2006-06-07 동부일렉트로닉스 주식회사 바닥 반사 방지 코팅 방법
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US8901268B2 (en) * 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US20080157065A1 (en) * 2004-08-03 2008-07-03 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US20060105567A1 (en) * 2004-11-12 2006-05-18 Intel Corporation Method for forming a dual-damascene structure
JP4542927B2 (ja) * 2005-03-17 2010-09-15 富士フイルム株式会社 膜形成用組成物、該組成物から得られた絶縁膜およびそれを有する電子デバイス
US8357616B2 (en) * 2005-04-14 2013-01-22 President And Fellows Of Harvard College Adjustable solubility in sacrificial layers for microfabrication
KR100685734B1 (ko) * 2005-06-07 2007-02-26 삼성전자주식회사 다공성 스핀 온 글래스 조성물, 이의 제조 방법 및 이를이용한 다공성 실리콘 산화막 제조 방법
DE112006002140B4 (de) * 2005-08-12 2022-07-14 GM Global Technology Operations LLC (n. d. Ges. d. Staates Delaware) Hydrophile Beschichtung für Brennstoffzellen-Bipolarplatte und Verfahren zur Herstellung derselben
JP4566861B2 (ja) * 2005-08-23 2010-10-20 富士通株式会社 レジスト組成物、レジストパターンの形成方法、半導体装置及びその製造方法
NO325797B1 (no) * 2005-10-14 2008-07-21 Nor X Ind As Lysbeskyttelsesmiddel basert på organisk/uorganisk hybridpolymer, fremgangsmåte til fremstilling og anvendelse av samme
JP2007169627A (ja) * 2005-11-28 2007-07-05 Konica Minolta Medical & Graphic Inc 光硬化性組成物、活性光線硬化型インク組成物及び画像形成方法
US7550249B2 (en) * 2006-03-10 2009-06-23 Az Electronic Materials Usa Corp. Base soluble polymers for photoresist compositions
JP4788415B2 (ja) * 2006-03-15 2011-10-05 ソニー株式会社 半導体装置の製造方法
JP2009540085A (ja) * 2006-06-13 2009-11-19 ブラゴーン オサケ ユキチュア 反射防止被膜用のカルボシラン重合体組成物
US7704670B2 (en) 2006-06-22 2010-04-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
US7759046B2 (en) * 2006-12-20 2010-07-20 Az Electronic Materials Usa Corp. Antireflective coating compositions
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
JP2010519398A (ja) 2007-02-27 2010-06-03 エイゼット・エレクトロニック・マテリアルズ・ユーエスエイ・コーポレイション ケイ素に基づく反射防止膜用組成物
CN101641767B (zh) * 2007-03-16 2013-10-30 富士通株式会社 硅系绝缘膜的蚀刻后处理剂、半导体装置的制造方法以及半导体装置
US20090081579A1 (en) * 2007-09-24 2009-03-26 International Business Machines Corporation Functionalized carbosilane polymers and photoresist compositions containing the same
US8765899B2 (en) * 2007-11-06 2014-07-01 Braggone Oy Carbosilane polymer compositions for anti-reflective coatings
EP2247665A2 (en) * 2008-02-25 2010-11-10 Honeywell International Inc. Processable inorganic and organic polymer formulations, methods of production and uses thereof
US7955782B2 (en) * 2008-09-22 2011-06-07 Honeywell International Inc. Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
JP2010240868A (ja) * 2009-04-01 2010-10-28 Canon Inc インクジェット記録ヘッド及びその製造方法
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8852848B2 (en) * 2010-07-28 2014-10-07 Z Electronic Materials USA Corp. Composition for coating over a photoresist pattern
JP5387534B2 (ja) * 2010-09-08 2014-01-15 信越化学工業株式会社 コーティング用組成物
US8507191B2 (en) 2011-01-07 2013-08-13 Micron Technology, Inc. Methods of forming a patterned, silicon-enriched developable antireflective material and semiconductor device structures including the same
US9176259B2 (en) * 2011-03-04 2015-11-03 Intermolecular, Inc. Sol-gel based antireflective (AR) coatings with controllable pore size using organic nanocrystals and dendrimers
CN103649213B (zh) * 2011-05-27 2016-02-03 日产化学工业株式会社 树脂组合物
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
CN103197514A (zh) * 2012-01-09 2013-07-10 上海微电子装备有限公司 有效减少孔显影缺陷的显影方法
TWI496800B (zh) * 2012-02-10 2015-08-21 Univ Texas 用於奈米微影術之含聚乳交酯/矽的嵌段共聚物
JP5327345B2 (ja) * 2012-02-23 2013-10-30 東レ株式会社 ネガ型感光性樹脂組成物、硬化膜、およびタッチパネル用部材。
KR20140075500A (ko) * 2012-12-11 2014-06-19 삼성정밀화학 주식회사 산화 안정성이 개선된 금속 나노입자 및 그 제조방법
KR20140075501A (ko) * 2012-12-11 2014-06-19 삼성정밀화학 주식회사 금속 나노입자의 제조 방법
US9348228B2 (en) * 2013-01-03 2016-05-24 Globalfoundries Inc. Acid-strippable silicon-containing antireflective coating
JP6357231B2 (ja) * 2013-11-08 2018-07-11 東京エレクトロン株式会社 化学的研磨平坦化の方法
CN104752192B (zh) * 2013-12-31 2017-11-14 中芯国际集成电路制造(上海)有限公司 一种在半导体衬底表面制作斜面的方法
JP6782532B2 (ja) * 2014-07-23 2020-11-11 日本乳化剤株式会社 イオン結合性塩、イオン結合性塩組成物およびこれを含む樹脂組成物
JP6196194B2 (ja) 2014-08-19 2017-09-13 信越化学工業株式会社 紫外線吸収剤、レジスト下層膜形成用組成物、及びパターン形成方法
SG11201704062XA (en) * 2014-12-01 2017-06-29 Honeywell Int Inc Carbosilane polymers
AU2016227411B2 (en) 2015-03-02 2019-12-19 Société des Produits Nestlé S.A. Visible light barrier for dairy packaging
DE102015004437A1 (de) * 2015-04-02 2016-10-06 BAM Bundesanstalt für Materialforschung und -prüfung Fluoreszierendes Siloxanelastomer, Verfahren zu dessen Herstellung und die Verwendung
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
JP7075209B2 (ja) * 2016-12-28 2022-05-25 東京応化工業株式会社 パターン形成方法及びポリシラン樹脂前駆体の製造方法
US10544330B2 (en) 2017-01-20 2020-01-28 Honeywell International Inc. Gap filling dielectric materials
CN110382186A (zh) * 2017-03-09 2019-10-25 琳得科株式会社 生片形成用剥离膜
US11947339B2 (en) 2019-10-30 2024-04-02 Honeywell International Inc. Plant-wide optimization including batch operations
KR102223942B1 (ko) 2020-04-16 2021-03-05 동양디앤에프 주식회사 3d 입체영상 또는 가상현실(vr) 시뮬레이션을 활용한 건축용 벽체 시공방법 및 그 방법에 따라 시공된 건축용 벽체
CN113969068B (zh) * 2020-07-24 2022-11-15 中国科学院宁波材料技术与工程研究所 一种碳素超黑吸光涂层的制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US5693691A (en) * 1995-08-21 1997-12-02 Brewer Science, Inc. Thermosetting anti-reflective coatings compositions
JP2001092122A (ja) 1999-09-20 2001-04-06 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用組成物及びレジストパターンの形成方法
US6268108B1 (en) * 1997-07-16 2001-07-31 Tokyo Ohka Kogyo Co., Ltd. Composition for forming antireflective coating film and method for forming resist pattern using same

Family Cites Families (758)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US106376A (en) * 1870-08-16 Improvement in harrow and cultivator
US58929A (en) * 1866-10-16 Improved keeper for bolts
US172896A (en) * 1876-02-01 Improvement in hydraulic elevators
US31987A (en) * 1861-04-09 Machinist s instrument foe determining geometrical lines
CA586038A (en) 1956-03-26 1959-10-27 General Electric Company Organopolysiloxane resins
US5270285A (en) 1965-02-28 1993-12-14 Dai Nippon Insatsu Kabushiki Kaisha Sheet for heat transference
US3547766A (en) * 1966-11-25 1970-12-15 Du Pont Laminated article
US3615272A (en) 1968-11-04 1971-10-26 Dow Corning Condensed soluble hydrogensilsesquioxane resin
US3635529A (en) 1969-06-24 1972-01-18 Walter R Nass Motor vehicle wheel assembly
US3784378A (en) 1971-10-18 1974-01-08 Du Pont Double-exposure method for producing reverse images in photopolymers
JPS5125070B2 (ko) 1972-07-11 1976-07-28
US3884702A (en) * 1972-12-14 1975-05-20 Unitika Ltd Photosensitive polyamide composition
JPS5628935B2 (ko) 1973-06-28 1981-07-04
US3929489A (en) 1973-09-14 1975-12-30 Eastman Kodak Co Lithographic plates having radiation sensitive elements developable with aqueous alcohol
US4043812A (en) 1973-11-19 1977-08-23 Xerox Corporation Electrostatographic imaging member and process using anthracene functional polymers
US3873361A (en) 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US4107133A (en) * 1974-01-14 1978-08-15 Dainippon Ink & Chemicals, Inc. Colored polyethylene molding compositions
US3925077A (en) 1974-03-01 1975-12-09 Horizons Inc Photoresist for holography and laser recording with bleachout dyes
US4191571A (en) * 1974-04-26 1980-03-04 Hitachi, Ltd. Method of pattern forming in a photosensitive composition having a reciprocity law failing property
US4018606A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Organic azo pigment sensitizers for photoconductive layers
US4018607A (en) 1974-05-03 1977-04-19 Eastman Kodak Company Crystalline organic pigment sensitizers for photoconductive layers
US4053313A (en) 1975-06-03 1977-10-11 E. I. Du Pont De Nemours And Company Process for image reproduction using multilayer photosensitive solvent processable elements
US4048146A (en) 1975-10-14 1977-09-13 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
US4052367A (en) 1975-10-14 1977-10-04 Eastman Kodak Company Radiation sensitive polymers of oxygen-substituted maleimides and elements containing same
JPS578279Y2 (ko) 1975-11-25 1982-02-17
JPS6038422B2 (ja) 1976-01-01 1985-08-31 ヘキスト アクチェンゲゼルシャフト アザメチン−金属錯化合物
JPS587001B2 (ja) 1976-06-25 1983-02-08 ジェイエスアール株式会社 耐久性のよい感圧抵抗体およびその製造方法
JPS6017214B2 (ja) 1977-01-14 1985-05-01 ジェイエスアール株式会社 可溶性メチルポリシロキサンおよびその製造法
JPS5738865Y2 (ko) 1977-02-07 1982-08-26
US4102683A (en) 1977-02-10 1978-07-25 Rca Corp. Nonreflecting photoresist process
JPS53124561U (ko) 1977-03-11 1978-10-03
US5239723A (en) 1977-03-17 1993-08-31 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5153254A (en) 1977-03-17 1992-10-06 Applied Elastomerics, Inc. Reusable lint remover
US5336708A (en) 1977-03-17 1994-08-09 Applied Elastomerics, Inc. Gelatinous elastomer articles
US5475890A (en) 1977-03-17 1995-12-19 Applied Elastomerics, Inc. Gelatinous elastomer swabs
US5334646B1 (en) 1977-03-17 1998-09-08 Applied Elastomerics Inc Thermoplastic elastomer gelatinous articles
US5508334A (en) 1977-03-17 1996-04-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions and articles
US4369284A (en) 1977-03-17 1983-01-18 Applied Elastomerics, Incorporated Thermoplastic elastomer gelatinous compositions
US4618213A (en) 1977-03-17 1986-10-21 Applied Elastomerics, Incorporated Gelatinous elastomeric optical lens, light pipe, comprising a specific block copolymer and an oil plasticizer
US5262468A (en) 1977-03-17 1993-11-16 Applied Elastomerics, Inc. Thermoplastic elastomer gelatinous compositions
US5655947A (en) 1977-03-17 1997-08-12 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US5624294A (en) 1977-03-17 1997-04-29 Applied Elastomerics, Inc. Humdinger, gel spinner
US5324222A (en) 1977-03-17 1994-06-28 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic airfoils
GB1604414A (en) 1977-07-27 1981-12-09 Raychem Ltd Silicone resin
JPS6058467B2 (ja) 1977-10-22 1985-12-20 株式会社リコー 電子写真用感光体
JPS5952678B2 (ja) 1977-12-05 1984-12-20 富士電機株式会社 電子写真感光体用クリ−ニング研磨材
JPS6017312B2 (ja) 1977-12-16 1985-05-02 ジェイエスアール株式会社 シリコ−ン樹脂組成物
JPS5950182B2 (ja) 1977-12-16 1984-12-06 ジェイエスアール株式会社 シリコ−ン樹脂の組成物
JPS583249Y2 (ja) 1978-04-20 1983-01-20 株式会社東芝 偏向装置
US4302503A (en) * 1978-05-17 1981-11-24 Libbey-Owens-Ford Company Architectural spandrel
JPS56828Y2 (ko) 1978-08-08 1981-01-10
JPS5563335U (ko) 1978-10-23 1980-04-30
JPS6017335B2 (ja) 1979-03-13 1985-05-02 ジェイエスアール株式会社 シリコ−ンブロツクポリマ−の製造法
JPS6042426Y2 (ja) 1979-03-26 1985-12-26 三洋電機株式会社 スイツチ
JPS55165942A (en) 1979-06-12 1980-12-24 Japan Synthetic Rubber Co Ltd Thermoplastic resin composition
JPS55165922A (en) 1979-06-14 1980-12-24 Daicel Chem Ind Ltd Production of thermosetting organopolysiloxane
JPS56827A (en) 1979-06-15 1981-01-07 Japan Synthetic Rubber Co Ltd Production of block copolymer
US4299938A (en) * 1979-06-19 1981-11-10 Ciba-Geigy Corporation Photopolymerizable and thermally polymerizable compositions
US4349609A (en) 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
JPS5850417B2 (ja) 1979-07-31 1983-11-10 富士通株式会社 半導体装置の製造方法
US4257826A (en) 1979-10-11 1981-03-24 Texas Instruments Incorporated Photoresist masking in manufacture of semiconductor device
JPS5952893B2 (ja) 1979-12-05 1984-12-21 松下電器産業株式会社 エポキシ樹脂組成物
JPS56118465A (en) 1980-02-25 1981-09-17 Hitachi Ltd Thin film-forming coating liquid composition and its coating method
JPS56129261U (ko) 1980-02-27 1981-10-01
JPS6116113Y2 (ko) 1980-03-21 1986-05-19
JPS56151731A (en) 1980-04-25 1981-11-24 Japan Synthetic Rubber Co Ltd Preparation of silicone resin
US4290896A (en) 1980-05-27 1981-09-22 Dow Corning Corporation Dewatering fine coal slurries using organopolysiloxanes
US4935583A (en) * 1980-05-30 1990-06-19 Kyle James C Insulated conductor with ceramic-connected elements
US4483107A (en) 1980-06-17 1984-11-20 Konishiroku Photo Industry Co., Ltd. Polishing method for electrophotographic photoconductive member
EP0046695B1 (en) 1980-08-26 1986-01-08 Japan Synthetic Rubber Co., Ltd. Ladder-like lower alkylpolysilsesquioxanes and process for their preparation
JPS6227417Y2 (ko) 1980-09-03 1987-07-14
JPS5914263B2 (ja) 1980-09-26 1984-04-03 富士通株式会社 樹脂被覆膜の形成方法
JPS5760330A (en) 1980-09-27 1982-04-12 Fujitsu Ltd Resin composition
JPS5783563A (en) 1980-11-12 1982-05-25 Fujitsu Ltd Liquid resin composition
JPS57112047U (ko) 1980-12-27 1982-07-10
US4515828A (en) 1981-01-02 1985-05-07 International Business Machines Corporation Planarization method
US4423135A (en) 1981-01-28 1983-12-27 E. I. Du Pont De Nemours & Co. Preparation of photosensitive block copolymer elements
JPS6034969Y2 (ja) 1981-01-30 1985-10-18 株式会社東芝 調理器
US4413052A (en) * 1981-02-04 1983-11-01 Ciba-Geigy Corporation Photopolymerization process employing compounds containing acryloyl group and anthryl group
JPS57131250U (ko) 1981-02-07 1982-08-16
US4419437A (en) 1981-02-11 1983-12-06 Eastman Kodak Company Image-forming compositions and elements containing ionic polyester dispersing agents
US4312970A (en) 1981-02-20 1982-01-26 Dow Corning Corporation Silazane polymers from {R'3 Si}2 NH and organochlorosilanes
JPS57141642A (en) 1981-02-26 1982-09-02 Fujitsu Ltd Formation of pattern
JPS57141642U (ko) 1981-03-02 1982-09-04
US4362809A (en) 1981-03-30 1982-12-07 Hewlett-Packard Company Multilayer photoresist process utilizing an absorbant dye
JPS57168246U (ko) 1981-04-17 1982-10-23
JPS57168247U (ko) 1981-04-17 1982-10-23
JPH0350459Y2 (ko) 1981-04-29 1991-10-28
JPS635337Y2 (ko) 1981-05-06 1988-02-13
US4348471A (en) 1981-06-15 1982-09-07 Polychrome Corporation Positive acting composition yielding pre-development high visibility image after radiation exposure comprising acid free novolak, diazo oxide and acid sensitive dyestuff
JPS582809U (ja) 1981-06-29 1983-01-10 日立電線株式会社 オ−デイオ用コ−ド
JPS5810173U (ja) 1981-07-10 1983-01-22 三洋電機株式会社 販売装置
DE3278567D1 (en) 1981-10-03 1988-07-07 Japan Synthetic Rubber Co Ltd Solvent-soluble organopolysilsesquioxanes, processes for producing the same, and compositions and semiconductor devices using the same
JPS5866335U (ja) 1981-10-30 1983-05-06 松下電器産業株式会社 赤外線焦電素子
US4783347A (en) 1981-12-01 1988-11-08 General Electric Company Method for primerless coating of plastics
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
JPS58171416A (ja) 1982-04-02 1983-10-08 Hitachi Ltd 耐熱性重合体
JPS58174480U (ja) 1982-05-19 1983-11-21 シャープ株式会社 商品パツケ−ジの構造
US5741623A (en) 1982-07-30 1998-04-21 Tdk Corporation Optical recording medium
JPS5926049U (ja) 1982-08-11 1984-02-17 デルタ工業株式会社 自動車用シ−トポケツトの構造
DE3231147A1 (de) 1982-08-21 1984-02-23 Basf Ag, 6700 Ludwigshafen Positiv arbeitendes verfahren zur herstellung von reliefbildern oder resistmustern
US6194121B1 (en) 1982-09-25 2001-02-27 Tdk Corp. Optical recording medium
JPS5958054A (ja) 1982-09-29 1984-04-03 Fujitsu Ltd シリコ−ン樹脂溶液およびその製造方法
JPH0612452B2 (ja) 1982-09-30 1994-02-16 ブリュ−ワ−・サイエンス・インコ−ポレイテッド 集積回路素子の製造方法
US4822718A (en) 1982-09-30 1989-04-18 Brewer Science, Inc. Light absorbing coating
US4434127A (en) 1982-12-09 1984-02-28 Dow Corning Corporation Heat curable polydiorganosiloxane compositions having enhanced release upon cure
JPS59109565A (ja) 1982-12-16 1984-06-25 Fujitsu Ltd コ−テイング樹脂溶液およびその製造方法
JPS59112487A (ja) 1982-12-20 1984-06-28 Fujitsu Ltd バブルメモリ装置および製法
JPS59105036U (ja) 1982-12-29 1984-07-14 いすゞ自動車株式会社 ウエストゲ−トバルブ装置
US4599320A (en) 1982-12-30 1986-07-08 Alcan International Limited Refractory lining material for electrolytic reduction cell for aluminum production and method of making the same
JPS59112834U (ja) 1983-01-14 1984-07-30 カルソニックカンセイ株式会社 熱交換器用のチユ−ブ供給装置
JPS59109565U (ja) 1983-01-14 1984-07-24 トヨタ自動車株式会社 自動車用樹脂製リヤバンパの取付構造
JPS59189126U (ja) 1983-02-15 1984-12-15 日立電線株式会社 光フアイバを用いた温度センサ
JPS59128469U (ja) 1983-02-18 1984-08-29 トヨタ自動車株式会社 ステアリングギヤボツクスの支持装置
JPS59132424U (ja) 1983-02-24 1984-09-05 三菱自動車工業株式会社 前輪駆動装置
CA1255952A (en) * 1983-03-04 1989-06-20 Akihiro Furuta Positive type photoresist composition
US4590117A (en) 1983-03-10 1986-05-20 Toray Industries, Inc. Transparent material having antireflective coating
JPS59190211U (ja) 1983-06-06 1984-12-17 オリンパス光学工業株式会社 バル−ン鉗子
JPS59190019U (ja) 1983-06-06 1984-12-17 住友電気工業株式会社 難燃、低煙同軸ケ−ブル
JPS59226346A (ja) 1983-06-07 1984-12-19 Fuotopori Ouka Kk プリント回路の製造方法
KR890003903B1 (ko) 1983-06-29 1989-10-10 가부시끼가이샤 히다찌세이사꾸쇼 패턴 형성 방법
US4430153A (en) * 1983-06-30 1984-02-07 International Business Machines Corporation Method of forming an RIE etch barrier by in situ conversion of a silicon containing alkyl polyamide/polyimide
US4617252A (en) 1983-07-01 1986-10-14 Philip A. Hunt Chemical Corporation Antireflective coatings for use in the manufacture of semi-conductor devices, methods and solutions for making such coatings, and the method for using such coatings to absorb light in ultraviolet photolithography processes
DE3324795A1 (de) 1983-07-09 1985-01-17 Merck Patent Gmbh, 6100 Darmstadt Negativ arbeitende fotoresistzusammensetzungen mit strahlungsabsorbierenden zusaetzen
JPS6076528U (ja) 1983-11-02 1985-05-29 ダイハツ工業株式会社 自動車におけるメ−タケ−ス
JPS6086017U (ja) 1983-11-15 1985-06-13 株式会社明電舎 移動用開閉装置
JPS6094412U (ja) 1983-12-02 1985-06-27 三菱マテリアル株式会社 ガンドリル
DE3344202A1 (de) 1983-12-07 1985-06-20 Merck Patent Gmbh, 6100 Darmstadt Positiv-fotoresistzusammensetzungen
JPS60101803U (ja) 1983-12-16 1985-07-11 日晴金属株式会社 パラボラアンテナ自在取付装置
GB8333901D0 (en) 1983-12-20 1984-02-01 Minnesota Mining & Mfg Radiationsensitive compositions
JPS60116132U (ja) 1984-01-10 1985-08-06 シャープ株式会社 風向変更装置
GB8401016D0 (en) 1984-01-14 1984-02-15 Hagen Perennatorwerk Organopolysiloxane compositions
JPS60131229U (ja) 1984-02-07 1985-09-03 株式会社アマダ タレットパンチプレスにおける回転金型固定装置
EP0153904B1 (de) 1984-02-10 1988-09-14 Ciba-Geigy Ag Verfahren zur Herstellung einer Schutzschicht oder einer Reliefabbildung
JPS60177029A (ja) 1984-02-21 1985-09-11 Toray Silicone Co Ltd オルガノポリシロキサン組成物の硬化方法
US4752649A (en) 1984-02-29 1988-06-21 Bowling Green State University Perester photoinitiators
US4831188A (en) 1984-02-29 1989-05-16 Bowling Green State University Perester photoinitiators
JPS60185892A (ja) 1984-03-06 1985-09-21 ジェイエスアール株式会社 紙塗被用組成物
JPS60149626U (ja) 1984-03-15 1985-10-04 藤倉ゴム工業株式会社 ギプス
JPS60152552U (ja) 1984-03-22 1985-10-11 ナイルス部品株式会社 オ−トオンリセツト回路を備えた自動車用ライト点消灯制御装置
JPS60161457U (ja) 1984-03-29 1985-10-26 日本精機株式会社 エアレス噴霧機
JPS60229945A (ja) 1984-04-28 1985-11-15 Fujitsu Ltd エポキシ樹脂系封止材料
US4702990A (en) 1984-05-14 1987-10-27 Nippon Telegraph And Telephone Corporation Photosensitive resin composition and process for forming photo-resist pattern using the same
EP0163538B1 (en) 1984-05-30 1989-11-23 Fujitsu Limited Pattern-forming material and its production and use
JPS60254035A (ja) 1984-05-30 1985-12-14 Fujitsu Ltd パタ−ン形成方法
JPS60254132A (ja) 1984-05-31 1985-12-14 Fujitsu Ltd パタ−ン形成材料
JPS60254034A (ja) 1984-05-30 1985-12-14 Fujitsu Ltd パタ−ン形成方法
JPS60195148U (ja) 1984-06-05 1985-12-26 三菱重工業株式会社 傾斜ベルト式薄板連続鋳造装置
US4767571A (en) 1984-06-27 1988-08-30 Fuji Photo Film Co., Ltd. Infrared absorbent
US4763966A (en) 1984-07-16 1988-08-16 Fuji Photo Film Co., Ltd. Infrared absorbent
US4705739A (en) 1984-07-16 1987-11-10 Minnesota Mining And Manufacturing Company Graphic arts imaging constructions using vapor-deposited colorant and metalloid layers with overlying photosensitive resist layer
US5674648A (en) * 1984-08-06 1997-10-07 Brewer Science, Inc. Anti-reflective coating
JPH0630951B2 (ja) * 1984-08-31 1994-04-27 キヤノン株式会社 被記録材
US4657965A (en) 1984-10-22 1987-04-14 Toshiba Silicone Co., Ltd. Silicone elastomer composition
US4594309A (en) 1984-10-31 1986-06-10 Allied Corporation α,β Diketone containing polymers as positive photoresist compositions
JPS61108628A (ja) 1984-11-01 1986-05-27 Fujitsu Ltd 低級アルキルポリシルセスキオキサンの製法
US4670299A (en) 1984-11-01 1987-06-02 Fujitsu Limited Preparation of lower alkyl polysilsesquioxane and formation of insulating layer of silylated polymer on electronic circuit board
JPS61224330A (ja) 1985-03-29 1986-10-06 Fujitsu Ltd パツシベ−シヨン膜の形成方法
JPS61292342A (ja) 1985-05-17 1986-12-23 Fujitsu Ltd 多層配線構造体の製法
US4587138A (en) * 1984-11-09 1986-05-06 Intel Corporation MOS rear end processing
US4620986A (en) 1984-11-09 1986-11-04 Intel Corporation MOS rear end processing
US4705729A (en) 1984-11-19 1987-11-10 Hewlett-Packard Company Method for photochemically enhancing resolution in photolithography processes
JPS6198755U (ko) 1984-12-03 1986-06-24
JPH0114596Y2 (ko) 1984-12-05 1989-04-28
IT1177373B (it) 1984-12-06 1987-08-26 Bioresearch Spa Sali della 5'-metiltio-5'-deossiadenosina con acidi solfonici a lunga catena alchilica
JPS6198764U (ko) 1984-12-06 1986-06-24
US4708925A (en) 1984-12-11 1987-11-24 Minnesota Mining And Manufacturing Company Photosolubilizable compositions containing novolac phenolic resin
JPS61127732U (ko) 1985-01-31 1986-08-11
JPS61274497A (ja) 1985-05-29 1986-12-04 Furuno Electric Co Ltd 送受波器
JPS61159765U (ko) 1985-03-22 1986-10-03
FR2579552B1 (fr) 1985-03-27 1990-06-08 Honda Motor Co Ltd Dispositif de direction des roues avant et arriere pour vehicule
JPS61221232A (ja) 1985-03-28 1986-10-01 Fujitsu Ltd シリル化ポリオルガノシルセスキオキサンの製法
JPH0414263Y2 (ko) 1985-04-09 1992-03-31
JPS61256347A (ja) 1985-05-10 1986-11-13 Hitachi Ltd アルカリ可溶性シロキサン重合体
US4745169A (en) 1985-05-10 1988-05-17 Hitachi, Ltd. Alkali-soluble siloxane polymer, silmethylene polymer, and polyorganosilsesquioxane polymer
EP0204963B1 (en) 1985-05-10 1993-01-13 Hitachi, Ltd. Use of Alkali-Soluble Polyorganosilsesquioxane Polymers in a resist for preparing electronics parts.
US4663414A (en) 1985-05-14 1987-05-05 Stauffer Chemical Company Phospho-boro-silanol interlayer dielectric films and preparation
JPS61260242A (ja) 1985-05-15 1986-11-18 Fujitsu Ltd レジストパタ−ンの形成方法
JPS61285450A (ja) 1985-06-12 1986-12-16 Tokyo Ohka Kogyo Co Ltd 感光性組成物
JPS6289907A (ja) 1985-06-19 1987-04-24 Sumitomo Bakelite Co Ltd 偏光膜一体型透明導電性フイルム
US4595599A (en) 1985-06-21 1986-06-17 Advanced Chemical Technologies Company Luminescent silane
US4609614A (en) 1985-06-24 1986-09-02 Rca Corporation Process of using absorptive layer in optical lithography with overlying photoresist layer to form relief pattern on substrate
US4674176A (en) 1985-06-24 1987-06-23 The United States Of America As Represented By The United States Department Of Energy Planarization of metal films for multilevel interconnects by pulsed laser heating
US4681795A (en) 1985-06-24 1987-07-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4814578A (en) 1985-06-24 1989-03-21 The United States Of America As Represented By The Department Of Energy Planarization of metal films for multilevel interconnects
US4816049A (en) 1985-07-12 1989-03-28 Hoya Corporation Process of surface treating laser glass
US5034189A (en) 1985-08-27 1991-07-23 The Regents Of The University Of California Fluorescent probe for rapid measurement of analyte concentration
DE3684745D1 (de) 1985-08-29 1992-05-14 Du Pont Photopolymerisierbare zusammensetzung mit dizyklopentylacrylat oder methacrylat enthaltenden acrylcopolymeren.
US4786569A (en) 1985-09-04 1988-11-22 Ciba-Geigy Corporation Adhesively bonded photostructurable polyimide film
US4923638A (en) 1985-09-30 1990-05-08 Fuji Photo Film Co., Ltd. Near infrared absorbing composition
JPS6267561U (ko) 1985-10-18 1987-04-27
US4723978A (en) 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
EP0225676B1 (en) 1985-12-09 1994-07-06 Nippon Paint Co., Ltd. Photosensitive resin base printing material
JPS6296526U (ko) 1985-12-09 1987-06-19
JPS6296942U (ko) 1985-12-10 1987-06-20
JPS62106632U (ko) 1985-12-25 1987-07-08
JP2619358B2 (ja) 1986-01-08 1997-06-11 株式会社日立製作所 感光性樹脂組成物
US4678835A (en) 1986-01-30 1987-07-07 Ppg Industries, Inc. Coating composition containing an ungelled reaction product as a curative
JPH0517335Y2 (ko) 1986-02-13 1993-05-10
JPH0812899B2 (ja) 1986-02-20 1996-02-07 富士通株式会社 高周波回路用パツケ−ジ
US4693959A (en) 1986-03-07 1987-09-15 E.I. Du Pont De Nemours And Company Adhesion promotion in photoresist lamination and processing
JPS62215944A (ja) 1986-03-18 1987-09-22 Fujitsu Ltd 感光性耐熱樹脂組成物及び絶縁層形成方法
JPH051969Y2 (ko) 1986-03-26 1993-01-19
JPH0344746Y2 (ko) 1986-04-04 1991-09-20
JPS62184452U (ko) 1986-05-09 1987-11-24
JPS62276543A (ja) 1986-05-26 1987-12-01 Japan Synthetic Rubber Co Ltd パタ−ン形成方法
JPS62284352A (ja) 1986-06-02 1987-12-10 Oki Electric Ind Co Ltd ネガ型フォトレジスト
US4676867A (en) 1986-06-06 1987-06-30 Rockwell International Corporation Planarization process for double metal MOS using spin-on glass as a sacrificial layer
JPS62299965A (ja) 1986-06-20 1987-12-26 Fujitsu Ltd ネガ形レジスト組成物
EP0255303B1 (en) 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS63139303A (ja) 1986-08-05 1988-06-11 Fuji Photo Film Co Ltd 赤外線吸収性組成物
JPH0452678Y2 (ko) 1986-08-26 1992-12-10
US4806504A (en) 1986-09-11 1989-02-21 Fairchild Semiconductor Corporation Planarization method
US4732858A (en) 1986-09-17 1988-03-22 Brewer Science, Inc. Adhesion promoting product and process for treating an integrated circuit substrate
US4950583A (en) * 1986-09-17 1990-08-21 Brewer Science Inc. Adhesion promoting product and process for treating an integrated circuit substrate therewith
US4731264A (en) 1986-10-03 1988-03-15 Ppg Industries, Inc. Sol-gel compositions containing silane and alumina
US4863827A (en) * 1986-10-20 1989-09-05 American Hoechst Corporation Postive working multi-level photoresist
US6033283A (en) 1986-10-21 2000-03-07 Applied Elastomerics, Inc. Humdinger, string spinning toy
JPS6372745U (ko) 1986-10-24 1988-05-16
US4822697A (en) 1986-12-03 1989-04-18 Dow Corning Corporation Platinum and rhodium catalysis of low temperature formation multilayer ceramics
US4898907A (en) 1986-12-03 1990-02-06 Dow Corning Corporation Compositions of platinum and rhodium catalyst in combination with hydrogen silsesquioxane resin
US4756977A (en) 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane
US4753855A (en) 1986-12-04 1988-06-28 Dow Corning Corporation Multilayer ceramic coatings from metal oxides for protection of electronic devices
US4808653A (en) 1986-12-04 1989-02-28 Dow Corning Corporation Coating composition containing hydrogen silsesquioxane resin and other metal oxide precursors
US4911992A (en) 1986-12-04 1990-03-27 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
US5008320A (en) 1986-12-04 1991-04-16 Dow Corning Corporation Platinum or rhodium catalyzed multilayer ceramic coatings from hydrogen silsesquioxane resin and metal oxides
JPH0450182Y2 (ko) 1986-12-26 1992-11-26
JPH0337965Y2 (ko) 1986-12-26 1991-08-12
JPH0819381B2 (ja) 1987-01-06 1996-02-28 日本合成ゴム株式会社 コーティング用組成物
US5328976A (en) 1987-01-09 1994-07-12 Allied-Signal Inc. Carbon-containing black glass monoliths
JPS63117074U (ko) 1987-01-23 1988-07-28
JPS63118739U (ko) 1987-01-26 1988-08-01
JPH0432217Y2 (ko) 1987-01-29 1992-08-03
JPS63207829A (ja) 1987-02-23 1988-08-29 Hitachi Chem Co Ltd 難燃性フエノ−ル樹脂積層板の製造方法
JPS63137437U (ko) 1987-03-03 1988-09-09
JPS63137972U (ko) 1987-03-03 1988-09-12
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US5389496A (en) 1987-03-06 1995-02-14 Rohm And Haas Company Processes and compositions for electroless metallization
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
JPH0340174Y2 (ko) 1987-03-11 1991-08-23
JPS63149636U (ko) 1987-03-20 1988-10-03
JPS63238133A (ja) 1987-03-26 1988-10-04 Japan Synthetic Rubber Co Ltd 耐熱性オレフイン系共重合体の製造方法
DE3810247A1 (de) 1987-03-26 1988-10-06 Toshiba Kawasaki Kk Lichtempfindliche beschichtungsmasse
JPS63152130U (ko) 1987-03-27 1988-10-06
US4782009A (en) 1987-04-03 1988-11-01 General Electric Company Method of coating and imaging photopatternable silicone polyamic acid
US4855199A (en) 1987-04-03 1989-08-08 General Electric Company Photopatterned product of silicone polyamic acid on a transparent substrate
JPH048048Y2 (ko) 1987-04-30 1992-03-02
JPS63173382U (ko) 1987-05-01 1988-11-10
JPS63287823A (ja) 1987-05-20 1988-11-24 Sanyo Electric Co Ltd 液晶表示器
JPH0819285B2 (ja) 1987-05-21 1996-02-28 日本合成ゴム株式会社 熱可塑性エラストマ−組成物
JPS63298334A (ja) 1987-05-29 1988-12-06 Matsushita Electric Ind Co Ltd 下地反射防止材料
JPS63199251U (ko) 1987-06-05 1988-12-22
DE3719844A1 (de) 1987-06-13 1988-12-29 Basf Ag Durch photopolymersisation vernetzbares gemisch
JPS63312643A (ja) 1987-06-16 1988-12-21 Mitsubishi Electric Corp 半導体装置の製造方法
US4839274A (en) 1987-06-30 1989-06-13 Eastman Kodak Company Novel polymethine dyes and UV absorbers containing a triarylborylisocyano group and imaging compositions containing these dyes
EP0301641A1 (en) 1987-07-23 1989-02-01 Koninklijke Philips Electronics N.V. Master disc and method of manufacturing a matrix
JPS6428032U (ko) 1987-08-08 1989-02-17
US4973510A (en) 1987-09-02 1990-11-27 Teijin Limited Coated sheet material and process for producing same
US5024923A (en) 1987-09-09 1991-06-18 Fuji Photo Film Co., Ltd. Infrared absorbent compositions
DE3735852A1 (de) 1987-10-23 1989-05-03 Hoechst Ag Positiv arbeitendes lichtempfindliches gemisch, enthaltend einen farbstoff, und daraus hergestelltes positiv arbeitendes lichtempfindliches aufzeichnungsmaterial
EP0342233B1 (en) 1987-10-24 1994-03-30 Ito Optical Industrial Co., Ltd. Processing solution for preventing reflection of optical parts and process for preventing reflection using the solution
US4925772A (en) 1987-11-26 1990-05-15 Siemens Aktiengesellschaft Anti-radiation covering for electronic components
GB8729510D0 (en) 1987-12-18 1988-02-03 Ucb Sa Photosensitive compositions containing phenolic resins & diazoquinone compounds
JPH0830947B2 (ja) 1987-12-18 1996-03-27 富士通株式会社 スクロール到達表示制御方式
US5272026A (en) 1987-12-18 1993-12-21 Ucb S.A. Negative image process utilizing photosensitive compositions containing aromatic fused polycyclic sulfonic acid and partial ester or phenolic resin with diazoquinone sulfonic acid or diazoquinone carboxylic acid, and associated imaged article
US4849296A (en) 1987-12-28 1989-07-18 Dow Corning Corporation Multilayer ceramic coatings from metal oxides and hydrogen silsesquioxane resin ceramified in ammonia
US4847162A (en) 1987-12-28 1989-07-11 Dow Corning Corporation Multilayer ceramics coatings from the ceramification of hydrogen silsequioxane resin in the presence of ammonia
JPH01185367A (ja) 1988-01-18 1989-07-24 Toshiba Silicone Co Ltd 表面処理されたポリメチルシルセスキオキサン粉末の製造方法
US4926383A (en) 1988-02-02 1990-05-15 National Semiconductor Corporation BiCMOS write-recovery circuit
US6040251A (en) 1988-03-14 2000-03-21 Nextec Applications Inc. Garments of barrier webs
US5194364A (en) 1988-03-16 1993-03-16 Fujitsu Limited Process for formation of resist patterns
JPH01261370A (ja) 1988-04-12 1989-10-18 Elna Co Ltd N−置換−4,4′−ビピリジル・7,7,8,8−テトラサクエンルイセイゾウホウホウシアノキノジメタン錯塩類およびその製造方法
US5391463A (en) 1988-04-14 1995-02-21 The United States Of America As Represented By The Secretary Of The Navy Surface modification to create regions resistant to adsorption of biomolecules
JP2603291B2 (ja) 1988-04-19 1997-04-23 東芝シリコーン株式会社 第4級アンモニウム基含有シリコーン樹脂微粉末
US4942083A (en) 1988-05-16 1990-07-17 Smith Novis W Jr Abrasion resistant coatings
EP0345219B1 (de) 1988-05-31 1994-02-02 Ciba-Geigy Ag Wässrige Dispersion von 2-(2'-Hydroxyphenyl-)benzotriazolen
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
JPH0716212Y2 (ja) 1988-08-04 1995-04-12 富士通株式会社 紙葉類搬送キャリア
US4943511A (en) * 1988-08-05 1990-07-24 Morton Thiokol, Inc. High sensitivity mid and deep UV resist
JPH0517312Y2 (ko) 1988-08-11 1993-05-10
JPH02145511A (ja) 1988-08-22 1990-06-05 A Baron Nevley 紫外線吸収眼用軟化剤
US5403680A (en) * 1988-08-30 1995-04-04 Osaka Gas Company, Ltd. Photolithographic and electron beam lithographic fabrication of micron and submicron three-dimensional arrays of electronically conductive polymers
US5173368A (en) 1988-09-14 1992-12-22 Pilkington Visioncare Holdings, Inc. Solution-applied antireflective coatings
US4954414A (en) 1988-11-08 1990-09-04 The Mead Corporation Photosensitive composition containing a transition metal coordination complex cation and a borate anion and photosensitive materials employing the same
JPH0734069Y2 (ja) 1988-11-14 1995-08-02 東芝エレベータテクノス株式会社 エレベータにおけるかご又はつり合おもりの吊り装置
US5199979A (en) 1988-11-25 1993-04-06 Ppg Industries, Inc. UV resistant, abrasion resistant coatings
US4981530A (en) 1988-11-28 1991-01-01 International Business Machines Corporation Planarizing ladder-type silsesquioxane polymer insulation layer
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US5026624A (en) 1989-03-03 1991-06-25 International Business Machines Corporation Composition for photo imaging
US6180317B1 (en) 1988-12-30 2001-01-30 International Business Machines Corporation Composition for photoimaging
US5300402A (en) 1988-12-30 1994-04-05 International Business Machines Corporation Composition for photo imaging
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5747223A (en) 1988-12-30 1998-05-05 International Business Machines Corporation Composition for photoimaging
US4940651A (en) 1988-12-30 1990-07-10 International Business Machines Corporation Method for patterning cationic curable photoresist
JPH0517214Y2 (ko) 1989-01-20 1993-05-10
US6210862B1 (en) 1989-03-03 2001-04-03 International Business Machines Corporation Composition for photoimaging
US5278010A (en) 1989-03-03 1994-01-11 International Business Machines Corporation Composition for photo imaging
US4885262A (en) 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
DE69027799T2 (de) 1989-03-14 1997-01-23 Ibm Chemisch amplifizierter Photolack
US5302455A (en) 1989-05-16 1994-04-12 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5009809A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5250224A (en) 1989-05-16 1993-10-05 J. M. Huber Corporation Foamed products containing endothermic blowing agents and processes
US5009810A (en) 1989-05-16 1991-04-23 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5106534A (en) 1989-05-16 1992-04-21 J. M. Huber Corporation Endothermic blowing agents compositions and applications
US5317044A (en) 1989-05-16 1994-05-31 J. M. Huber Corporation Endothermic blowing agents for surface migration of components in foamed products, compositions and applications
US5252618A (en) 1989-05-16 1993-10-12 J. M. Huber Corporation Endothermic blowing agents for strengthening weld lines in molded thermoplastic resins and products
US5137655A (en) 1989-05-16 1992-08-11 J. M. Huber Corporation High temperature endothermic blowing agents compositions and applications
US5128232A (en) 1989-05-22 1992-07-07 Shiply Company Inc. Photoresist composition with copolymer binder having a major proportion of phenolic units and a minor proportion of non-aromatic cyclic alcoholic units
US5013608A (en) 1989-07-07 1991-05-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5102695A (en) 1989-07-07 1992-04-07 Swedlow, Inc. Highly tintable abrasion resistant coatings
US5045592A (en) 1989-07-28 1991-09-03 Dow Corning Corporation Metastable silane hydrolyzates
US4999397A (en) 1989-07-28 1991-03-12 Dow Corning Corporation Metastable silane hydrolyzates and process for their preparation
US5112728A (en) 1989-10-05 1992-05-12 Konica Corporation Silver halide photographic light-sensitive material
US5059512A (en) 1989-10-10 1991-10-22 International Business Machines Corporation Ultraviolet light sensitive photoinitiator compositions, use thereof and radiation sensitive compositions
US5212046A (en) 1989-10-17 1993-05-18 Shipley Company Inc. Near UV photoresist
DE69032077T2 (de) 1989-10-17 1998-12-03 Shipley Co Fotoresist für nahes U.V.
CA2027031A1 (en) 1989-10-18 1991-04-19 Loren A. Haluska Hermetic substrate coatings in an inert gas atmosphere
US5252340A (en) 1989-12-14 1993-10-12 Isolyser Company, Inc. Method of producing an absorbent composition
US4973526A (en) 1990-02-15 1990-11-27 Dow Corning Corporation Method of forming ceramic coatings and resulting articles
US5043789A (en) 1990-03-15 1991-08-27 International Business Machines Corporation Planarizing silsesquioxane copolymer coating
EP0449263B1 (en) 1990-03-28 1996-06-12 Japan Synthetic Rubber Co., Ltd. Polysiloxane-composite polymer particles
US5104692A (en) 1990-04-20 1992-04-14 Pilkington Visioncare Holdings, Inc. Two-layer antireflective coating applied in solution
US5055372A (en) 1990-04-23 1991-10-08 The Mead Corporation Photohardenable composition containing borate salts and ketone initiators
US6333374B1 (en) 1990-05-21 2001-12-25 Applied Elastomerics, Inc. Fluffy, strong, solid elastic gels, articles and method of making same
US5884639A (en) 1996-03-08 1999-03-23 Applied Elastomerics, Inc. Crystal gels with improved properties
US6117176A (en) 1993-11-15 2000-09-12 Applied Elastomerics, Inc. Elastic-crystal gel
US5760117A (en) 1990-05-21 1998-06-02 Applied Elastomerics, Inc. Gelatinous composition and articles
US6050871A (en) 1994-04-19 2000-04-18 Applied Elastomerics, Inc. Crystal gel airfoils with improved tear resistance and gel airfoils with profiles capable of exhibiting time delay recovery from deformation
US5938499A (en) 1993-11-15 1999-08-17 Applied Elastomerics, Inc. Elastic gel toy
US5868597A (en) 1990-05-21 1999-02-09 Applied Elastomerics, Inc. Ultra-soft, ultra-elastic gel airfoils
US6552109B1 (en) 1994-04-19 2003-04-22 Applied Elastomerics, Inc. Gelatinous elastomer compositions and articles
US5962572A (en) 1994-04-19 1999-10-05 Applied Elastomerics, Inc. Oriented gel and oriented gel articles
US6148830A (en) 1994-04-19 2000-11-21 Applied Elastomerics, Inc. Tear resistant, multiblock copolymer gels and articles
DE69101338T2 (de) 1990-05-25 1994-09-01 Matsushita Electric Ind Co Ltd Lichtempfindliche Materialien, die organische photoleitfähige Substanzen enthalten in einem polymeren Bindemittel, das aromatische Ringe umfasst, die mit OH-Gruppen und Bromatomen verbunden sind.
US5262201A (en) 1990-06-04 1993-11-16 Dow Corning Corporation Low temperature process for converting silica precursor coatings to ceramic silica coatings by exposure to ammonium hydroxide or an environment to which water vapor and ammonia vapor have been added
US5059448A (en) 1990-06-18 1991-10-22 Dow Corning Corporation Rapid thermal process for obtaining silica coatings
US5126289A (en) * 1990-07-20 1992-06-30 At&T Bell Laboratories Semiconductor lithography methods using an arc of organic material
JP2517785B2 (ja) 1990-08-02 1996-07-24 信越化学工業株式会社 含浸性防水剤組成物
JPH083893Y2 (ja) 1990-08-31 1996-01-31 株式会社トキメック フロン回収装置
US5082758A (en) * 1990-08-31 1992-01-21 Xerox Corporation Toner and developer compositions with charge enhancing additives
JP2999603B2 (ja) * 1990-09-14 2000-01-17 ヒュンダイ エレクトロニクス アメリカ スピンオングラス組成物、ハードマスクおよびハードマスク製造法
US5152834A (en) * 1990-09-14 1992-10-06 Ncr Corporation Spin-on glass composition
US5472488A (en) 1990-09-14 1995-12-05 Hyundai Electronics America Coating solution for forming glassy layers
US5100503A (en) 1990-09-14 1992-03-31 Ncr Corporation Silica-based anti-reflective planarizing layer
US5527872A (en) 1990-09-14 1996-06-18 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5302198A (en) 1990-09-14 1994-04-12 Ncr Corporation Coating solution for forming glassy layers
US5140396A (en) 1990-10-10 1992-08-18 Polaroid Corporation Filter and solid state imager incorporating this filter
US5059500A (en) 1990-10-10 1991-10-22 Polaroid Corporation Process for forming a color filter
KR950002949B1 (ko) 1990-10-16 1995-03-28 미쓰이세끼유 가가꾸고오교오 가부시끼가이샤 고광선 투과성 방진막, 그 제조방법 및 방진체
US5055376A (en) 1990-11-13 1991-10-08 Eastman Kodak Company Curable compositions containing onium salt photoinitiators which have a chromophore linked to the onium salt moiety through the 3-position and method of use
JP2712817B2 (ja) 1990-11-15 1998-02-16 信越化学工業株式会社 ポリオルガノシロキサン樹脂の製造方法
US5063267A (en) 1990-11-28 1991-11-05 Dow Corning Corporation Hydrogen silsesquioxane resin fractions and their use as coating materials
ATE127870T1 (de) 1990-12-13 1995-09-15 Ciba Geigy Ag Wässrige dispersion schwerlöslicher uv-absorber.
US5662109A (en) 1990-12-14 1997-09-02 Hutson; William H. Method and system for multi-dimensional imaging and analysis for early detection of diseased tissue
US5256510A (en) 1990-12-21 1993-10-26 Eastman Kodak Company Photoelectrographic imaging with near-infrared sensitizing dyes
US5219788A (en) 1991-02-25 1993-06-15 Ibm Corporation Bilayer metallization cap for photolithography
US5520855A (en) 1991-03-20 1996-05-28 Kabushiki Kaisha Toshiba Coating solution composition for forming glass gel thin film, color glass gel filter, and display device using the same
JPH0728511Y2 (ja) 1991-03-20 1995-06-28 新日本空調株式会社 可搬式クリーンブースにおける曲面多孔板吹出構造
JPH05202483A (ja) 1991-04-25 1993-08-10 Shipley Co Inc 無電解金属化方法と組成物
US5648201A (en) 1991-04-25 1997-07-15 The United Sates Of America As Represented By The Secretary Of The Navy Efficient chemistry for selective modification and metallization of substrates
US5166093A (en) 1991-07-31 1992-11-24 Micron Technology, Inc. Method to reduce the reflectivity of a semi-conductor metallic surface
JPH0717629Y2 (ja) 1991-08-20 1995-04-26 株式会社貴商 容器用台車
DE4132697A1 (de) 1991-10-01 1993-04-08 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysiloxanharz
US5418136A (en) 1991-10-01 1995-05-23 Biostar, Inc. Devices for detection of an analyte based upon light interference
JPH0597478A (ja) 1991-10-04 1993-04-20 Nippon Sheet Glass Co Ltd 撥水性ガラス物品およびその製造方法
US5212218A (en) 1991-10-15 1993-05-18 A. B. Chance Company Hydrophobic, erodiable synthetic resin composition for electrical insulators
US6472128B2 (en) * 1996-04-30 2002-10-29 Shipley Company, L.L.C. Antihalation compositions
US6165697A (en) * 1991-11-15 2000-12-26 Shipley Company, L.L.C. Antihalation compositions
US6773864B1 (en) * 1991-11-15 2004-08-10 Shipley Company, L.L.C. Antihalation compositions
JP3154007B2 (ja) 1991-11-15 2001-04-09 神奈川県 色識別装置
US6528235B2 (en) * 1991-11-15 2003-03-04 Shipley Company, L.L.C. Antihalation compositions
US5518818A (en) 1992-02-05 1996-05-21 Toray Industries, Inc. Primer and multilayer coated article
JP2694097B2 (ja) 1992-03-03 1997-12-24 インターナショナル・ビジネス・マシーンズ・コーポレイション 反射防止コーティング組成物
US5457081A (en) 1992-05-15 1995-10-10 Dai Nippon Printing Co., Ltd. Thermal transfer image receiving sheet
JP2753921B2 (ja) 1992-06-04 1998-05-20 富士写真フイルム株式会社 ポジ型フオトレジスト組成物
JP3252446B2 (ja) 1992-06-25 2002-02-04 大同特殊鋼株式会社 熱間静水圧加圧成形用のカプセルおよび熱間静水圧加圧成形方法
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JPH0656560A (ja) 1992-08-10 1994-03-01 Sony Corp Sog組成物及びそれを用いた半導体装置の製造方法
US5385804A (en) 1992-08-20 1995-01-31 International Business Machines Corporation Silicon containing negative resist for DUV, I-line or E-beam lithography comprising an aromatic azide side group in the polysilsesquioxane polymer
US6867253B1 (en) 1994-04-19 2005-03-15 Applied Elastomerics, Inc. Tear resistant, crystalline midblock copolymer gels and articles
US6324703B1 (en) 1994-04-19 2001-12-04 Applied Elastomerics, Inc. Strong, soft, tear resistant insulating compositions and composites for extreme cold weather use
US6420475B1 (en) 1994-04-19 2002-07-16 Applied Elastomerics, Inc. Tear resistant elastic crystal gels gel composites and their uses
US6794440B2 (en) 1994-04-19 2004-09-21 Applied Elastomerics, Inc. Tear resistant gelatinous elastomer compositions and articles for use as fishing bait
US6909220B2 (en) 1994-04-19 2005-06-21 Applied Elastomerics, Inc. High strain tear resistant gels and gel composites for use as artificial muscle actuators
US6627275B1 (en) 1994-04-19 2003-09-30 Applied Elastomerics, Incorporated Tear resistant elastic crystal gels suitable for inflatable restraint cushions and other uses
JPH06333803A (ja) 1992-09-18 1994-12-02 Sharp Corp 投影型露光装置用フィルター
DE4394591T1 (de) 1992-09-24 1994-10-20 Kansai Paint Co Ltd Decküberzugszusammensetzung und Filmbildungsverfahren unter dessen Verwendung
CA2107715A1 (en) 1992-10-06 1994-04-07 Hiroyuki Naito Solvent-free organosiloxane composition and its use
US5873931A (en) 1992-10-06 1999-02-23 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
JPH06140396A (ja) 1992-10-23 1994-05-20 Yamaha Corp 半導体装置とその製法
US5384357A (en) 1992-11-02 1995-01-24 General Electric Company Infrared radiation curable organopolysiloxane compositions
US5719249A (en) 1993-11-29 1998-02-17 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Reactive silicon group-containing polyoxyalkylene-polysiloxane copolymer
US5395734A (en) 1992-11-30 1995-03-07 Minnesota Mining And Manufacturing Company Shoot and run printing materials
DE4241727A1 (de) 1992-12-10 1994-06-16 Wacker Chemie Gmbh In Wasser selbstdispergierende, Organopolysiloxan anhaltende Zusammensetzungen
JP3059016B2 (ja) 1992-12-25 2000-07-04 富士写真フイルム株式会社 画像読取方法
US5449712A (en) 1993-01-13 1995-09-12 Thoro System Products, Inc. Organosilicon emulsions for rendering porous substrates water repellent
US5410150A (en) 1993-01-21 1995-04-25 A. J. Leisure Group Ltd. Fiber optic controller with an interface having an emitting diode and a photodetector
US5414069A (en) 1993-02-01 1995-05-09 Polaroid Corporation Electroluminescent polymers, processes for their use, and electroluminescent devices containing these polymers
US5302849A (en) * 1993-03-01 1994-04-12 Motorola, Inc. Plastic and grid array semiconductor device and method for making the same
US5512418A (en) 1993-03-10 1996-04-30 E. I. Du Pont De Nemours And Company Infra-red sensitive aqueous wash-off photoimaging element
US5328975A (en) 1993-04-02 1994-07-12 Ppg Industries, Inc. Ultraviolet radiation absorbing coating
JP3007766B2 (ja) 1993-04-19 2000-02-07 松下精工株式会社 斜流ファン
JP3152544B2 (ja) 1993-06-24 2001-04-03 シャープ株式会社 スキャナ
FR2704766B1 (fr) 1993-05-06 1995-07-28 Salomon Sa Dispositif interface entre un ski et des éléments de fixation.
JP3045628B2 (ja) 1993-05-31 2000-05-29 キヤノン株式会社 液晶表示素子の製造方法
US5498748A (en) 1993-07-20 1996-03-12 Wako Pure Chemical Industries, Ltd. Anthracene derivatives
US5576359A (en) 1993-07-20 1996-11-19 Wako Pure Chemical Industries, Ltd. Deep ultraviolet absorbent composition
JP3200257B2 (ja) 1993-09-13 2001-08-20 キヤノン株式会社 画像復号装置
DE4331162A1 (de) 1993-09-14 1995-03-16 Bayer Ag Verfahren zur Herstellung von Cyaninfarbstoffen
US5382615A (en) 1993-10-01 1995-01-17 Eastman Chemical Company Modified polyethylene based hot-melt adhesives for use in packaging
US5467626A (en) 1993-10-01 1995-11-21 The Boeing Company Integral forming die system for superplastic metal forming
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
DE4338360A1 (de) 1993-11-10 1995-05-11 Inst Neue Mat Gemein Gmbh Verfahren zur Herstellung von funktionellen glasartigen Schichten
JP3197135B2 (ja) 1994-02-02 2001-08-13 ポップリベット・ファスナー株式会社 スタッド固定具
EP0701121A4 (en) 1994-03-11 1997-09-03 Kawasaki Steel Co ASSESSMENT PROCESS FOR PRODUCING INSULATION COATING USED Siloxanes COATING LIQUID USED FOR THE PRODUCTION OF INSULATION COATING, PROCESS FOR PRODUCING THE LIQUID, METHOD FOR PRODUCING THE ISOLATION COATING FOR SEMICONDUCTOR ELEMENTS AND METHOD FOR PRODUCING SEMICONDUCTOR DEVICES BY THE APPLICATION OF THE ABOVE PROCEDURES
US5460911A (en) * 1994-03-14 1995-10-24 Xerox Corporation Electrophotographic imaging member free of reflection interference
JP3026716B2 (ja) 1994-03-16 2000-03-27 株式会社日立製作所 立体型表示装置
EP0675410B1 (en) 1994-03-28 1999-08-04 Wako Pure Chemical Industries Ltd Resist composition for deep ultraviolet light
US6161555A (en) 1994-04-19 2000-12-19 Applied Elastomerics, Inc. Crystal gels useful as dental floss with improved high tear, high tensile, and resistance to high stress rupture properties
JP2791397B2 (ja) 1994-05-19 1998-08-27 東亞合成株式会社 新規な有機ハロシラン化合物
JP3301215B2 (ja) 1994-05-31 2002-07-15 ソニー株式会社 ハーフトーン型位相シフトマスク、ハーフトーン型位相シフトマスクの作製に用いる半透明部形成材料、及びハーフトーン型位相シフトマスクの作製方法
US5759625A (en) * 1994-06-03 1998-06-02 E. I. Du Pont De Nemours And Company Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
US5494858A (en) 1994-06-07 1996-02-27 Texas Instruments Incorporated Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications
US5468591A (en) 1994-06-14 1995-11-21 Eastman Kodak Company Barrier layer for laser ablative imaging
JP3209476B2 (ja) 1994-06-17 2001-09-17 フジコピアン株式会社 ファブリックインクリボン
EP0766733A4 (en) 1994-06-24 1998-06-10 Boehringer Mannheim Corp GET A CLEAN MIX OF COLLAGENASE AND TWO OTHER PROTEASES FROM CLOSTRIDIUM HISTOLYTICUM
US5705116A (en) 1994-06-27 1998-01-06 Sitzmann; Eugene Valentine Increasing the useful range of cationic photoinitiators in stereolithography
US20020034630A1 (en) 1994-06-27 2002-03-21 Jean-Paul Cano Ophthalmic lens made of organic glass with a shockproof intermediate layer, and method for making same
FR2721720B1 (fr) 1994-06-27 1996-09-06 Essilor Int Lentille ophtalmique en verre organique à intercouche anti-chocs et son procédé de fabrication.
WO1996000758A1 (fr) 1994-06-30 1996-01-11 Hitachi Chemical Company, Ltd. Matiere pour la formation d'une couche mince isolante revetue a base de silice, son procede de fabrication, couche isolante a base de silice, dispositif a semi-conducteurs, et procede de fabrication de ce dispositif
US5910021A (en) 1994-07-04 1999-06-08 Yamaha Corporation Manufacture of semiconductor device with fine pattens
US5729563A (en) 1994-07-07 1998-03-17 Hewlett-Packard Company Method and apparatus for optically and thermally isolating surface emitting laser diodes
US5976666A (en) 1994-08-29 1999-11-02 Sri International Electromagnetic radiation absorbing devices and associated methods of manufacture and use
US5498468A (en) 1994-09-23 1996-03-12 Kimberly-Clark Corporation Fabrics composed of ribbon-like fibrous material and method to make the same
US5527562A (en) 1994-10-21 1996-06-18 Aluminum Company Of America Siloxane coatings for aluminum reflectors
US5449639A (en) 1994-10-24 1995-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Disposable metal anti-reflection coating process used together with metal dry/wet etch
KR0129950B1 (ko) 1994-11-30 1998-04-03 김광호 반사방지막 조성물
FR2729307B1 (fr) 1995-01-18 1997-04-18 Seppic Sa Utilisation d'esters d'acides gras ethoxyles comme composants auto-emulsionnables notamment utiles pour la preparation de produits de traitement phytosanitaires ou de medicaments a usage veterinaire ou humain
US5679128A (en) 1995-01-31 1997-10-21 Latting; John Alvis Dry-bonded nonionic adjuvants
US5964917A (en) 1995-01-31 1999-10-12 Latting; John Alvis Free-flowing fertilizer compositions
JP3014456U (ja) 1995-02-07 1995-08-08 朋雄 安嶋 剥離して支払伝票にできる預金証書
EP0727711A3 (en) 1995-02-17 1997-04-09 Ocg Microelectronic Materials Photoresist compositions containing supercritical fluid fractionated polymeric resin binders
JP3436435B2 (ja) 1995-02-22 2003-08-11 東レ・ダウコーニング・シリコーン株式会社 紫外線硬化型シリコーン組成物の硬化方法
JPH08240450A (ja) 1995-03-03 1996-09-17 Japan Aviation Electron Ind Ltd 行動パターン取得装置及び運動量解析装置
US5580819A (en) 1995-03-22 1996-12-03 Ppg Industries, Inc. Coating composition, process for producing antireflective coatings, and coated articles
US5935758A (en) 1995-04-20 1999-08-10 Imation Corp. Laser induced film transfer system
GB9617416D0 (en) 1996-08-20 1996-10-02 Minnesota Mining & Mfg Thermal bleaching of infrared dyes
GB9508031D0 (en) 1995-04-20 1995-06-07 Minnesota Mining & Mfg UV-absorbing media bleachable by IR-radiation
US5945249A (en) 1995-04-20 1999-08-31 Imation Corp. Laser absorbable photobleachable compositions
US6103779A (en) 1995-04-26 2000-08-15 Reinforced Polmers, Inc. Method of preparing molding compositions with fiber reinforcement and products obtained therefrom
US5747553A (en) 1995-04-26 1998-05-05 Reinforced Polymer Inc. Low pressure acrylic molding composition with fiber reinforcement
US6150250A (en) 1995-07-05 2000-11-21 Yamaha Corporation Conductive layer forming method using etching mask with direction <200>
JP3512911B2 (ja) 1995-07-11 2004-03-31 富士写真フイルム株式会社 紫外線吸収剤前駆体化合物、それを含有する感光性樹脂組成物及び画像形成方法
TW404974B (en) 1995-07-19 2000-09-11 Kansai Paint Co Ltd Solidifiable coating composite
JP3824334B2 (ja) 1995-08-07 2006-09-20 東京応化工業株式会社 シリカ系被膜形成用塗布液及び被膜形成方法
US5583195A (en) 1995-09-29 1996-12-10 General Electric Company Photocurable epoxy silicones functionalized with fluorescent or photosensitizing marker dyes
JPH09120157A (ja) 1995-10-25 1997-05-06 Fuji Photo Film Co Ltd 湿し水不要感光性平版印刷版
US5663286A (en) 1995-11-09 1997-09-02 H.B. Fuller Licensing And Financing, Inc. Nonwoven web comprising water soluble polyamides and articles constructed therefrom
US5672243A (en) 1995-11-28 1997-09-30 Mosel Vitelic, Inc. Antireflection coating for highly reflective photolithographic layers comprising chromium oxide or chromium suboxide
TW376408B (en) 1995-12-01 1999-12-11 Nissan Chemical Ind Ltd Coating film having water repellency and low refractive index
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5629437A (en) 1996-01-30 1997-05-13 Huls America Inc. Preparation and use of alkyleneoxysilane compositions
US5949518A (en) 1996-02-13 1999-09-07 Sola International, Inc. Color-neutral UV blocking coating for plastic lens
US5756257A (en) 1996-02-14 1998-05-26 Imation Corp. Color proofing article incorporating novel antihalation dye
JP3436843B2 (ja) 1996-04-25 2003-08-18 東京応化工業株式会社 リソグラフィー用下地材及びそれを用いたリソグラフィー用レジスト材料
US6291586B2 (en) 1996-05-03 2001-09-18 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyurethanes and polyureas
US5994431A (en) 1996-05-03 1999-11-30 3M Innovative Properties Company Amide functional ultraviolet light absorbers for polyolefins
JP3031325U (ja) 1996-05-16 1996-11-22 河口湖精密株式会社 初心運転者標識
JPH09306954A (ja) 1996-05-20 1997-11-28 Hitachi Ltd 半導体装置及びその実装方法並びに実装構造体
TW354392B (en) 1996-07-03 1999-03-11 Du Pont Photomask blanks
TW515926B (en) 1996-07-10 2003-01-01 Matsushita Electric Ind Co Ltd Liquid crystal alignment film and method for producing the same, and liquid crystal display apparatus using the same and method for producing the same
JP3222386B2 (ja) 1996-07-12 2001-10-29 信越化学工業株式会社 コーティング剤組成物及びそのコーティング組成物で処理してなる物品
US6040053A (en) * 1996-07-19 2000-03-21 Minnesota Mining And Manufacturing Company Coating composition having anti-reflective and anti-fogging properties
JPH1060280A (ja) 1996-08-14 1998-03-03 Japan Synthetic Rubber Co Ltd 水系分散体
US6072018A (en) 1996-09-30 2000-06-06 Virginia Tech Intellectual Properties, Inc. High abrasion resistant coating material
EP0917550A4 (en) 1996-10-25 1999-12-29 Blue River International L L C COMPOSITIONS FOR SILICON COATINGS AND USES THEREOF
TW438860B (en) 1996-11-20 2001-06-07 Japan Synthetic Rubber Co Ltd Curable resin composition and cured products
JPH10161315A (ja) 1996-12-05 1998-06-19 Nippon Steel Chem Co Ltd アルカリ可溶性感光性樹脂組成物
US5695551A (en) 1996-12-09 1997-12-09 Dow Corning Corporation Water repellent composition
JP3207774B2 (ja) 1996-12-11 2001-09-10 双福鋼器株式会社 入出庫指示装置
CN1070903C (zh) 1996-12-13 2001-09-12 松下电工株式会社 硅氧烷乳液涂料组合物及其制备方法
DE69707635T2 (de) 1996-12-24 2002-08-08 Fuji Photo Film Co Ltd Zusammensetzung für Antireflexunterschichten und Verfahren zur Herstellung eines Resistmusters damit
US5939236A (en) 1997-02-07 1999-08-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US6174631B1 (en) 1997-02-10 2001-01-16 E. I. Du Pont De Nemours And Company Attenuating phase shift photomasks
US6491840B1 (en) 2000-02-14 2002-12-10 The Procter & Gamble Company Polymer compositions having specified PH for improved dispensing and improved stability of wrinkle reducing compositions and methods of use
DE19710461A1 (de) 1997-03-13 1998-09-17 Wacker Chemie Gmbh Farbstoffreste aufweisende Organopolysiloxane
JP3047883U (ja) 1997-04-08 1998-04-28 日本ジャバラ工業株式会社 非等ピッチ伸張、縮小式組み合わせ式パンタグラフ。
US6777092B1 (en) 1997-05-13 2004-08-17 Kirin Beer Kabushiki Kaisha Coating and material for forming vitreous coating film, method of coating with the same, and coater
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
JPH113888A (ja) 1997-05-28 1999-01-06 Texas Instr Inc <Ti> 集積回路誘電体及び方法
US5883011A (en) 1997-06-18 1999-03-16 Vlsi Technology, Inc. Method of removing an inorganic antireflective coating from a semiconductor substrate
JP4012600B2 (ja) 1997-06-23 2007-11-21 富士通株式会社 酸感応性重合体、レジスト組成物、レジストパターン形成方法、および半導体装置の製造方法
US5962067A (en) 1997-09-09 1999-10-05 Lucent Technologies Inc. Method for coating an article with a ladder siloxane polymer and coated article
JP4053631B2 (ja) 1997-10-08 2008-02-27 Azエレクトロニックマテリアルズ株式会社 反射防止膜又は光吸収膜用組成物及びこれに用いる重合体
EP0911875A3 (en) 1997-10-23 2000-08-02 Texas Instruments Incorporated Integrated circuit dielectric and method of fabrication thereof
US6025232A (en) 1997-11-12 2000-02-15 Micron Technology, Inc. Methods of forming field effect transistors and related field effect transistor constructions
US6057239A (en) 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6190839B1 (en) 1998-01-15 2001-02-20 Shipley Company, L.L.C. High conformality antireflective coating compositions
US6566479B1 (en) 1998-01-22 2003-05-20 Kettenbach Gmbh & Co. Kg Relining for prostheses and process the manufacturing thereof
US6190955B1 (en) 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
CA2318881A1 (en) 1998-02-04 1999-08-12 Tina Garyantes Virtual wells for use in high throughput screening assays
EP0934773B1 (en) 1998-02-06 2004-02-04 Seiwa Kasei Co., Ltd. Microcapsule having a specific wall and method for producing the same
US5972616A (en) 1998-02-20 1999-10-26 The Board Of Trustees Of The University Of Arkansas TADG-15: an extracellular serine protease overexpressed in breast and ovarian carcinomas
US7022821B1 (en) 1998-02-20 2006-04-04 O'brien Timothy J Antibody kit for the detection of TADG-15 protein
US6503586B1 (en) 1998-02-25 2003-01-07 Arteva North America S.A.R.L. Title improved infrared absorbing polyester packaging polymer
US6962727B2 (en) 1998-03-20 2005-11-08 Honeywell International Inc. Organosiloxanes
US5985444A (en) 1998-04-03 1999-11-16 3M Innovative Properties Company Amide functional ultraviolet light absorbers for fluoropolymers
US6344284B1 (en) 1998-04-10 2002-02-05 Organic Display Technology Organic electroluminescent materials and devices made from such materials
US5986344A (en) 1998-04-14 1999-11-16 Advanced Micro Devices, Inc. Anti-reflective coating layer for semiconductor device
DE19817069A1 (de) 1998-04-17 1999-10-21 Clariant Gmbh Infrarotstrahlung reflektierende Farbmittel
CN1300383A (zh) 1998-04-29 2001-06-20 部鲁尔科学公司 得自纤维素粘合剂的快速蚀刻、热固性抗反射涂料
US6576408B2 (en) 1998-04-29 2003-06-10 Brewer Science, Inc. Thermosetting anti-reflective coatings comprising aryl urethanes of hydroxypropyl cellulose
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6151430A (en) 1998-07-08 2000-11-21 Gore Enterprise Holdings, Inc. Photonic device having an integal guide and method of manufacturing
DE69930832T2 (de) 1998-07-10 2006-11-30 Az Electronic Materials Usa Corp. Benutzung einer zusammensetzung für eine antireflexunterschicht
US6444584B1 (en) 1998-07-16 2002-09-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming composite silicon/dielectric/silicon stack layer
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
DE19834745A1 (de) 1998-08-01 2000-02-03 Agfa Gevaert Ag Strahlungsempfindliches Gemisch mit IR-absorbierenden, anionischen Cyaninfarbstoffen und damit hergestelltes Aufzeichnungsmaterial
US6448464B1 (en) 1999-07-30 2002-09-10 Kimberly-Clark Worldwide, Inc. Absorbent article which maintains skin temperature when wet
US6287286B1 (en) 1998-08-25 2001-09-11 Kimberly-Clark Worldwide, Inc. Absorbent article having a reduced viability of candida albicans
US6497893B1 (en) 1999-06-30 2002-12-24 Kimberly-Clark Worldwide, Inc. Silk protein treatment composition and treated substrate for transfer to skin
US6152906A (en) 1998-08-25 2000-11-28 Kimberly-Clark Worldwide, Inc. Absorbent article having improved breathability
US6217890B1 (en) 1998-08-25 2001-04-17 Susan Carol Paul Absorbent article which maintains or improves skin health
US6238379B1 (en) 1998-08-25 2001-05-29 Kimberly-Clark Worldwide, Inc. Absorbent article with increased wet breathability
US6149934A (en) 1999-04-23 2000-11-21 Kimberly-Clark Worldwide, Inc. Absorbent article having a lotionized bodyside liner
US6280911B1 (en) * 1998-09-10 2001-08-28 Shipley Company, L.L.C. Photoresist compositions comprising blends of ionic and non-ionic photoacid generators
US6410209B1 (en) 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
JP3523081B2 (ja) 1998-09-21 2004-04-26 信越化学工業株式会社 有機珪素樹脂の製造方法及び該製造方法で得られた有機珪素樹脂を用いたポリウレタンフォームの製造方法
JP3852889B2 (ja) * 1998-09-24 2006-12-06 富士写真フイルム株式会社 フォトレジスト用反射防止膜材料組成物
US6190830B1 (en) 1998-09-29 2001-02-20 Kodak Polychrome Graphics Llc Processless direct write printing plate having heat sensitive crosslinked vinyl polymer with organoonium group and methods of imaging and printing
US6667424B1 (en) 1998-10-02 2003-12-23 Kimberly-Clark Worldwide, Inc. Absorbent articles with nits and free-flowing particles
US6562192B1 (en) 1998-10-02 2003-05-13 Kimberly-Clark Worldwide, Inc. Absorbent articles with absorbent free-flowing particles and methods for producing the same
US6673982B1 (en) 1998-10-02 2004-01-06 Kimberly-Clark Worldwide, Inc. Absorbent article with center fill performance
US6503233B1 (en) 1998-10-02 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent article having good body fit under dynamic conditions
JP3702108B2 (ja) 1998-10-07 2005-10-05 株式会社東芝 レジストパターン形成方法
JP2000129073A (ja) 1998-10-26 2000-05-09 Toyo Ink Mfg Co Ltd 常温硬化性樹脂組成物および該樹脂組成物を塗工した基材
US6251973B1 (en) 1998-11-23 2001-06-26 Akzo Nobel N.V. Coatings and coating compositions of a reactive group-containing polymer, a hydrazide and a silane
JP2002530505A (ja) 1998-11-24 2002-09-17 ザ ダウ ケミカル カンパニー 架橋性マトリックス前駆体および気孔発生体を含有する組成物、並びにそれから製造された多孔質マトリックス
US5981675A (en) 1998-12-07 1999-11-09 Bausch & Lomb Incorporated Silicone-containing macromonomers and low water materials
US6326231B1 (en) 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6235456B1 (en) 1998-12-09 2001-05-22 Advanced Micros Devices, Inc. Graded anti-reflective barrier films for ultra-fine lithography
US6635281B2 (en) 1998-12-23 2003-10-21 Alza Corporation Gastric retaining oral liquid dosage form
US6342249B1 (en) 1998-12-23 2002-01-29 Alza Corporation Controlled release liquid active agent formulation dosage forms
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6210856B1 (en) 1999-01-27 2001-04-03 International Business Machines Corporation Resist composition and process of forming a patterned resist layer on a substrate
US6544717B2 (en) 1999-01-28 2003-04-08 Tokyo Ohka Kogyo Co., Ltd. Undercoating composition for photolithographic resist
TW476865B (en) 1999-01-28 2002-02-21 Tokyo Ohka Kogyo Co Ltd Undercoating composition for photolithographic resist
US6187505B1 (en) 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
KR100644847B1 (ko) 1999-02-26 2006-11-13 쇼와 덴코 가부시키가이샤 칼라필터용 광중합 개시제, 감광성 착색 조성물 및 칼라필터
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
JP4270632B2 (ja) 1999-03-12 2009-06-03 株式会社東芝 ドライエッチングを用いた半導体装置の製造方法
US6849923B2 (en) 1999-03-12 2005-02-01 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method of the same
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6313257B1 (en) * 1999-03-23 2001-11-06 Lord Corporation Poly (mercaptopropylaryl) curatives
US6409883B1 (en) 1999-04-16 2002-06-25 Kimberly-Clark Worldwide, Inc. Methods of making fiber bundles and fibrous structures
JP3064753U (ja) 1999-05-18 2000-01-21 竹沢産業株式会社 送風機
JP3064337U (ja) 1999-05-27 2000-01-14 株式会社日本能率協会マネジメントセンター 家計ノ―ト
US6268457B1 (en) * 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
EP1190277B1 (en) 1999-06-10 2009-10-07 AlliedSignal Inc. Semiconductor having spin-on-glass anti-reflective coatings for photolithography
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
KR100745875B1 (ko) 1999-07-30 2007-08-02 피피지 인더스트리즈 오하이오, 인코포레이티드 개선된 내긁힘성을 갖는 경화된 코팅재, 코팅된 기재 및관련 방법
US6623791B2 (en) 1999-07-30 2003-09-23 Ppg Industries Ohio, Inc. Coating compositions having improved adhesion, coated substrates and methods related thereto
US6475892B1 (en) 1999-08-02 2002-11-05 Aadvanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6107167A (en) 1999-08-02 2000-08-22 Advanced Micro Devices, Inc. Simplified method of patterning polysilicon gate in a semiconductor device
US6335235B1 (en) 1999-08-17 2002-01-01 Advanced Micro Devices, Inc. Simplified method of patterning field dielectric regions in a semiconductor device
AR027842A1 (es) 1999-08-23 2003-04-16 Kimberly Clark Co Un articulo absorbente el cual mantiene o mejora la salud de la piel
AR025300A1 (es) 1999-08-23 2002-11-20 Kimberly Clark Co Un articulo absorbente descartable con capacidad para respirar en humedo incrementada.
JP2001079491A (ja) 1999-09-10 2001-03-27 Koito Mfg Co Ltd 塗膜形成方法及び該方法で形成された車両用灯具
EP1757565A3 (en) 1999-09-13 2009-01-07 Koninklijke Philips Electronics N.V. Light-absorbing coating and electric lamp therewith
US6315946B1 (en) 1999-10-21 2001-11-13 The United States Of America As Represented By The Secretary Of The Navy Ultra low carbon bainitic weathering steel
US6313045B1 (en) 1999-12-13 2001-11-06 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants and method for preparation
US6232424B1 (en) 1999-12-13 2001-05-15 Dow Corning Corporation Soluble silicone resin compositions having good solution stability
US6359096B1 (en) 1999-10-25 2002-03-19 Dow Corning Corporation Silicone resin compositions having good solution solubility and stability
US6541107B1 (en) 1999-10-25 2003-04-01 Dow Corning Corporation Nanoporous silicone resins having low dielectric constants
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6391524B2 (en) 1999-11-19 2002-05-21 Kodak Polychrome Graphics Llc Article having imagable coatings
TW468053B (en) 1999-12-14 2001-12-11 Nissan Chemical Ind Ltd Antireflection film, process for forming the antireflection film, and antireflection glass
JP4195773B2 (ja) 2000-04-10 2008-12-10 Jsr株式会社 層間絶縁膜形成用組成物、層間絶縁膜の形成方法およびシリカ系層間絶縁膜
US6902771B2 (en) 2000-02-01 2005-06-07 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US6306736B1 (en) 2000-02-04 2001-10-23 The Regents Of The University Of California Process for forming shaped group III-V semiconductor nanocrystals, and product formed using process
YU80201A (sh) 2000-02-08 2003-08-29 Adsil Lc. Postupak za poboljšanje toplotne efikasnosti upotrebom silanskih prevlaka i obloženi proizvodi dobijeni na taj način
CA2396886C (en) 2000-02-14 2010-01-05 The Procter & Gamble Company Stable, aqueous compositions for treating surfaces, especially fabrics
CN100451830C (zh) 2000-02-22 2009-01-14 布鲁尔科技公司 由化学气相沉积法沉积的有机聚合物抗反射涂层
JP2001240800A (ja) 2000-02-25 2001-09-04 Nippon Sheet Glass Co Ltd 所定表面形状を有する物品の製造方法
WO2001064804A1 (en) 2000-02-28 2001-09-07 Adsil, Lc Silane-based, coating compositions, coated articles obtained therefrom and methods of using same
US6413647B1 (en) 2000-02-28 2002-07-02 Jsr Corporation Composition for film formation, method of film formation, and silica-based film
US6451420B1 (en) 2000-03-17 2002-09-17 Nanofilm, Ltd. Organic-inorganic hybrid polymer and method of making same
JP3604007B2 (ja) 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
JP2003529654A (ja) 2000-03-30 2003-10-07 ゼネラル・エレクトリック・カンパニイ 透明な難燃性ポリ(アリーレンエーテル)ブレンド
JP3795333B2 (ja) 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
US6593388B2 (en) 2000-04-04 2003-07-15 Renssealer Polytechnic Institute Oligomeric and polymeric photosensitizers comprising a polynuclear aromatic group
US6268294B1 (en) 2000-04-04 2001-07-31 Taiwan Semiconductor Manufacturing Company Method of protecting a low-K dielectric material
US7128976B2 (en) * 2000-04-10 2006-10-31 Jsr Corporation Composition for film formation, method of film formation, and silica-based film
ATE321105T1 (de) 2000-04-13 2006-04-15 Jsr Corp Überzugsmittel, verfahren zur herstellung, gehärtetes produkt und beschichtungsfilm
US6374738B1 (en) 2000-05-03 2002-04-23 Presstek, Inc. Lithographic imaging with non-ablative wet printing members
JP3846545B2 (ja) 2000-06-08 2006-11-15 信越化学工業株式会社 コーティング剤組成物、コーティング方法及び被覆物品
US6632535B1 (en) 2000-06-08 2003-10-14 Q2100, Inc. Method of forming antireflective coatings
US6852766B1 (en) 2000-06-15 2005-02-08 3M Innovative Properties Company Multiphoton photosensitization system
US6420088B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6323268B1 (en) 2000-06-27 2001-11-27 Dow Corning Corporation Organosilicon water repellent compositions
US6891237B1 (en) 2000-06-27 2005-05-10 Lucent Technologies Inc. Organic semiconductor device having an active dielectric layer comprising silsesquioxanes
JP2002023350A (ja) 2000-07-07 2002-01-23 Fuji Photo Film Co Ltd ネガ型平版印刷版原版
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6368400B1 (en) 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
US6635341B1 (en) 2000-07-31 2003-10-21 Ppg Industries Ohio, Inc. Coating compositions comprising silyl blocked components, coating, coated substrates and methods related thereto
EP1305320B1 (en) * 2000-08-03 2006-05-31 Ciba SC Holding AG Photostable, silylated benzotriazole uv absorbers and compositions stabilized therewith
AU2001288306A1 (en) 2000-08-18 2002-03-04 The Procter And Gamble Company Compositions and methods for odor and fungal control in ballistic fabric and other protective garments
WO2002016477A2 (en) 2000-08-21 2002-02-28 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6645685B2 (en) 2000-09-06 2003-11-11 Mitsubishi Paper Mills Limited Process for producing printed wiring board
WO2002023629A2 (en) 2000-09-13 2002-03-21 Shipley Company, L.L.C. Electronic device manufacture
JP3993373B2 (ja) 2000-09-14 2007-10-17 信越化学工業株式会社 ポリオルガノシロキサン化合物及びそれを含有するコーティング組成物
KR100382702B1 (ko) 2000-09-18 2003-05-09 주식회사 엘지화학 유기실리케이트 중합체의 제조방법
US6505362B1 (en) 2000-09-25 2003-01-14 Thomas Scipio Method and system for cushioning a mobile prone person
JP3772077B2 (ja) 2000-09-27 2006-05-10 株式会社東芝 パターン形成方法
US6465358B1 (en) 2000-10-06 2002-10-15 Intel Corporation Post etch clean sequence for making a semiconductor device
US6884568B2 (en) 2000-10-17 2005-04-26 Kodak Polychrome Graphics, Llc Stabilized infrared-sensitive polymerizable systems
US6864040B2 (en) 2001-04-11 2005-03-08 Kodak Polychrome Graphics Llc Thermal initiator system using leuco dyes and polyhalogene compounds
US6503526B1 (en) 2000-10-20 2003-01-07 Kimberly-Clark Worldwide, Inc. Absorbent articles enhancing skin barrier function
US6756520B1 (en) 2000-10-20 2004-06-29 Kimberly-Clark Worldwide, Inc. Hydrophilic compositions for use on absorbent articles to enhance skin barrier
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
US6699647B2 (en) 2000-12-21 2004-03-02 Eastman Kodak Company High speed photothermographic materials containing tellurium compounds and methods of using same
US20020128615A1 (en) 2000-12-22 2002-09-12 Tyrrell David John Absorbent articles with non-aqueous compositions containing anionic polymers
US6749860B2 (en) 2000-12-22 2004-06-15 Kimberly-Clark Worldwide, Inc. Absorbent articles with non-aqueous compositions containing botanicals
US6832064B2 (en) 2000-12-29 2004-12-14 Samsung Electronics Co., Ltd. Seamless drying belt for electrophotographic process
NZ526871A (en) 2001-01-25 2006-01-27 Bristol Myers Squibb Co Pharmaceutical dosage forms of epothilones for oral administration
DE10103421A1 (de) * 2001-01-26 2002-08-14 Ge Bayer Silicones Gmbh & Co Polyorganosiloxan-Zusammensetzung
US7026053B2 (en) 2001-01-29 2006-04-11 Jsr Corporation Process for producing silica-based film, silica-based film, insulating film, and semiconductor device
US6465889B1 (en) 2001-02-07 2002-10-15 Advanced Micro Devices, Inc. Silicon carbide barc in dual damascene processing
US6875005B2 (en) 2001-02-20 2005-04-05 Q1200, Inc. Apparatus for preparing an eyeglass lens having a gating device
US6893245B2 (en) 2001-02-20 2005-05-17 Q2100, Inc. Apparatus for preparing an eyeglass lens having a computer system controller
US6790024B2 (en) 2001-02-20 2004-09-14 Q2100, Inc. Apparatus for preparing an eyeglass lens having multiple conveyor systems
US6709257B2 (en) 2001-02-20 2004-03-23 Q2100, Inc. Eyeglass lens forming apparatus with sensor
US7011773B2 (en) 2001-02-20 2006-03-14 Q2100, Inc. Graphical interface to display mold assembly position in a lens forming apparatus
US6840752B2 (en) 2001-02-20 2005-01-11 Q2100, Inc. Apparatus for preparing multiple eyeglass lenses
US6676398B2 (en) 2001-02-20 2004-01-13 Q2100, Inc. Apparatus for preparing an eyeglass lens having a prescription reader
US6702564B2 (en) 2001-02-20 2004-03-09 Q2100, Inc. System for preparing an eyeglass lens using colored mold holders
US7052262B2 (en) 2001-02-20 2006-05-30 Q2100, Inc. System for preparing eyeglasses lens with filling station
US6758663B2 (en) 2001-02-20 2004-07-06 Q2100, Inc. System for preparing eyeglass lenses with a high volume curing unit
US6752613B2 (en) 2001-02-20 2004-06-22 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for initiation of lens curing
US6655946B2 (en) 2001-02-20 2003-12-02 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller for conveyor and curing units
US6808381B2 (en) 2001-02-20 2004-10-26 Q2100, Inc. Apparatus for preparing an eyeglass lens having a controller
US6712331B2 (en) 2001-02-20 2004-03-30 Q2100, Inc. Holder for mold assemblies with indicia
US6726463B2 (en) 2001-02-20 2004-04-27 Q2100, Inc. Apparatus for preparing an eyeglass lens having a dual computer system controller
US6612828B2 (en) 2001-02-20 2003-09-02 Q2100, Inc. Fill system with controller for monitoring use
US6717181B2 (en) 2001-02-22 2004-04-06 Semiconductor Energy Laboratory Co., Ltd. Luminescent device having thin film transistor
US20030198578A1 (en) 2002-04-18 2003-10-23 Dielectric Systems, Inc. Multi-stage-heating thermal reactor for transport polymerization
US6797343B2 (en) 2001-12-20 2004-09-28 Dielectric Systems, Inc. Dielectric thin films from fluorinated precursors
US6703462B2 (en) 2001-08-09 2004-03-09 Dielectric Systems Inc. Stabilized polymer film and its manufacture
US6825303B2 (en) 2001-02-26 2004-11-30 Dielectric Systems, Inc. Integration of low ε thin films and Ta into Cu dual damascene
US20020123592A1 (en) 2001-03-02 2002-09-05 Zenastra Photonics Inc. Organic-inorganic hybrids surface adhesion promoter
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6846614B2 (en) 2002-02-04 2005-01-25 Kodak Polychrome Graphics Llc On-press developable IR sensitive printing plates
US20040091811A1 (en) 2002-10-30 2004-05-13 Munnelly Heidi M. Hetero-substituted aryl acetic acid co-initiators for IR-sensitive compositions
US6893797B2 (en) 2001-11-09 2005-05-17 Kodak Polychrome Graphics Llc High speed negative-working thermal printing plates
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
JP4146105B2 (ja) 2001-05-30 2008-09-03 富士フイルム株式会社 紫外線吸収剤及びその製造方法、紫外線吸収剤を含有する組成物、ならびに画像形成方法
US6740685B2 (en) * 2001-05-30 2004-05-25 Honeywell International Inc. Organic compositions
US6448185B1 (en) 2001-06-01 2002-09-10 Intel Corporation Method for making a semiconductor device that has a dual damascene interconnect
US20030112737A1 (en) 2001-06-05 2003-06-19 Thompson Robert F. Limited play optical devices with interstitial reactive layer and methods of making same
US6558880B1 (en) 2001-06-06 2003-05-06 Eastman Kodak Company Thermally developable imaging materials containing heat-bleachable antihalation composition
JP4181312B2 (ja) 2001-06-25 2008-11-12 富士フイルム株式会社 ネガ型画像記録材料
US6890855B2 (en) 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
CN1916760B (zh) * 2001-06-29 2010-10-13 Jsr株式会社 酸发生剂、磺酸、磺酸衍生物及辐射敏感树脂组合物
JP4965033B2 (ja) 2001-06-29 2012-07-04 東レ・ダウコーニング株式会社 液状アルコキシシリル官能性シリコーン樹脂、その製造方法および硬化性シリコーン樹脂組成物
JP2003025510A (ja) 2001-07-16 2003-01-29 Shin Etsu Chem Co Ltd 反射防止性及び耐擦傷性を有する多層積層体
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US6592999B1 (en) 2001-07-31 2003-07-15 Ppg Industries Ohio, Inc. Multi-layer composites formed from compositions having improved adhesion, coating compositions, and methods related thereto
KR100436220B1 (ko) 2001-08-30 2004-06-12 주식회사 네패스 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물
US6514677B1 (en) 2001-08-31 2003-02-04 Eastman Kodak Company Thermally developable infrared sensitive imaging materials containing heat-bleachable antihalation composition
US6824952B1 (en) 2001-09-13 2004-11-30 Microchem Corp. Deep-UV anti-reflective resist compositions
KR20030027694A (ko) 2001-09-25 2003-04-07 제이에스알 가부시끼가이샤 막형성 방법, 적층막, 절연막 및 반도체용 기판
TW591341B (en) 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
DE10151264A1 (de) 2001-10-17 2003-04-30 Degussa Aminoalkylalkoxysiloxanhaltige Gemische, deren Herstellung und deren Verwendung
US6730461B2 (en) 2001-10-26 2004-05-04 Eastman Kodak Company Thermally developable imaging materials with reduced mottle providing improved image uniformity
US6949297B2 (en) 2001-11-02 2005-09-27 3M Innovative Properties Company Hybrid adhesives, articles, and methods
AU2002359387A1 (en) 2001-11-15 2003-06-10 Honeywell International Inc. Anti-reflective coatings for photolithography and methods of preparation thereof
KR20040066124A (ko) 2001-11-15 2004-07-23 허니웰 인터내셔널 인코포레이티드 포토리소그라피용 스핀온 반사 방지 피막
EP1478681A4 (en) * 2001-11-16 2006-10-11 Honeywell Int Inc SPIN ON GLASS ANTIREFLECTION COATINGS FOR PHOTOLITHOGRAPHY
US6573175B1 (en) 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
CN1288214C (zh) 2001-12-14 2006-12-06 旭化成株式会社 用于形成具有低折光指数薄膜的涂布组合物
US20030171729A1 (en) 2001-12-28 2003-09-11 Kaun James Martin Multifunctional containment sheet and system for absorbent atricles
US6803476B2 (en) 2002-01-08 2004-10-12 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US6831189B2 (en) 2002-01-08 2004-12-14 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US20030171607A1 (en) 2002-01-08 2003-09-11 Rantala Juha T. Methods and compounds for making coatings, waveguides and other optical devices
US6924384B2 (en) 2002-01-08 2005-08-02 Silecs Oy Methods and compounds for making coatings, waveguides and other optical devices
US20030176718A1 (en) 2002-01-08 2003-09-18 Rantala Juha T. Methods and compounds for making coatings, waveguides and other optical devices
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US20050032357A1 (en) 2002-01-17 2005-02-10 Rantala Juha T. Dielectric materials and methods for integrated circuit applications
WO2003059990A1 (en) 2002-01-17 2003-07-24 Silecs Oy Thin films and methods for the preparation thereof
US7060634B2 (en) 2002-01-17 2006-06-13 Silecs Oy Materials and methods for forming hybrid organic-inorganic dielectric materials for integrated circuit applications
US7144827B2 (en) 2002-01-17 2006-12-05 Silecs Oy Poly(organosiloxane) materials and methods for hybrid organic-inorganic dielectrics for integrated circuit applications
WO2003062248A2 (en) 2002-01-18 2003-07-31 Merck & Co., Inc. N-(benzyl)aminoalkylcarboxylates, phosphinates, phosphonates and tetrazoles as edg receptor agonists
JP2003211070A (ja) 2002-01-21 2003-07-29 Toshiba Corp 塗膜の形成方法、半導体装置の製造方法および塗布液
KR100508296B1 (ko) 2002-02-01 2005-08-17 세이코 엡슨 가부시키가이샤 회로 기판, 전기 광학 장치 및 전자 기기
WO2003067682A2 (en) 2002-02-05 2003-08-14 Gencell Corporation Silane coated metallic fuel cell components and methods of manufacture
WO2003066750A1 (fr) 2002-02-06 2003-08-14 Asahi Kasei Kabushiki Kaisha Compositions de revetement pour former des films minces isolants
US7211365B2 (en) 2002-03-04 2007-05-01 Shipley Company, L.L.C. Negative photoresists for short wavelength imaging
DE10213294B4 (de) 2002-03-25 2015-05-13 Osram Gmbh Verwendung eines UV-beständigen Polymers in der Optoelektronik sowie im Außenanwendungsbereich, UV-beständiges Polymer sowie optisches Bauelement
US6906157B2 (en) * 2002-04-09 2005-06-14 Eastman Kodak Company Polymer particle stabilized by dispersant and method of preparation
WO2003088344A1 (en) * 2002-04-10 2003-10-23 Honeywell International, Inc. Low metal porous silica dielectric for integral circuit applications
AU2002309806A1 (en) * 2002-04-10 2003-10-27 Honeywell International, Inc. New porogens for porous silica dielectric for integral circuit applications
US6730454B2 (en) 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
EP1495066B1 (en) 2002-04-18 2008-07-16 LG Chem, Ltd. Organic silicate polymer and insulation film comprising the same
US6787281B2 (en) 2002-05-24 2004-09-07 Kodak Polychrome Graphics Llc Selected acid generating agents and their use in processes for imaging radiation-sensitive elements
US7897979B2 (en) 2002-06-07 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and manufacturing method thereof
KR100515583B1 (ko) 2002-06-27 2005-09-20 주식회사 엘지화학 유기실리케이트 중합체 및 이를 함유하는 절연막
CN1248556C (zh) 2002-08-05 2006-03-29 佳能株式会社 电极和布线材料吸收用底层图形形成材料及其应用
US6896821B2 (en) 2002-08-23 2005-05-24 Dalsa Semiconductor Inc. Fabrication of MEMS devices with spin-on glass
DE10242418A1 (de) 2002-09-12 2004-03-25 Wacker-Chemie Gmbh Verfahren zur Herstellung von Organopolysiloxanharz
US20040067437A1 (en) 2002-10-06 2004-04-08 Shipley Company, L.L.C. Coating compositions for use with an overcoated photoresist
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7038328B2 (en) 2002-10-15 2006-05-02 Brewer Science Inc. Anti-reflective compositions comprising triazine compounds
JP2004212946A (ja) 2002-10-21 2004-07-29 Rohm & Haas Electronic Materials Llc Siポリマー含有フォトレジスト
US6783468B2 (en) 2002-10-24 2004-08-31 Acushnet Company Low deformation golf ball
US7122384B2 (en) 2002-11-06 2006-10-17 E. I. Du Pont De Nemours And Company Resonant light scattering microparticle methods
JP3884699B2 (ja) 2002-11-13 2007-02-21 信越化学工業株式会社 多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
US7465414B2 (en) 2002-11-14 2008-12-16 Transitions Optical, Inc. Photochromic article
JP2004177952A (ja) 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系
US6766793B2 (en) 2002-12-12 2004-07-27 General Atomics Electromagnetic gun and rotating pulse forming network
US7018779B2 (en) 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
US20040166434A1 (en) * 2003-02-21 2004-08-26 Dammel Ralph R. Photoresist composition for deep ultraviolet lithography
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US6902861B2 (en) 2003-03-10 2005-06-07 Kodak Polychrome Graphics, Llc Infrared absorbing compounds and their use in photoimageable elements
JP2004307692A (ja) 2003-04-09 2004-11-04 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置
JP2004307694A (ja) 2003-04-09 2004-11-04 Shin Etsu Chem Co Ltd 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置。
DK1479734T3 (da) * 2003-05-20 2009-05-11 Dsm Ip Assets Bv Nanostruktureret overflade-coatingsproces, nanostrukturerede coatinger og artikler omfattende coatingen
US7303785B2 (en) 2003-06-03 2007-12-04 Shin-Etsu Chemical Co., Ltd. Antireflective film material, and antireflective film and pattern formation method using the same
KR100857967B1 (ko) 2003-06-03 2008-09-10 신에쓰 가가꾸 고교 가부시끼가이샤 반사 방지막 재료, 이것을 이용한 반사 방지막 및 패턴형성 방법
US7008476B2 (en) 2003-06-11 2006-03-07 Az Electronic Materials Usa Corp. Modified alginic acid of alginic acid derivatives and thermosetting anti-reflective compositions thereof
US6899988B2 (en) 2003-06-13 2005-05-31 Kodak Polychrome Graphics Llc Laser thermal metallic donors
JP2005049542A (ja) 2003-07-31 2005-02-24 Fuji Photo Film Co Ltd 画像形成方法及び現像液
WO2005041255A2 (en) * 2003-08-04 2005-05-06 Honeywell International, Inc. Coating composition optimization for via fill and photolithography applications and methods of preparation thereof
US6942083B2 (en) 2003-08-07 2005-09-13 Ford Global Technologies, Llc Viscous fan clutch actuated by a heating element and ambient air
US7172849B2 (en) 2003-08-22 2007-02-06 International Business Machines Corporation Antireflective hardmask and uses thereof
US7270931B2 (en) 2003-10-06 2007-09-18 International Business Machines Corporation Silicon-containing compositions for spin-on ARC/hardmask materials
US20050074981A1 (en) 2003-10-06 2005-04-07 Meagley Robert P. Increasing the etch resistance of photoresists
US8101015B2 (en) * 2003-10-07 2012-01-24 Honeywell International Inc. Coatings and hard mask compositions for integrated circuit applications methods of production and uses thereof
KR100979355B1 (ko) 2003-10-09 2010-08-31 삼성전자주식회사 다반응성 환형 실리케이트 화합물, 상기 화합물로부터제조된 실록산계 중합체 및 상기 중합체를 이용한 절연막제조방법
US20050089642A1 (en) 2003-10-28 2005-04-28 Rohm And Haas Electronic Materials, L.L.C. Dielectric materials preparation
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US7470634B2 (en) 2003-11-24 2008-12-30 Samsung Electronics Co., Ltd. Method for forming interlayer dielectric film for semiconductor device by using polyhedral molecular silsesquioxane
DE202004000783U1 (de) * 2004-01-19 2005-06-02 Dolmar Gmbh Vorrichtung zum Schieben eines Trennschleiferwagens
TWI367686B (en) 2004-04-07 2012-07-01 Semiconductor Energy Lab Light emitting device, electronic device, and television device
JP4553113B2 (ja) 2004-06-10 2010-09-29 信越化学工業株式会社 多孔質膜形成用組成物、パターン形成方法、及び多孔質犠性膜
EP1615260A3 (en) 2004-07-09 2009-09-16 JSR Corporation Organic silicon-oxide-based film, composition and method for forming the same, and semiconductor device
US7475093B2 (en) 2004-10-15 2009-01-06 Microsoft Corporation Memory cache management in XML/relational data mapping
US20060155594A1 (en) 2005-01-13 2006-07-13 Jess Almeida Adaptive step-by-step process with guided conversation logs for improving the quality of transaction data
JP4513966B2 (ja) 2005-03-07 2010-07-28 信越化学工業株式会社 プライマー組成物及びそれを用いた電気電子部品
JP2006295134A (ja) 2005-03-17 2006-10-26 Sanyo Electric Co Ltd 半導体装置およびその製造方法
JP4973697B2 (ja) 2009-06-29 2012-07-11 株式会社デンソー 電力変換装置
JP3162441U (ja) 2010-06-21 2010-09-02 株式会社 プライス 空気浄化アタッチメントおよび空気清浄機
JP5012985B2 (ja) 2010-10-08 2012-08-29 カシオ計算機株式会社 撮像装置及びそのプログラム
JP2011018945A (ja) 2010-10-15 2011-01-27 Sony Chemical & Information Device Corp 接合体及びその製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4910122A (en) * 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US5693691A (en) * 1995-08-21 1997-12-02 Brewer Science, Inc. Thermosetting anti-reflective coatings compositions
US6268108B1 (en) * 1997-07-16 2001-07-31 Tokyo Ohka Kogyo Co., Ltd. Composition for forming antireflective coating film and method for forming resist pattern using same
JP2001092122A (ja) 1999-09-20 2001-04-06 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用組成物及びレジストパターンの形成方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11469376B2 (en) 2019-11-12 2022-10-11 Samsung Display Co., Ltd. Display apparatus and method of manufacturing the same
US11882756B2 (en) 2019-11-12 2024-01-23 Samsung Display Co., Ltd. Display apparatus and method of manufacturing the same

Also Published As

Publication number Publication date
US20120001135A1 (en) 2012-01-05
CN1902546B (zh) 2012-11-14
JP2012025957A (ja) 2012-02-09
KR20120115432A (ko) 2012-10-17
CN1902546A (zh) 2007-01-24
US8053159B2 (en) 2011-11-08
EP1695142A4 (en) 2007-05-30
US20050171277A1 (en) 2005-08-04
KR20060099532A (ko) 2006-09-19
JP2007520737A (ja) 2007-07-26
CN103627316A (zh) 2014-03-12
EP1695142B1 (en) 2019-07-31
EP1695142A2 (en) 2006-08-30
TWI374914B (en) 2012-10-21
JP5467082B2 (ja) 2014-04-09
WO2005049681A2 (en) 2005-06-02
US8992806B2 (en) 2015-03-31
CN103627316B (zh) 2016-08-03
TW200538511A (en) 2005-12-01
WO2005049681A3 (en) 2006-04-20
JP4857119B2 (ja) 2012-01-18

Similar Documents

Publication Publication Date Title
KR101324022B1 (ko) 비아 필 및 포토리소그래피 장치를 위한 무반사 코팅 및 이들의 제조 방법
US9069133B2 (en) Anti-reflective coating for photolithography and methods of preparation thereof
US8642246B2 (en) Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US7867331B2 (en) Coating composition optimization for via fill and photolithography applications and methods of preparation thereof
CN105492972B (zh) 作为硬掩模和填充材料的稳定的金属化合物、其组合物以及使用方法
EP2278611B1 (en) Organosilicate resin formulation for use in microelectronic devices
WO2003044078A9 (en) Anti-reflective coatings for photolithography and methods of preparation thereof
US7955782B2 (en) Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
WO2004044025A2 (en) Anti-reflective coatings for photolithography and methods of preparation thereof
WO2009111122A2 (en) Silsesquioxane resins
JP5702837B2 (ja) 加工可能な無機及び有機ポリマー配合物、それらの製造方法及び使用
KR20050044501A (ko) 포토리소그래피용 무반사 코팅 및 이의 제조 방법
CN116500864A (zh) 组合的ARC和Si硬掩模的组合物
CN116500863A (zh) 组合的ARC和Si基硬掩模薄膜的组合物
CN116496500A (zh) 用于KrF显微光刻法的单层组合物中组合的硬掩模和ARC
TW200426199A (en) Anti-reflective coatings for photolithography and methods of preparation thereof

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
J202 Request for trial for correction [limitation]
J301 Trial decision

Free format text: TRIAL DECISION FOR CORRECTION REQUESTED 20140526

Effective date: 20140708

J801 Dismissal of trial

Free format text: REJECTION OF TRIAL FOR CORRECTION REQUESTED 20140526

Effective date: 20140811

FPAY Annual fee payment

Payment date: 20160929

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171012

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20191014

Year of fee payment: 7