CN105492972B - 作为硬掩模和填充材料的稳定的金属化合物、其组合物以及使用方法 - Google Patents

作为硬掩模和填充材料的稳定的金属化合物、其组合物以及使用方法 Download PDF

Info

Publication number
CN105492972B
CN105492972B CN201480047203.4A CN201480047203A CN105492972B CN 105492972 B CN105492972 B CN 105492972B CN 201480047203 A CN201480047203 A CN 201480047203A CN 105492972 B CN105492972 B CN 105492972B
Authority
CN
China
Prior art keywords
organic moiety
compound
silicon
composition
moles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480047203.4A
Other languages
English (en)
Other versions
CN105492972A (zh
Inventor
姚晖蓉
S·K·木伦
E·沃尔佛
D·穆肯泽
赵俊衍
M·帕德马纳班
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wisdom Buy
Merck Patent GmbH
AZ Electronic Materials Japan Co Ltd
Original Assignee
AZ ELECTRONICS Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AZ ELECTRONICS Ltd filed Critical AZ ELECTRONICS Ltd
Publication of CN105492972A publication Critical patent/CN105492972A/zh
Application granted granted Critical
Publication of CN105492972B publication Critical patent/CN105492972B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D185/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing atoms other than silicon, sulfur, nitrogen, oxygen, and carbon; Coating compositions based on derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/48Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/58Metal-containing linkages
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Materials For Photolithography (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Compositions Of Macromolecular Compounds (AREA)

Abstract

本发明涉及用于形成具有改进的稳定性的金属氧化物膜的新型可溶性多配体取代的金属氧化物化合物以及由它们制成的组合物以及它们的使用方法。具体而言,本发明涉及具有以下结构(I)的化合物:其中M是金属并且n是1至20,并且其中R1、R2、R3和R4的至少一个是i)并且R1、R2、R3和R4的至少一个是ii),其中i)是具有至少2个碳的带有硅的有机部分,并且ii)是有机部分。本发明还涉及溶解到溶剂中的结构(I)的化合物的可旋涂组合物。本发明进一步涉及使用这种可旋涂组合物以便在图案化衬底上形成涂层的方法。

Description

作为硬掩模和填充材料的稳定的金属化合物、其组合物以及 使用方法
发明领域
本发明涉及具有改进的稳定性的新型可溶性多配体取代金属化合物和包含该金属化合物的新型组合物,其可用作在形成微光刻特征中具有良好的沟槽或通孔填充性质并在氧基等离子体中具有良好的抗等离子蚀刻性的金属硬掩模。该新型组合物用于在半导体衬底上形成微细图案的方法中。
发明背景
金属氧化物膜可用于半导体工业中的许多应用,如光刻硬掩模、用于抗反射涂层的底层以及光电器件。
光致抗蚀剂组合物在微光刻法中用于制造微型化电子组件,如用于制造计算机芯片和集成电路。通常,将光致抗蚀剂组合物的薄涂层施加到衬底(如用于制造集成电路的硅基晶片)上。随后烘烤涂覆的衬底以便从该光致抗蚀剂中除去所需量的溶剂。烘烤过的该衬底的涂覆表面随后依图像暴露于光化辐射,如可见、紫外、超紫外、电子束、粒子束以及X射线辐射。
该辐射在光致抗蚀剂的曝光区域内引发化学转化。曝光的涂层用显影剂溶液处理以溶解和去除该光致抗蚀剂的辐射曝光或未曝光区域。
向着半导体器件小型化的趋势已经导致使用对波长越来越短的辐射敏感的新型光致抗蚀剂,并还导致使用复杂的多级体系以克服与此类小型化相关的困难。
光刻法中的吸收抗反射涂层和底层用于减少由通常为高反射性的衬底反射的辐射所造成的问题。反射的辐射导致薄膜干涉效应和反射刻痕。薄膜干涉或驻波导致临界线宽度尺寸的变化,这种变化是由光致抗蚀剂厚度变化时该光致抗蚀剂膜中总光强度的改变所引发的。反射和入射的曝光辐射的干涉可以造成驻波效应,这会在整个厚度内扭曲该辐射的均匀性。当该光致抗蚀剂在包含形貌特征(所述形貌特征散射穿过该光致抗蚀剂膜的光,导致线宽度改变,并在极端情况下,形成完全丧失期望尺寸的区域)的反射性衬底上图案化时,反射刻痕变得严重。在光致抗蚀剂下方和在反射性衬底上方涂覆的抗反射涂层膜在光致抗蚀剂的光刻性能方面提供了显著的改进。通常,在衬底上施加底部抗反射涂层并烘烤,随后施加光致抗蚀剂层。将该光致抗蚀剂依图像曝光和显影。曝光区域中的抗反射涂层随后通常用各种蚀刻气体干法蚀刻,由此将光致抗蚀剂团转移到该衬底上。
含有大量耐火元素的底层可以用作硬掩模以及抗反射涂层。当上覆的光致抗蚀剂不能提供对干法蚀刻(其用于将图像转移到下方的半导体衬底中)的足够的耐受性时,硬掩模是有用的。在这样的情况下,其抗蚀刻性足以将在其上生成的任何图案转移到下方的半导体衬底中的材料称为硬掩模。由于有机光致抗蚀剂不同于下方的硬掩膜,并且能够找到允许将光致抗蚀剂中的图像转移到下方的硬掩模中的蚀刻气体混合物,使得这成为可能。这种图案化的硬掩模可以随后与适当的蚀刻条件和气体混合物一起使用以便将图像由该硬掩膜转移到半导体衬底中,这是光致抗蚀剂自身采用单一蚀刻过程可能无法实现的任务。
多个抗反射层和底层在新型光刻技术中使用。在其中该光致抗蚀剂不提供足够的干法蚀刻耐受性的情况下,充当硬掩模并在衬底蚀刻过程中高度耐蚀刻的用于光致抗蚀剂的底层和/或抗反射涂层是优选的。一种方法已经将硅、钛或其它金属材料并入有机光致抗蚀剂层下方的层中。另外,可以在该含金属抗反射层下方放置另一高碳含量抗反射或掩模层,如高碳膜/硬掩模膜/光致抗蚀剂的三层用于改善该成像过程的光刻性能。常规硬掩模可以通过化学气相沉积如溅射来施加。但是,旋涂法相对于前述常规方法相对简化使得非常需要开发在该膜中含有高浓度金属材料的新型旋涂硬掩模或抗反射涂层。
本发明涉及用于通孔或沟槽填充的金属硬掩模。在该方法中,含有沟槽和/或通孔的光致抗蚀剂图案涂覆有填充在沟槽和/或通孔中的金属硬掩模。在该方法中,在通孔/沟槽填充过程中进行光致抗蚀剂特征的涂装,该外涂层可以通过使用短暂暴露于更快腐蚀硬掩模的等离子体(例如,用于含Si硬掩模材料或用于在暴露于氟等离子体时形成挥发性的氟化物的其它耐火金属基硬掩模的氟基等离子体蚀刻)、通过用化学溶液蚀刻、或通过使用化学机械抛光来去除。这些填充的光致抗蚀剂沟槽和/或通孔形成负性硬掩模,当用适当的等离子体如氧等离子(其与硬掩模填充区域相比更快地去除光致抗蚀剂)去除光致抗蚀剂的未填充区域以实现图像色调反转时,所述负性硬掩膜充当蚀刻阻挡物。含有金属氧化物的用于半导体应用的底层组合物提供干法蚀刻耐受性以及抗反射性质。但是,用于形成金属氧化物膜的常规可溶性金属化合物,如金属醇盐,已经发现对空气中的水分极不稳定,产生系列问题,包括储存寿命稳定性、涂覆问题和性能缺陷。金属氧化物在半导体工业中通常使用和接受的溶剂中具有溶解度问题。因此亟需制备含有即使在暴露于空气后仍可溶于有机溶剂的、稳定的金属化合物的旋涂硬掩模以及其它底层,其可以充当用于图案化光致抗蚀剂衬底(其充当负性硬掩模以便在氧基等离子体蚀刻后制造原始光致抗蚀剂图案的反色调图像)的通孔或沟槽填充材料,或者其可以涂覆在衬底如碳硬掩模上,并随后在固化后用光致抗蚀剂涂覆,从而使光致抗蚀剂图案化,用其作为掩模,使用湿法或等离子体蚀刻(例如氟基等离子体)以形成正性金属氧化物硬掩模,该正性金属氧化物硬掩模可以用适当的等离子体(例如氧)转移到该衬底中。合意的是,该金属氧化物硬掩模材料可以在负性转移或正性转移过程中用氧基等离子体等离子体转移该硬掩模之后,或在如上所述的正性转移硬掩模中在施加抗蚀剂前在固化后通过化学溶液来剥离。
发明概述
本发明涉及用于形成具有改进的稳定性的金属氧化物膜的新的、可溶性的、多配体取代的金属氧化物化合物,以及由其制成的组合物及其使用方法。
本发明涉及可溶性多配体取代的金属化合物,具有以下结构(I):
其中M是金属并且n是1至20,并且其中R1、R2、R3和R4的至少一个是i)并且R1、R2、R3和R4的至少一个是ii),其中i)是具有至少2个碳的带有硅的有机部分,并且ii)是有机部分(II),
其中R8选自C2-C10亚烷基、C3-C12支链亚烷基、C5-C12亚环烷基、含有C=C双键的C2-C10亚烷基、含有C=C双键的C3-C12支链亚烷基和含有C=C双键的C5-C12亚环烷基,并且R9是氢或烷氧基羰基部分(III),其中R10是C1-C8烷基,
条件是带有具有至少2个碳的有机部分的带有硅的部分i)为全部基团R1、R2、R3和R4的大约10摩尔%至大约80摩尔%,并且该有机部分ii)为全部基团R1、R2、R3和R4的大约20摩尔%至大约90摩尔%。此外,R1、R2、R3和R4的一个可以附加地为C1-C8烷基,其中该基团的含量可以为大约0至50重量%(wt%)。
本发明还涉及组合物,所述组合物可以通过将固体组分溶解到含有醇、酯、酮、内酯、二酮、芳族部分、羧酸或酰胺的溶剂或溶剂混合物中从而用具有结构I的多配体取代的金属化合物配制为可旋涂组合物,以使得该组合物中的固体含量为大约1-40%。该新型组合物还可以以全部组合物中的大约0.01%至大约1重量%的重量%含有表面活性剂。
本发明进一步涉及使用用具有结构I的新型多配体取代的金属化合物配制的新型组合物在图案化衬底上形成涂层的方法。此外,通过在90-200℃的温度下加热该涂覆膜30-120秒来图案化该新型涂层,以使得该涂覆及烘烤的膜含有10-60重量%的总氧化物。本发明还涉及使用该填充的光致抗蚀剂图案作为负性硬掩模,其中光致抗蚀剂的未填充区域用适当的等离子体如氧等离子体去除以造成图像色调反转。本发明还涉及在烘烤和等离子体转移该硬掩模后使用剥离剂(stripper)去除该组合物。
发明详述
除非另行说明,本文中所用的连接词“和”意在为包括性的,连接词“或”并非意在为排他性的。例如,短语“或,另一选择”意在为排他性的。
本文中所用的术语“和/或”指的是前述元素的任意组合,包括使用单一元素。
本文中所用的术语“烷基”指的是直链或环状链烷基取代基以及其任意支链异构体。
本文中所用的术语“亚烷基”指的是具有通式-(CH2)n-的直链双官能化亚烷基取代基,其中n为大于0的整数。
本文中所用的术语“支链亚烷基”是指存在烷基取代基的亚烷基取代基。
本文中所用的术语“亚环烷基”指的是含有环状烃的二取代烃部分,该连接点可以在环状烃自身上或在该环状烃上的侧基烃取代基上。
本文中所用的术语“芳基”指的是衍生自芳族环的任何官能团或取代基,如苯基、萘基、噻吩基、吲哚基等等。
本文中所用的术语“二酮”指的是具有两个酮基团的任何溶剂,非限制性实例是二乙酰基、乙酰基丙酮和己烷-2,5-二酮。
本文中所用的术语“硅基聚合物”指的是硅聚合物以及有机硅聚合物,并包括低聚物材料如二聚体、三聚体等等。
本文中所用的术语“组合物”和“制剂”可互换使用并指同一事物。
本文中公开并要求保护的是以下结构(I)的可溶性多配体取代的金属化合物:
其中M是金属并且n是1至20,并且其中R1、R2、R3和R4的至少一个是i),并且R1、R2、R3和R4的至少一个是ii),其中i)是具有至少2个碳的带有硅的有机部分,并且ii)是有机部分(II),
其中R8选自C2-C10亚烷基、C3-C12支链亚烷基、C5-C12亚环烷基、含有C=C双键的C2-C10亚烷基、含有C=C双键的C3-C12支链亚烷基和含有C=C双键的C5-C12亚环烷基,并且R9是氢或烷氧基羰基部分(III),其中R10是C1-C8烷基,
条件是带有具有至少2个碳的有机部分的带有硅的部分i)为全部基团R1、R2、R3和R4的大约10摩尔%至大约80摩尔%,并且ii)为全部基团R1、R2、R3和R4的大约20摩尔%至大约90摩尔%。该金属M可以选自合适金属的名单,该名单包括例如钛、锆、钽、铅、锑、铊、铟、镱、镓、铪、铝、镁、钼、锗、锡、铁、钴、镍、铜、锌、金、银、镉、钨、或铂以及其它过渡金属。该金属化合物可以是单原子的,n为大约1。该金属化合物还可以是多原子的,n为大约2至大约20,产生交替的金属-氧片段的链。该多原子化合物可以仅含有一种类型的金属原子,如钛,或者它们可以具有掺入到金属-氧骨架中的其它金属,如硅和锆。在混合金属多原子金属化合物中各金属的量可以为0.001%至99.999%,取决于最终残留的金属氧化物层的所需特性。这些新型金属化合物是稳定的,即使在暴露于空气至多24小时并随后储存至少一周之后仍具有良好的填充性质并仍可在化学溶液中剥离。
在一个实施方案中,结构(I)的多配体取代的金属化合物的金属选自钛、锆和铪。该金属的一个实例是钛。
在一个实施方案中,该新型化合物是其中结构(I)的可溶性多配体取代的金属化合物以R1、R2、R3和R4的总数的大约30摩尔%至大约60摩尔%的含量具有带有具有至少2个碳的有机部分的带有硅的部分i),并还具有以大约30摩尔%至大约60摩尔%的含量存在的有机部分ii)。
在另一个实施方案中,该可溶性多配体取代的金属化合物具有以下结构(I):
其中M是金属并且n是1至20,并且其中R1、R2、R3和R4的至少一个是i),并且R1、R2、R3和R4的至少一个是ii),并且R1、R2、R3和R4的至少一个是C1-C8烷基部分,此外其中i)是具有至少2个碳的带有硅的有机部分,并且ii)是有机部分(II),
其中R8选自C2-C10亚烷基、C3-C12支链亚烷基、C5-C12亚环烷基、含有C=C双键的C2-C10亚烷基、含有C=C双键的C3-C12支链亚烷基和含有C=C双键的C5-C12亚环烷基,并且R9是氢或烷氧基羰基部分(III),其中R10是C1-C8烷基,
条件是带有具有至少2个碳的有机部分的带有硅的部分i)为全部基团R1、R2、R3和R4的大约10摩尔%至大约80摩尔%,并且ii)为全部基团R1、R2、R3和R4的大约20摩尔%至大约90摩尔%。存在的C1-C8烷基部分为基团R1、R2、R3和R4的总数的高于大约0摩尔%至大约50摩尔%,或基团R1、R2、R3和R4的总数的大约0摩尔%至35摩尔%。
当n为2至20时,上述结构(I)的可溶性多配体取代的金属化合物具有超过一种类型的金属。
在上述结构(I)的可溶性多配体取代的金属化合物中,该具有至少2个碳的带有硅的有机部分i)例如是三取代甲硅烷基部分(IV)和硅氧烷部分(V)
其中R5和R6独立地选自C1-C8烷基部分、C3-C12支链烷基、芳基部分,R7独立地选自C1-C8烷基部分、芳基部分和羟基,并且R8选自氢、C1-C8烷基、被羟基取代的C1-C8烷基、羧酸基团(-CO2H)或芳基部分,并且进一步地,其中p代表硅氧烷部分(v)中重复单元的数量且p为大约1至20。
在一个实施方案中,结构(I)的可溶性多配体取代的金属化合物是其中M为钛,n为4至16,有机部分ii)选自
在另一个实施方案中,结构(I)的可溶性多配体取代的金属化合物是其中M为钛,并且n为4至16,带有硅的有机部分i)选自
如下文所述,该新型多配体取代的金属化合物由其醇盐或乙酰丙酮化物(acac)制备。该烷氧基或acac金属化合物与含SiOH化合物(例如三甲基硅烷醇)、低聚物或聚合物(例如聚二甲基硅氧烷(具有羟基端基))反应,产生具有至少2个碳的带有硅的有机部分i),随后是与酸酐、环状酸酐或羧酸反应,产生该有机部分(II)。可以构成该多配体取代金属化合物上的R1、R2、R3、R4的一部分的任选C1-C8烷基取代基可以来自于使用残余醇盐或醇盐金属前体,或通过使用C1-C8醇作为制备该多配体取代的金属化合物中的附加试剂。该反应在溶剂中进行,所述溶剂可以溶解该醇盐或acac前体以及其它试剂。用于该反应的典型溶剂或溶剂混合物含有酯、醚或醇官能团,例如丙二醇甲基醚乙酸酯(PGMEA)和丙二醇甲基醚(PGME)按体积计70/30的混合物。可以使用的其它溶剂的实例是烃类如环己烷、苯、甲苯等等。应当注意的是,可以根据需要使用超过两种配体与该烷氧基金属反应。
本文中还公开的是包含溶解在有机溶剂中的本文中所述的新型化合物的新型组合物。该溶剂可以选自含有醇、酯、酮、羧酸、酰胺、芳族部分、或二酮的溶剂或溶剂混合物。合适的溶剂的具体实例如下:
合适的溶剂的具体实例是低级醇(C1-C6)如异丙醇、正丁醇、叔丁醇、1-戊醇和4-甲基-2-戊醇,二醇如乙二醇和丙二醇,二酮如二乙酰基、乙酰基丙酮和己烷-2,5-二酮,二醇醚衍生物如乙基溶纤剂、甲基溶纤剂、丙二醇单甲醚、二乙二醇单甲醚、二乙二醇单乙醚、二丙二醇二甲醚、丙二醇正丙醚或二乙二醇二甲醚;二醇醚酯衍生物如乙酸乙基溶纤剂、乙酸甲基溶纤剂或丙二醇单甲醚乙酸酯;羧酸酯如乙酸乙酯、乙酸正丁酯和乙酸戊酯;二元酸的羧酸酯如草酸二乙酯和丙二酸二乙酯;二醇的二羧酸酯如乙二醇二乙酸酯和丙二醇二乙酸酯;以及羟基羧酸酯如乳酸甲酯、乳酸乙酯、乙醇酸乙酯和乙基-3-羟基丙酸酯;酮酯如丙酮酸甲酯或丙酮酸乙酯;烷氧基醇如1-甲氧基-2-丙醇、2-甲氧基乙醇、乙氧基乙醇;烷氧基羧酸酯如3-甲氧基丙酸甲酯、3-乙氧基丙酸乙酯、2-羟基-2-甲基丙酸乙酯或甲基乙氧基丙酸酯;酮衍生物如甲乙酮、乙酰基丙酮、环戊酮、环己酮或2-庚酮;酮醚衍生物如二丙酮醇甲基醚;酮醇衍生物如丙酮醇或二丙酮醇;内酯如丁内酯和γ-戊内酯;酰胺衍生物如二甲基乙酰胺或二甲基甲酰胺,芳族溶剂如苯甲醚,以及其混合物。
该组合物的总固含量为大约1至大约40重量%或大约5至大约30重量%。
本公开的多配体取代的金属化合物用于制备可用于半导体工业和相关工业的含有金属氧化物的层,并可以在没有任何其它组分的溶剂中使用。
但是,包含本文中所述的新型化合物的该新型组合物可以包括提高涂层性能的其它组分,例如低级醇(C1-C6醇)、烷氧基醇、内酯、C1-C20烷基羧酸、表面流平剂或表面活性剂(<总固体的5重量%或全部组合物的0.01至1重量%)、二烷氧基双(β二酮酯)(总固体的1-20重量%或5-10重量%)、二烷氧基双(β二酮)(总固体的1-20重量%或5-10重量%)、热生酸剂、光生酸剂、热生碱剂或热自由基发生剂。二烷氧基双(β二酮酯)和二烷氧基双(β二酮)的实例是乙酰基丙酮、苯甲酰基丙酮、4,4,4-三氟-1-苯基-1,3-丁二酮以及乙酰乙酸乙酯;或任选聚合物组分如聚(甲基)丙烯酸、聚(甲基)丙烯酸酯、以及缩合聚合物如聚酯、酚醛清漆树脂、硅氧烷树脂或有机倍半硅氧烷。这些聚合物可以根据烘烤后最终薄膜的所需性质单独使用或彼此结合使用。这些聚合物通常是交联聚合物,含有大量相同或不同的交联取代基的任一种,如环氧基、羟基、硫醇、胺、酰胺、酰亚胺、酯、醚、脲、羧酸、酸酐等等。交联基团的其它实例包括缩水甘油基醚基团、缩水甘油基酯基团、缩水甘油基氨基基团、甲氧基甲基、乙氧基甲基、苄氧基甲基、二甲基氨基甲基、二乙基氨基甲基、二羟甲基氨基甲基、二羟乙基氨基甲基、吗啉基甲基、乙酰氧基甲基、苄氧基甲基、甲酰基、乙酰基、乙烯基和异丙烯基。
表面流平剂或表面活性剂可以是聚乙二醇十二烷基醚、聚氧乙烯油烯基醚、聚乙二醇十八烷基醚、聚乙二醇叔辛基苯基醚、氟基表面活性剂和硅基表面活性剂。可以使用具有下列商品名的表面活性剂:Brij30、Brij52、Triton X-100、FC4430、KP341、Tween 80等等。
为了进一步交联该组合物,可以加入交联添加剂,包括例如基于双酚A的环氧化合物,基于双酚F的环氧化合物,基于双酚S的环氧化合物,基于酚醛清漆树脂的环氧化合物,基于聚(羟基苯乙烯)的环氧化合物,三聚氰胺化合物,苯并胍胺化合物和脲化合物。
热活化催化剂,如热生酸剂,也可以加入到该组合物中以便在热固化该涂覆组合物期间辅助交联。热生酸剂可以在高于90℃下,例如高于120℃和高于150℃下活化。热生酸剂的实例包括不含金属的鋶盐和碘鎓盐,如非亲核强酸的三芳基鋶、二烷基芳基鋶和二芳基烷基鋶盐,非亲核强酸的烷基芳基碘鎓、二芳基碘鎓盐;以及非亲核强酸的铵、烷基铵、二烷基铵、三烷基铵、四烷基铵盐。烷基或芳基磺酸的2-硝基苄基酯和磺酸的其它酯,其热分解以提供游离的磺酸。其它实例包括全氟烷基磺酸二芳基碘鎓、三(氟烷基磺酰基)甲基化二芳基碘鎓、双(氟烷基磺酰基)甲基化二芳基碘鎓、双(氟烷基磺酰基)酰亚胺二芳基碘鎓、全氟烷基磺酸二芳基碘鎓或季铵。不稳定酯的实例:甲苯磺酸2-硝基苄酯、甲苯磺酸2,4-二硝基苄酯、甲苯磺酸2,6-二硝基苄酯、甲苯磺酸4-硝基苄酯;苯磺酸酯如4-氯苯磺酸2-三氟甲基-6-硝基苄酯、4-硝基苯磺酸2-三氟甲基-6-硝基苄酯;酚类磺酸酯如4-甲氧基苯磺酸苯酯;三(氟烷基磺酰基)甲基化季铵和双(氟烷基磺酰基)酰亚胺四芳烷基铵,有机酸的烷基铵盐如10-樟脑磺酸的三乙基铵盐。各种芳族(蒽、萘或苯衍生物)磺酸胺盐可以用作该TAG,包括美国专利号3,474,054、4,200,729、4,251,665和5,187,019中公开的那些。该TAG可以在170-220℃的温度下具有非常低的挥发性。
热活化过氧化物也可用于本组合物中,如过氧化苯甲酰、3,5-二氯过氧化苯甲酰等等。
本公开的组合物含有基于固体的大于20重量%的多配体取代的金属化合物,例如基于固体的大于50重量%或大于90重量%。两种或更多种具有相同或不同金属的金属化合物可以用在制剂中。任选聚合物组分(参见上文聚合物的详细说明)在使用时为基于固体的小于大约80重量%,如基于固体的小于50重量%、小于10重量%。该交联添加剂以基于固体的2至30重量%存在。涂覆添加剂中常见的其它添加剂可以加入,例如润湿剂、表面活性剂、消泡剂、触变剂等等。
所选溶剂或溶剂共混物中固体的总百分比为大约1至40重量%,如大约5至30%重量%。
该新型化合物和包含该新型化合物的制得的组合物在暴露于空气并随后储存时是稳定的。该材料可以暴露于空气至多24小时并随后储存至少1周而不发生光刻性质的任何劣化(如涂层缺陷)。此外,该新型材料可以通过湿法剥离剂如去除烘烤膜的化学溶液来除去。
本公开的组合物可以涂覆到衬底表面上,如低介电常数材料、硅、涂有金属表面的硅衬底、涂覆铜的硅晶片、铜、铝、聚合树脂、二氧化硅、金属、掺杂二氧化硅、氮化硅、钽、多晶硅、陶瓷、铝/酮混合物、任何金属氮化物如AlN;砷化镓和其它此类第III/V族化合物。该衬底还可以是其它抗反射涂层或底层,如涂覆在上述衬底上的高碳底层。该衬底可以包含任意数量的由上述材料制成的层。
该组合物还可以如上所述使用本领域技术人员公知的技术涂覆到图案化衬底上。该图案化衬底可以是任何图案化衬底,例如作为非限制性实例的用包括通孔、沟槽、孔洞和/或其它中空形貌特征的特征图案化的光致抗蚀剂。在图案化衬底上的涂层的膜厚度为大约20纳米至大约600纳米,如大约60纳米至大约400纳米,取决于抗蚀剂中形貌特征的深度。该涂层可以在加热板上或对流烘箱中进一步加热足够长的时间以去除大部分溶剂和任选诱导固化。该烘烤温度可以为大约90℃至大约250℃下大约30秒至大约5分钟,如大约110℃至大约200℃下大约1至大约2分钟。该膜的组合物在正常烘烤条件下含有大约10至大约50重量%的总氧化物或大约20至大约35重量%的总氧化物。
烘烤过的金属氧化物膜或残余硬掩模,在基于氧等离子的图案转移后,可以使用化学剥离剂有利地除去,所述化学剥离剂如酸、碱、过氧化物及其混合物。例如85%的磷酸、稀硫酸、3%HF、10%TMAH、10%过氧化氢、碱性过氧化物水溶液及其混合物。剥离时间在大约室温至大约70℃下为大约5秒至大约120秒,取决于膜固化条件。其它剥离方法可以与金属氧化物膜的加工条件结合使用。例如,当该膜在较低温度或较短时间下烘烤时,该剥离剂可以稀释,该时间可以缩短和/剥离温度可以降低,或者供选择地,当在没有交联剂(即未固化)的条件下在低温下烘烤时,烘烤的涂层可以用原始涂覆溶剂来剥离。
本公开的可溶性多配体取代的金属化合物、它们的组合物和使用方法也可用于制备抗反射涂层。在本申请中,必须存在足够的发色团(例如芳基或含有碳碳双键的烷基、支链烷基或环烷基),以使得在193纳米的曝光波长下折射率n(折光指数)为大约1.4至大约2.0,而k(消光系数)(复折射率nc=n-jk的一部分)为大约0.1至大约0.8。该n和k值可以使用椭圆率计来计算,如J.A.Woollam WVASE VU-32TM椭圆率计。k和n的最佳范围的确切值取决于所用的曝光波长和应用类型。通常对于193nm,k的优选范围为大约0.1至大约0.8,对于248nm,k的优选范围为大约0.15至大约0.8,但是,其它曝光波长如DUV和超DUV可以使用,并且该组合物将调节与其结合使用。
在本发明的一个应用中,该光致抗蚀剂初始涂覆在新型涂层自身上,而在另一应用中,该新型涂层施加到含有通孔和/或沟槽的成像抗蚀剂膜上以充当填充化合物。
光致抗蚀剂可以是半导体工业中使用的任意类型,只要该光致抗蚀剂和该抗反射涂层中的光活性化合物在用于成像过程的曝光波长下显著吸收。可用于浸没式光刻法的光致抗蚀剂是优选的。适于用浸没式光刻法成像的光致抗蚀剂通常可以使用,其中此类光致抗蚀剂具有高于1.85的折射率,并且还是疏水性的,具有75°至95°的水接触角。
迄今为止,存在多种已经在小型化方面提供显著进展的主要的深紫外(uv)曝光技术,并具有250纳米至10纳米,如248纳米、193纳米、157和13.5纳米的光化辐射。通常使用化学增幅型光致抗蚀剂。用于248纳米的光致抗蚀剂通常基于取代的聚羟基苯乙烯及其共聚物/鎓盐,如US 4,491,628和US 5,350,660中描述的那些。在另一方面,用于在193纳米和157纳米下曝光的光致抗蚀剂需要非芳族聚合物,因为芳烃在该波长下是不透明的。US 5,843,624和US 6,866,984公开了可用于193纳米曝光的光致抗蚀剂。通常,含有脂环族烃类的聚合物用于低于200纳米曝光的光致抗蚀剂。脂环族烃类出于许多原因掺入该聚合物中,主要是由于它们具有相对高的碳/氢比,这改善了耐蚀刻性,它们还提供了在低波长下的透明度,并且它们具有相对高的玻璃化转变温度。US 5,843,624公开了通过马来酸酐和不饱和环状单体的自由基聚合反应获得的用于光致抗蚀剂的聚合物。可以使用任何已知类型的193纳米光致抗蚀剂,如US 6,447,980和US 6,723,488中描述的那些,其经此引用并入本文。两类基本的在157纳米下敏感并基于具有氟代醇侧基的氟化聚合物光致抗蚀剂已知在该波长下基本透明。一类157纳米氟代醇光致抗蚀剂衍生自含有诸如氟化降冰片烯的基团的聚合物,并采用金属催化或自由基聚合反应均聚或与其它透明单体如四氟乙烯(US 6,790,587和US 6,849,377)共聚。通常,这些材料提供更高的吸光度,但是因其高脂环含量而具有良好的等离子蚀刻耐受性。更近来,描述了一类157纳米氟代醇聚合物,其中该聚合物骨架衍生自非对称二烯如1,1,2,3,3-五氟-4-三氟甲基-4-羟基-1,6-庚二烯(US 6,818,258)的共聚合或氟代二烯与烯烃的共聚合(US 6,916,590)。这些材料在157纳米下提供可接受的吸光度,但是由于它们与氟代降冰片烯聚合物相比较低的脂环含量而具有较低的等离子蚀刻耐受性。这两类聚合物常常共混以便在第一聚合物类型的高耐蚀刻性和第二聚合物类型在157纳米下的高透明度之间提供平衡。吸收13.5纳米的超紫外辐射(EUV)的光致抗蚀剂也是可用的,并且是本领域已知的。由此,在大约12纳米至大约250纳米范围内吸收的光致抗蚀剂是可用的。该新型涂层还可以在采用纳米压印和电子束抗蚀剂的过程中使用。
在该涂覆过程后,将该光致抗蚀剂依图像曝光。该曝光可以使用典型的曝光设备来进行。曝光的光致抗蚀剂随后在含水显影剂中显影以除去处理过的光致抗蚀剂。该显影剂优选是碱性水溶液,包括例如四甲基氢氧化铵(TMAH),通常2.38重量%的TMAH。该显影剂可以进一步包含表面活性剂。任选的加热步骤可以在显影之前和在曝光之后并入到该过程中。
涂覆和成像光致抗蚀剂的方法是本领域技术人员公知的,并且对所用光致抗蚀剂的具体类型进行优化。光致抗蚀剂图案化衬底可以随后用蚀刻气体或气体混合物在合适的蚀刻室中干法蚀刻,以便去除该底层和任选其它抗反射涂层的曝光部分。各种蚀刻气体在本领域中已知用于蚀刻底层涂层,如包含O2、CF4、CHF3、Cl2、HBr、SO2、CO等等的那些。
在一个实施方案中,该制品包含用通孔和/或沟槽图案化的光致抗蚀剂衬底,本公开的新型金属氧化物组合物涂覆于其上。该光致抗蚀剂初始成像以制造如上文公开的通孔和/或沟槽。具有在其中或向其中图案化的通孔、沟槽、孔洞或其它中空形貌特征的光致抗蚀剂膜具有用本公开的新型组合物填充的这些特征。这通过将本公开的金属氧化物组合物涂覆到图案化抗蚀剂上并烘烤该膜来实现。随后,通过使用氟基等离子体将其蚀刻掉、通过用化学溶液蚀刻、或通过化学机械抛光来除去覆盖图案化抗蚀剂顶部的本公开的任何组合物。填充有本公开的组合物的通孔、沟槽、孔洞和/或其它中空形貌特征(其中抗蚀剂特征顶部不含本公开的组合物)随后使用包含氧的气体干法等离子蚀刻,使用该金属氧化物填充的通孔、沟槽、孔洞和其它填充的中空形貌特征作为硬掩模以便通过选择性去除未填充本公开的组合物的抗蚀剂区域从而在初始图案化光致抗蚀剂的衬底中形成负性图像。
有利地,在将图像等离子体转移至该衬底后,残余的本公开的组合物可以使用化学剥离剂除去,如原始浇铸溶剂、酸、碱、过氧化物及其混合物。例如85%的磷酸、稀硫酸、3%HF、10%TMAH、10%过氧化氢、碱性过氧化物水溶液及其混合物。剥离时间在大约室温至大约70℃下为大约5秒至大约120秒,取决于膜固化条件。其它剥离方法可以与金属氧化物膜的加工条件结合使用。例如,当该膜在较低温度或较短时间下烘烤时,该剥离剂可以稀释,该时间可以缩短和/或剥离温度可以降低。如果该膜未固化(即交联),该金属硬掩模可以用浇铸溶液去除。
出于所有目的,上文中提到的各文献经此引用全文并入本文。下列具体实例将提供制造和使用本发明的组合物的方法的详细说明。但是这些实施例并非意在以任何方式限制或约束本发明的范围,并且不应解释为提供为实施本发明必须排他地采用的条件、参数或值。
实施例
在J.A.Woollam VASE32椭圆率计上测量下文实施例的金属氧化物涂层的折射率(n)和消光系数(k)值。
使用APerkin Elmer热重分析仪TGA7进行用于测量Ti重量的热重测量,在O2气氛中以120℃/分钟的加热速率由50℃加热至800℃,并在该温度下保持60分钟。
通过Whitehouse NJ的Intertek进行用于测量Ti重量%和Si含量的元素分析。
合成实施例1
将40克的丁醇钛(IV)聚合物(Ti(IV)BTP聚合物)(Sigma-Aldrich Corporation,St Louis Missouri)溶解在52克的70/30PGMEA/PGME溶剂中并在N2下倾倒至反应容器中。搅拌该溶液并将其温度升高至50℃,同时在N2下逐滴添加三甲基硅烷醇12克。反应混合物保持在60℃下2小时,随后将20克的1,2-环己烷二甲酸酐和20克的70/30PGMEA/PGME与上述反应混合物混合,反应在60℃下继续大约一小时。在冷却至室温整夜后,产物储存在棕色瓶中并仔细密封。在环己烷中采取该产物溶液的FT-IR光谱。在970cm-1下的共振被指定为Ti-O-Si伸缩频率。在150℃下烘烤60秒后,测得的总金属氧化物含量在膜中为28重量%。
合成实施例2
将40克的Ti(IV)BTP聚合物溶解在58克的70/30PGMEA/PGME溶剂中并在N2下倾倒至反应容器中。在搅拌的同时将该温度升高至50℃,并在N2下逐滴添加三甲基硅烷醇18克。反应混合物保持在60℃下2小时,随后将20克的1,2-环己烷二甲酸酐和20克的70/30PGMEA/PGME与该反应混合物混合,反应在60℃下继续大约一小时。在冷却至室温整夜后,产物储存在棕色瓶中并仔细密封。在150℃下烘烤60秒后,测得的总金属氧化物含量在膜中为32重量%。
合成实施例3
将20克的Ti(IV)BTP聚合物溶解在25克的70/30PGMEA/PGME溶剂中并在N2下倾倒至反应容器中。在搅拌的同时将该温度升高至50℃,并在N2下在搅拌的同时逐滴添加4.5克四丁基二甲基硅烷醇。反应保持在60℃下2小时,随后将5克的1,2-环己烷二甲酸酐和5克的70/30PGMEA/PGME混合到该反应混合物中,反应在60℃下继续大约一小时。在冷却至室温整夜后,产物储存在棕色瓶中并仔细密封。
合成实施例4
将40克的Ti(IV)BTP聚合物溶解在52克的70/30PGMEA/PGME溶剂中并在N2下倾倒至反应容器中。在搅拌的同时将该温度升高至50℃,并在N2下在搅拌的同时在上述TiBTP溶液中逐滴添加三甲基硅烷醇12克。反应保持在60℃下2小时,随后将15.2克的戊二酸酐和15.2克的ArF稀释剂(thinner)溶剂与上述反应混合物混合,反应在60℃下继续大约一小时。在冷却至室温整夜后,产物储存在棕色瓶中并仔细密封。
合成实施例5
将40克的Ti(IV)BTP聚合物溶解在44克的70/30PGMEA/PGME溶剂中并在N2下倾倒至反应容器中。在搅拌的同时将该温度升高至50℃,并在N2下在搅拌下添加4克聚二甲基硅氧烷(羟基封端)。反应保持在60℃下2小时,随后将20克的1,2-环己烷二甲酸酐和20克的ArF稀释剂溶剂与上述反应混合物混合,反应在60℃下继续大约一小时。在冷却至室温整夜后,产物储存在棕色瓶中并仔细密封。
合成实施例6
将40克的Ti(IV)BTP聚合物溶解在58克的ArF稀释剂溶剂中并在N2下倾倒至反应容器中。在搅拌的同时将该温度升高至50℃,并在N2下在搅拌下在上述TiBTP溶液中逐滴添加三甲基硅烷醇18克。反应保持在60℃下2小时,随后将30克的1,2-环己烷二甲酸酐和30克的ArF稀释剂溶剂与上述反应混合物混合,反应在60℃下继续大约一小时。在冷却至室温整夜后,产物储存在棕色瓶中并仔细密封。在150℃/60秒的烘烤条件下,测得的总金属氧化物含量在膜中为25重量%。
合成实施例7
将40克的Ti(IV)BTP聚合物溶解在61克的ArF稀释剂溶剂中并在N2下倾倒至反应容器中。在搅拌的同时将该温度升高至50℃,并在N2下在搅拌下在上述TiBTP溶液中逐滴添加三甲基硅烷醇21克。反应保持在60℃下2小时,随后将35克的1,2-环己烷二甲酸酐和35克的ArF稀释剂溶剂与上述反应混合物混合,反应在60℃下继续大约一小时。在冷却至室温整夜后,产物储存在棕色瓶中并仔细密封。在150℃/60秒的烘烤条件下,测得的总金属氧化物含量在膜中为23重量%。
比较合成实施例1
将40克的Ti(IV)BTP聚合物、30克的柠康酸酐和70克的PGMEA/PGME 70:30通过搅拌混合并在烧瓶中在氮气下加热。反应保持在50℃下大约4小时。在冷却至室温后,具有50%固含量的产物储存在棕色瓶中。在150℃/60秒的烘烤条件下,测得的总金属氧化物含量在膜中为28重量%。
制剂和涂覆实施例1
在PGMEA/PGME 70:30溶剂中制备来自合成实施例1的金属聚合物的10重量%溶液。在充分混合后,将该溶液旋涂在硅晶片上并在150℃下烘烤60秒。在J.A.WoollamVASE32椭圆率计上测得该抗反射涂层的折射率(n)和吸收率(k)值为n=1.67和k=0.19。
制剂和涂覆实施例2
在PGMEA/PGME 70:30溶剂中制备来自合成实施例2的金属聚合物的10重量%溶液。在充分混合后,将该溶液旋涂在硅晶片上并在150℃下烘烤60秒。在J.A.WoollamVASE32椭圆率计上测得该抗反射涂层的折射率(n)和吸收率(k)值为n=1.67和k=0.18。
制剂和涂覆实施例3
在PGMEA/PGME 70:30溶剂中制备来自合成实施例6的金属聚合物的10重量%溶液。向该溶液中添加全部组合物的0.2重量%的FC4430表面活性剂。在充分混合后,将该溶液旋涂在硅晶片上并在150℃下烘烤60秒。在J.A.Woollam VASE32椭圆率计上测得该抗反射涂层的折射率(n)和吸收率(k)值为n=1.66和k=0.13。
制剂和涂覆实施例4
在PGMEA/PGME 70:30溶剂中制备来自合成实施例7的金属聚合物的10重量%溶液。向该溶液中添加全部组合物的0.2重量%的FC4430表面活性剂。在充分混合后,将该溶液旋涂在硅晶片上并在150℃下烘烤60秒。在J.A.Woollam VASE32椭圆率计上测得该抗反射涂层的折射率(n)和吸收率(k)值为n=1.68和k=0.14。
比较制剂实施例1
在PGMEA/PGME 70:30溶剂中制备来自比较合成实施例1的金属聚合物的10重量%溶液。在充分混合后,将该溶液旋涂在硅晶片上并在150℃下烘烤60秒。在J.A.WoollamVASE32椭圆率计上测得该抗反射涂层的折射率(n)和吸收率(k)值为n=1.59和k=0.48。
测定烘烤膜中的Ti重量%
金属底层膜中的Ti重量%通过元素分析和TGA(热重分析)失重测量来测得。来自两种方法的结果是一致的。测得的总氧化物含量在150℃/60秒或160℃/60秒下烘烤的膜中为20至40重量%。基于元素分析,该膜主要包含钛化合物,以及不显著的硅含量。
沟槽填充性能评价实施例1
将具有以110纳米的最终膜厚度为目标调节的固含量的制剂实施例1的溶液在1500rpm的旋转速度下旋涂在图案化晶片上,所述图案化晶片具有70纳米(深度)×30纳米(宽度)的沟槽尺寸和1:1的线/间距(L/S)。涂覆的晶片随后在150℃/60秒下烘烤。横截面扫描电子显微镜(XSEM)数据显示优异的膜涂层品质和良好的填充性能。在沟槽区域的底部上的最终膜厚度为115纳米。
通孔填充性能评价实施例1
将具有以250纳米的最终膜厚度为目标调节的固含量的制剂实施例7的溶液在1500rpm的旋转速度下旋涂在深通孔衬底上。所用的通孔晶片具有650纳米深的通孔,通孔尺寸为~90纳米。涂覆的晶片随后在150℃/60秒下烘烤。XSEM数据对孤立和密集区域均显示优异的膜涂层品质和良好的填充性能。
比较沟槽填充性能评价实施例1
将具有以110纳米的最终膜厚度为目标调节的固含量的比较制剂实施例1的溶液在1500rpm的旋转速度下旋涂在图案化晶片上,所述图案化晶片具有70纳米(深度)×30纳米(宽度)的沟槽尺寸和1:1的线/间距(L/S)。涂覆的晶片随后在150℃/60秒下烘烤。XSEM数据显示填充性能方面的空白。
基于制剂和涂料制备的涂层的蚀刻速率
在CF4和O2蚀刻气体中的涂层实施例1&2
制备20.0重量%的用于涂层实施例1的制剂溶液和20.0重量%的用于涂层实施例2的制剂溶液用于蚀刻速率试验。涂覆的晶片随后在加热板上在150℃下加热1分钟。2110P光致抗蚀剂(可获自Electronic Materials USA Corp,70Meister Ave,Somerville,NJ)在100℃下烘烤1分钟并用作参照物。使用NE-5000N(ULVAC)仪器在顶部没有图案化光致抗蚀剂的情况下进行所有试验。使用表1中概括的条件测量各种材料的蚀刻速率。
表1
表2
如表2中所示,涂层实施例1和2的蚀刻速率显著低于氧气中的光致抗蚀剂(~40%)。同样,涂层实施例1或2相对抗蚀剂2110P针对氧的蚀刻速率比展现了本发明的新型材料针对氧基等离子体的耐受性。此外,表2中列举的蚀刻选择性展现了该新型材料具有在氧气中的高抗蚀刻性,同时保持了在氟基等离子体中的高蚀刻速率。这说明,本发明的含金属组合物可以在由抗蚀剂向衬底的图案转移中用作硬掩模。在还具有良好填充性质和良好稳定性的材料中的这种良好的蚀刻性质是所需性质的预料不到的结合。

Claims (19)

1.结构(I)的可溶性多配体取代的金属化合物:
其中M是金属并且n是1至20,并且其中R1、R2、R3和R4是带有硅的有机部分i)或有机部分ii)或C1-C8烷基部分,
其中R1、R2、R3和R4的至少一个是i)并且R1、R2、R3和R4的至少一个是ii),
其中i)是具有至少2个碳的带有硅的有机部分,并且
其中ii)是有机部分(II),
其中R8选自C2-C10亚烷基、C3-C12支链亚烷基、C5-C12亚环烷基,并且R9是烷氧基羰基部分(III),其中R10是C1-C8烷基,
条件是具有至少2个碳的带有硅的有机部分i)为全部基团R1、R2、R3和R4的10摩尔%至80摩尔%,并且ii)为全部基团R1、R2、R3和R4的20摩尔%至90摩尔%。
2.权利要求1的化合物,其中带有具有至少2个碳的有机部分的带有硅的部分i)为全部基团R1、R2、R3和R4的30摩尔%至60摩尔%,并且有机部分ii)为全部基团R1、R2、R3和R4的30摩尔%至60摩尔%。
3.权利要求1或2的化合物,其中在结构(I)中该C1-C8烷基部分为全部基团R1、R2、R3和R4的最多50摩尔%。
4.权利要求1或2的化合物,其中在结构(I)中该C1-C8烷基部分为全部基团R1、R2、R3和R4的最多35摩尔%。
5.权利要求1或2的化合物,其中所述金属选自钛、锆、钽、铅、锑、铊、铟、镱、镓、铪、铝、镁、钼、锗、锡、铁、钴、镍、铜、锌、金、银、镉、钨和铂。
6.权利要求1或2的化合物,其中当n为2至20时所述金属是超过一种金属。
7.权利要求1或2的化合物,其中具有至少2个碳的带有硅的有机部分i)选自三取代甲硅烷基部分(IV)和硅氧烷部分(V)
其中R5和R6独立地选自C1-C8烷基、C3-C12支链烷基、和芳基,R7独立地选自C1-C8烷基、芳基和羟基,并且R8选自氢、C1-C8烷基、被羟基取代的C1-C8烷基、羧酸基团和芳基,并且进一步地,其中p代表硅氧烷部分(v)中重复单元的数量。
8.权利要求7的化合物,其中具有至少2个碳的带有硅的有机部分i)是三取代甲硅烷基部分(IV)。
9.权利要求7的化合物,其中具有至少2个碳的带有硅的有机部分i)是硅氧烷部分(V)。
10.权利要求1或2的化合物,其中M是Ti,并且所述有机部分ii)选自:
并且进一步地,其中n=4-16。
11.权利要求1或2的化合物,其中M是Ti,并且具有至少2个碳的带有硅的有机部分i)选自
并且进一步地,其中n=4-16。
12.权利要求1或2的化合物,其中R8选自含有C=C双键的C2-C10亚烷基、含有C=C双键的C3-C12支链亚烷基和含有C=C双键的C5-C12亚环烷基。
13.组合物,包含:
(a)以下结构(I)的可溶性多配体取代的金属化合物
其中M是金属并且n是1至20,并且其中R1、R2、R3和R4是带有硅的有机部分i)或有机部分ii)或C1-C8烷基部分,
其中R1、R2、R3和R4的至少一个是i),并且R1、R2、R3和R4的至少一个是ii),
其中i)是具有至少2个碳的带有硅的有机部分,并且
其中ii)是有机部分(II),
其中R8选自C2-C10亚烷基、C3-C12支链亚烷基、C5-C12亚环烷基,并且R9是烷氧基羰基部分(III),其中R10是C1-C8烷基,
条件是具有至少2个碳的带有硅的有机部分i)为全部基团R1、R2、R3和R4的10摩尔%至80摩尔%,并且ii)为全部基团R1、R2、R3和R4的20摩尔%至90摩尔%,和
(b)溶剂。
14.权利要求13的组合物,其中所述组合物进一步包含表面活性剂、热生酸剂、热生碱剂、热活化过氧化物和交联添加剂中的一种或多种。
15.权利要求13或14的组合物,其中n为2至20。
16.权利要求13或14的组合物,其中n为1。
17.权利要求13或14的组合物,其中R8选自含有C=C双键的C2-C10亚烷基、含有C=C双键的C3-C12支链亚烷基和含有C=C双键的C5-C12亚环烷基。
18.制造衬底上的电子器件的方法,所述衬底包含包括通孔、沟槽、孔洞或其它中空形貌特征的图案化光致抗蚀剂,其中所述方法包括:
a.将来自权利要求13至17之一的组合物施加到衬底上;
b.烘烤膜;
c.去除上覆所述图案化光致抗蚀剂顶部的所述组合物;和,
d.用氧等离子体去除所述抗蚀剂,由此形成原始图案化抗蚀剂的负性图像。
19.权利要求18的方法,进一步包括用剥离剂组合物去除残余组合物。
CN201480047203.4A 2013-08-30 2014-08-20 作为硬掩模和填充材料的稳定的金属化合物、其组合物以及使用方法 Active CN105492972B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/015,222 US9296922B2 (en) 2013-08-30 2013-08-30 Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
US14/015,222 2013-08-30
PCT/EP2014/067749 WO2015028371A1 (en) 2013-08-30 2014-08-20 Stable metal compounds as hardmasks and filling materials, their compositions and methods of use

Publications (2)

Publication Number Publication Date
CN105492972A CN105492972A (zh) 2016-04-13
CN105492972B true CN105492972B (zh) 2019-09-06

Family

ID=51359408

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480047203.4A Active CN105492972B (zh) 2013-08-30 2014-08-20 作为硬掩模和填充材料的稳定的金属化合物、其组合物以及使用方法

Country Status (9)

Country Link
US (1) US9296922B2 (zh)
EP (1) EP3039484B1 (zh)
JP (2) JP6786391B2 (zh)
KR (1) KR102132509B1 (zh)
CN (1) CN105492972B (zh)
IL (1) IL243884A0 (zh)
SG (1) SG11201600372VA (zh)
TW (1) TWI642698B (zh)
WO (1) WO2015028371A1 (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5889568B2 (ja) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 酸化タングステン膜形成用組成物およびそれを用いた酸化タングステン膜の製造法
US9315636B2 (en) 2012-12-07 2016-04-19 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds, their compositions and methods
US9201305B2 (en) 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US9418836B2 (en) 2014-01-14 2016-08-16 Az Electronic Materials (Luxembourg) S.A.R.L. Polyoxometalate and heteropolyoxometalate compositions and methods for their use
US9409793B2 (en) 2014-01-14 2016-08-09 Az Electronic Materials (Luxembourg) S.A.R.L. Spin coatable metallic hard mask compositions and processes thereof
US9583358B2 (en) 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
KR102287343B1 (ko) 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR102287344B1 (ko) * 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US9499698B2 (en) 2015-02-11 2016-11-22 Az Electronic Materials (Luxembourg)S.A.R.L. Metal hardmask composition and processes for forming fine patterns on semiconductor substrates
KR102384226B1 (ko) 2015-03-24 2022-04-07 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴 형성방법
KR102463893B1 (ko) 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
WO2017054121A1 (en) * 2015-09-29 2017-04-06 Rohm And Haas Electronic Materials Llc Method of making composite multilayer structure
CN108028178A (zh) * 2015-09-29 2018-05-11 罗门哈斯电子材料有限责任公司 制备石墨碳薄片的方法
WO2017127233A1 (en) * 2016-01-20 2017-07-27 Applied Materials, Inc. Hybrid carbon hardmask for lateral hardmask recess reduction
KR20170098173A (ko) * 2016-02-19 2017-08-29 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
US10120277B2 (en) * 2016-02-19 2018-11-06 Jsr Corporation Radiation-sensitive composition and pattern-forming method
US10254650B2 (en) * 2016-06-29 2019-04-09 Honeywell International Inc. Low temperature SC1 strippable oxysilane-containing coatings
JP2020514509A (ja) 2017-03-16 2020-05-21 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung リソグラフィ用組成物及びそれの使用法
US20180282165A1 (en) * 2017-03-28 2018-10-04 Rohm And Haas Electronic Materials Llc Method of forming a multilayer structure
US11034847B2 (en) 2017-07-14 2021-06-15 Samsung Electronics Co., Ltd. Hardmask composition, method of forming pattern using hardmask composition, and hardmask formed from hardmask composition
KR102433666B1 (ko) 2017-07-27 2022-08-18 삼성전자주식회사 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
KR102486388B1 (ko) 2017-07-28 2023-01-09 삼성전자주식회사 그래핀 양자점의 제조방법, 상기 제조방법에 따라 얻어진 그래핀 양자점을 포함한 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
TWI755564B (zh) * 2017-09-06 2022-02-21 德商馬克專利公司 含有旋轉塗佈無機氧化物的組合物、製造電子裝置之方法以及在矽基板上塗佈硬遮罩組合物之方法
JP7119997B2 (ja) * 2018-12-28 2022-08-17 信越化学工業株式会社 感光性樹脂組成物、積層体、及びパターン形成方法
CN110172155A (zh) * 2019-05-27 2019-08-27 武汉华星光电半导体显示技术有限公司 硬化层材料、硬化层材料的制备方法及显示装置
KR102626153B1 (ko) 2019-07-08 2024-01-16 메르크 파텐트 게엠베하 에지 보호층 및 잔류 금속 하드마스크 성분을 제거하기 위한 린스 및 이의 사용 방법
US20210109451A1 (en) * 2019-10-11 2021-04-15 Merck Patent Gmbh Spin-on metal oxide materials of high etch resistance useful in image reversal technique and related semiconductor manufacturing processes
TWI817073B (zh) * 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
JP2024068637A (ja) 2022-11-08 2024-05-20 信越化学工業株式会社 金属含有膜形成用化合物、金属含有膜形成用組成物、及びパターン形成方法
JP2024089633A (ja) 2022-12-21 2024-07-03 信越化学工業株式会社 金属含有膜形成用重合体、金属含有膜形成用組成物、及びパターン形成方法
JP2024091495A (ja) 2022-12-22 2024-07-04 信越化学工業株式会社 金属含有膜形成用化合物、金属含有膜形成用組成物、及びパターン形成方法
JP2024097389A (ja) * 2023-01-06 2024-07-19 信越化学工業株式会社 金属含有膜形成用化合物、金属含有膜形成用組成物、及びパターン形成方法
JP2024097388A (ja) * 2023-01-06 2024-07-19 信越化学工業株式会社 金属含有膜形成用化合物、金属含有膜形成用組成物、及びパターン形成方法
JP2024116024A (ja) * 2023-02-15 2024-08-27 信越化学工業株式会社 金属含有膜形成用化合物、金属含有膜形成用組成物、パターン形成方法
JP2024122656A (ja) 2023-02-28 2024-09-09 信越化学工業株式会社 金属含有膜形成用化合物、金属含有膜形成用組成物、及びパターン形成方法
EP4432011A1 (en) 2023-03-13 2024-09-18 Shin-Etsu Chemical Co., Ltd. Method for forming resist underlayer film and pattering process

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3035071A (en) * 1957-06-24 1962-05-15 Du Pont Titanium acylate silicone copolymers
US3625934A (en) * 1968-07-02 1971-12-07 Jacobus Rinse Oligomers of mixed tetravalent element oxides
CN1954271A (zh) * 2004-05-11 2007-04-25 Tdk株式会社 全息照相记录材料及全息照相记录介质
CN101605854A (zh) * 2006-12-20 2009-12-16 Az电子材料美国公司 抗反射涂层组合物

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US3758269A (en) * 1971-10-12 1973-09-11 Sybron Corp Anionically modified nylon in a jute backed carpet cation dyes and long chain alkyl quaternary ammonium salt applied to
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
US4347347A (en) * 1979-06-28 1982-08-31 Ube Industries, Ltd. Crosslinked organometallic block copolymers and process for production thereof
JPS56159223A (en) * 1980-05-13 1981-12-08 Seishi Yajima Production of heat-resistant compound
US4416789A (en) * 1982-02-01 1983-11-22 Rca Corporation High density information disc lubricants
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
US4529766A (en) * 1984-06-08 1985-07-16 The B. F. Goodrich Company Carboxylated rubber composition containing scorch inhibitor
JPH0627270B2 (ja) * 1986-06-03 1994-04-13 日本曹達株式会社 アルコキシチタン系表面処理剤
JPS6356529A (ja) 1986-08-27 1988-03-11 Nissan Chem Ind Ltd 新規チタノシロキサン重合体の製造法
US5178989A (en) * 1989-07-21 1993-01-12 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
JPH03138922A (ja) 1989-10-24 1991-06-13 Matsushita Electric Ind Co Ltd 微細パターン形成方法
DE69125634T2 (de) 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
JPH0632756A (ja) 1992-07-14 1994-02-08 Ube Ind Ltd ビス(ヒドロキシフェニル)メタン類の製造方法
US5563228A (en) * 1994-02-25 1996-10-08 Dow Corning Asia, Ltd. Method for the preparation of polyheterosiloxanes
JP3542156B2 (ja) * 1994-02-25 2004-07-14 ダウ コーニング アジア株式会社 有機溶媒に可溶なポリチタノシロキサンの製造方法
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US5772978A (en) 1996-04-24 1998-06-30 Minnesota Mining And Manufacturing Company Process for producing tungsten oxide
US6808859B1 (en) 1996-12-31 2004-10-26 Hyundai Electronics Industries Co., Ltd. ArF photoresist copolymers
US5879859A (en) 1997-07-16 1999-03-09 International Business Machines Corporation Strippable photoimageable compositions
JP2000010293A (ja) 1998-06-17 2000-01-14 Jsr Corp 反射防止膜形成用組成物および反射防止膜
US6849377B2 (en) 1998-09-23 2005-02-01 E. I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
US6790587B1 (en) 1999-05-04 2004-09-14 E. I. Du Pont De Nemours And Company Fluorinated polymers, photoresists and processes for microlithography
US6348299B1 (en) 1999-07-12 2002-02-19 International Business Machines Corporation RIE etch resistant nonchemically amplified resist composition and use thereof
AU2001274579A1 (en) 2000-06-21 2002-01-02 Asahi Glass Company, Limited Resist composition
US6242156B1 (en) 2000-06-28 2001-06-05 Gary Ganghui Teng Lithographic plate having a conformal radiation-sensitive layer on a rough substrate
US6447980B1 (en) 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
US20020155389A1 (en) 2000-10-24 2002-10-24 Bharath Rangarajan Inverse resist coating process
JP3800538B2 (ja) 2001-02-09 2006-07-26 旭硝子株式会社 レジスト組成物
WO2002083764A1 (fr) 2001-04-09 2002-10-24 Sekisui Chemical Co., Ltd. Composition photoreactive
US6723488B2 (en) 2001-11-07 2004-04-20 Clariant Finance (Bvi) Ltd Photoresist composition for deep UV radiation containing an additive
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6740469B2 (en) 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US6872506B2 (en) 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
JP2004179254A (ja) 2002-11-25 2004-06-24 Renesas Technology Corp 半導体装置の製造方法
US20040171743A1 (en) 2003-01-21 2004-09-02 Terry Brewer, Ph.D. Hybrid organic-inorganic polymer coatings with high refractive indices
EP1462473B1 (en) 2003-03-14 2011-07-06 Nippon Shokubai Co., Ltd. Surface crosslinking method of water-absorbing resin powder
CN100548576C (zh) 2003-04-25 2009-10-14 Jsr株式会社 抛光垫和化学机械抛光方法
DE10352139A1 (de) * 2003-11-04 2005-06-09 Basell Polyolefine Gmbh Organoübergangsmetallverbindung, Biscyclopentadienylligandsystem und Verfahren zur Herstellung von Polyolefinen
JP4131864B2 (ja) 2003-11-25 2008-08-13 東京応化工業株式会社 化学増幅型ポジ型感光性熱硬化性樹脂組成物、硬化物の形成方法、及び機能素子の製造方法
WO2005064403A1 (ja) 2003-12-26 2005-07-14 Nissan Chemical Industries, Ltd. ハードマスク用塗布型窒化膜形成組成物
JP4620967B2 (ja) 2004-04-26 2011-01-26 太陽ホールディングス株式会社 永久穴埋め用熱硬化性樹脂組成物
ITPG20040013A1 (it) * 2004-04-30 2004-07-30 Fuma Tech Gmbh Soluzioni organiche di precursori di fosfati e pirofosfati di metalli tetravalenti e loro impiego per la modificazione di elettrodi e per la preparazione di membrane composite per celle a combustibile operanti a temperature >900 centigradi e/o a bass
KR101001441B1 (ko) * 2004-08-17 2010-12-14 삼성전자주식회사 유무기 금속 하이브리드 물질 및 이를 포함하는 유기절연체 조성물
JP4811757B2 (ja) 2004-09-30 2011-11-09 独立行政法人産業技術総合研究所 メソポーラス金属酸化物複合光導波路センサー、その製造方法及びそれを用いたガスセンサー
US7563549B2 (en) 2005-05-20 2009-07-21 Xerox Corporation Imaging member
AU2006253520B2 (en) * 2005-06-03 2010-09-23 Kuraray Co., Ltd. Gas barrier laminate, method for producing same and package body using same
KR100666477B1 (ko) 2005-06-16 2007-01-11 한국과학기술연구원 산화티타늄 나노로드 및 그의 제조방법
US7326442B2 (en) 2005-07-14 2008-02-05 International Business Machines Corporation Antireflective composition and process of making a lithographic structure
JP4437226B2 (ja) 2005-08-30 2010-03-24 国立大学法人 新潟大学 光触媒膜の製造方法
WO2007032185A1 (ja) 2005-09-13 2007-03-22 Nippon Steel Chemical Co., Ltd. 熱硬化性樹脂組成物
JP4553835B2 (ja) 2005-12-14 2010-09-29 信越化学工業株式会社 反射防止膜材料、及びこれを用いたパターン形成方法、基板
KR20090055025A (ko) 2006-08-29 2009-06-01 제이에스알 가부시끼가이샤 감광성 절연 수지 조성물 및 그의 경화물
US8168372B2 (en) 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
JP4204611B2 (ja) 2006-09-25 2009-01-07 信越化学工業株式会社 フォトマスクブランクの製造方法
US7416834B2 (en) 2006-09-27 2008-08-26 Az Electronic Materials Usa Corp. Antireflective coating compositions
US8039201B2 (en) 2007-11-21 2011-10-18 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
JP5625210B2 (ja) * 2007-12-27 2014-11-19 ナガセケムテックス株式会社 硬化性組成物
JP5101541B2 (ja) 2008-05-15 2012-12-19 信越化学工業株式会社 パターン形成方法
JP5503916B2 (ja) 2008-08-04 2014-05-28 富士フイルム株式会社 レジスト組成物及びそれを用いたパターン形成方法
WO2010021030A1 (ja) 2008-08-20 2010-02-25 富士通株式会社 レジスト増感膜形成用材料、半導体装置の製造方法、半導体装置、及び磁気ヘッド
JP5336306B2 (ja) 2008-10-20 2013-11-06 信越化学工業株式会社 レジスト下層膜形成方法、これを用いたパターン形成方法、及びレジスト下層膜材料
EP2343341B1 (en) 2008-10-23 2014-08-27 Mie University Polyorganosiloxane composition and cured product thereof
US8084186B2 (en) * 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane
CN102498440B (zh) 2009-09-16 2016-11-16 日产化学工业株式会社 含有具有磺酰胺基的硅的形成抗蚀剂下层膜的组合物
JP5721992B2 (ja) 2009-10-14 2015-05-20 富士フイルム株式会社 着色硬化性組成物、レジスト液、インクジェット用インク、カラーフィルタ、カラーフィルタの製造方法、固体撮像素子、液晶ディスプレイ、有機elディスプレイ、画像表示デバイス、及び色素化合物
JP5753351B2 (ja) 2009-11-19 2015-07-22 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 電子デバイスを形成する方法
US9243347B2 (en) 2010-02-15 2016-01-26 Cornell University Process of making nanofibers
EP2400304A1 (en) 2010-06-22 2011-12-28 Centro de Investigación Cooperativa En Biomateriales ( CIC biomaGUNE) Method for the characterization of intermolecular interactions
JP5266294B2 (ja) 2010-11-01 2013-08-21 信越化学工業株式会社 レジスト下層膜材料及びこれを用いたパターン形成方法
CN103328578B (zh) 2011-01-18 2015-06-17 日立化成株式会社 树脂组合物、使用其的预浸料坯、层叠板及印刷布线板
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
JP2012237823A (ja) 2011-05-10 2012-12-06 Konica Minolta Business Technologies Inc 電子写真感光体、それを含むプロセスカートリッジおよび画像形成装置
CA2834809A1 (en) * 2011-05-13 2012-11-22 Greencentre Canada Group 11 mono-metallic precursor compounds and use thereof in metal deposition
US8568958B2 (en) * 2011-06-21 2013-10-29 Az Electronic Materials Usa Corp. Underlayer composition and process thereof
JP5889568B2 (ja) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 酸化タングステン膜形成用組成物およびそれを用いた酸化タングステン膜の製造法
US9315636B2 (en) * 2012-12-07 2016-04-19 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds, their compositions and methods
JP5830048B2 (ja) * 2013-03-15 2015-12-09 信越化学工業株式会社 チタン含有レジスト下層膜形成用組成物及びパターン形成方法
US9201305B2 (en) * 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US20150024522A1 (en) * 2013-07-22 2015-01-22 Rohm And Haas Electronic Materials Llc Organometal materials and process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3035071A (en) * 1957-06-24 1962-05-15 Du Pont Titanium acylate silicone copolymers
US3625934A (en) * 1968-07-02 1971-12-07 Jacobus Rinse Oligomers of mixed tetravalent element oxides
CN1954271A (zh) * 2004-05-11 2007-04-25 Tdk株式会社 全息照相记录材料及全息照相记录介质
CN101605854A (zh) * 2006-12-20 2009-12-16 Az电子材料美国公司 抗反射涂层组合物

Also Published As

Publication number Publication date
IL243884A0 (en) 2016-04-21
EP3039484A1 (en) 2016-07-06
US9296922B2 (en) 2016-03-29
EP3039484B1 (en) 2018-07-18
US20150064904A1 (en) 2015-03-05
KR102132509B1 (ko) 2020-07-10
KR20160048796A (ko) 2016-05-04
JP6786391B2 (ja) 2020-11-18
CN105492972A (zh) 2016-04-13
JP7050137B2 (ja) 2022-04-07
SG11201600372VA (en) 2016-02-26
TWI642698B (zh) 2018-12-01
WO2015028371A1 (en) 2015-03-05
TW201527359A (zh) 2015-07-16
JP2016537478A (ja) 2016-12-01
JP2021038394A (ja) 2021-03-11

Similar Documents

Publication Publication Date Title
CN105492972B (zh) 作为硬掩模和填充材料的稳定的金属化合物、其组合物以及使用方法
CN107251203B (zh) 金属硬掩模组合物和用于在半导体基底上形成精细图案的方法
KR101902046B1 (ko) 리소그래피 도포를 위한 소형 분자로부터의 금속-산화물 필름
KR100826104B1 (ko) 고 내에칭성 반사방지 하드마스크 조성물 및 이를 이용한패턴화된 재료 형상의 제조방법
TW200842499A (en) Antireflective coating composition based on silicon polymer
TWI833908B (zh) 包含無機氧化物組分及經炔氧基取代之旋塗碳組分且可用作具有改良儲存壽命之硬遮罩及填充材料的旋塗組合物
KR20060099532A (ko) 비아 필 및 포토리소그래피 장치를 위한 무반사 코팅 및이들의 제조 방법
TW201512325A (zh) 可溶性金屬氧化物羧酸鹽之旋轉塗佈組合物及其使用方法
CN113015940A (zh) 用于高分辨率图案化的含硅烷醇有机-无机杂化涂层
JP6978594B2 (ja) 向上した熱安定性を有する、ハードマスク及び充填材料として有用なスピンオン無機酸化物含有組成物
KR20170008038A (ko) 신규한 레지스트 하층막 형성용 중합체, 이를 포함하는 레지스트 하층막 형성용 조성물 및 이를 이용한 레지스트 패턴의 형성 방법
KR100844019B1 (ko) 카본 함량이 개선된 고 내에칭성 반사방지 하드마스크조성물, 이를 이용한 패턴화된 재료 형상의 제조방법
TWI689555B (zh) 包含金屬氧化物之材料、其製造方法及其使用方法
TW201229693A (en) Gap embedding composition, method of embedding gap and method of producing semiconductor device by using the composition

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information
CB02 Change of applicant information

Address after: Luxemburg L-1648 II 46 square,

Applicant after: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.

Address before: Luxemburg Luxemburg

Applicant before: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.

GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20201224

Address after: Darmstadt

Patentee after: AZ Electronic Materials Co.,Ltd.

Address before: Lu Senbaolusenbao

Patentee before: AZ Electronic Materials Co.,Ltd.

Effective date of registration: 20201224

Address after: Lu Senbaolusenbao

Patentee after: AZ Electronic Materials Co.,Ltd.

Address before: Lu Senbaolusenbao

Patentee before: Wisdom Buy

Effective date of registration: 20201224

Address after: Darmstadt

Patentee after: MERCK PATENT GmbH

Address before: Darmstadt

Patentee before: AZ Electronic Materials Co.,Ltd.

Effective date of registration: 20201224

Address after: Lu Senbaolusenbao

Patentee after: Wisdom Buy

Address before: Luxemburg L-1648 II 46 square,

Patentee before: AZ ELECTRONIC MATERIALS (LUXEMBOURG) S.A.R.L.