WO2004044025A2 - Anti-reflective coatings for photolithography and methods of preparation thereof - Google Patents

Anti-reflective coatings for photolithography and methods of preparation thereof Download PDF

Info

Publication number
WO2004044025A2
WO2004044025A2 PCT/US2003/036354 US0336354W WO2004044025A2 WO 2004044025 A2 WO2004044025 A2 WO 2004044025A2 US 0336354 W US0336354 W US 0336354W WO 2004044025 A2 WO2004044025 A2 WO 2004044025A2
Authority
WO
WIPO (PCT)
Prior art keywords
grams
composition
agent
absorbing
compound
Prior art date
Application number
PCT/US2003/036354
Other languages
French (fr)
Other versions
WO2004044025A3 (en
WO2004044025A8 (en
Inventor
Teresa Baldwin
Joseph Kennedy
Nancy Iwamoto
Tadashi Nakano
William Bedwell
Jason Stuck
Arlene Suedemeyer
Mello Hebert
Bo Li
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/US2002/036327 external-priority patent/WO2003044078A1/en
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Priority to AU2003295517A priority Critical patent/AU2003295517A1/en
Publication of WO2004044025A2 publication Critical patent/WO2004044025A2/en
Publication of WO2004044025A3 publication Critical patent/WO2004044025A3/en
Publication of WO2004044025A8 publication Critical patent/WO2004044025A8/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds

Definitions

  • the present invention relates generally to anti-reflective coating materials and more specifically to anti-reflective layers for use in photolithography and methods of producing the materials.
  • Organic polymer films particularly those that absorb at the i-line (365 nm) and g-line (436 nm) wavelengths conventionally used to expose photoresists, and at the recently used 157 nm, 193 nm, 248 nm wavelengths, have been employed or are being tested as anti- reflective coatings.
  • the fact that the organic ARC's share many chemical properties with the organic photoresists can limit usable process sequences.
  • ARC's including both organic and inorganic ARC's, may intermix with photoresist layers.
  • Organic and inorganic ARC's can mix with p hotoresist 1 ayers i f they are n ot s ufficiently b aked o r cured.
  • thermosetting binders as additional components of organic ARC's, as described for example in U.S. Patent No. 5,693,691 to Flaim et al.
  • Dyes niay also be incorporated in organic ARC's, as well as, optionally, additional additives such as wetting agents, adhesions promoters, preservatives, and plasticizers, as described in U. S. Patent No. 4,910,122 to Arnold et al.
  • Another attempt to avoid intermixing is found in US Patent 6,268,108 issued to Iguchi et al.
  • compositions for forming antireflective coatings found in Iguchi must be irradiated with actinic rays in order to produce an acid, which in turn activates a crosslinking reaction.
  • actinic rays in order to produce an acid, which in turn activates a crosslinking reaction.
  • Photoresists and anti-reflective coatings can also influence one another to the extent that the chemical properties of the anti-reflective coating and/or the resist material can lead the resist to "fall over" once a pattern has been developed into the resist.
  • the patterned resist sidewall can't maintain an approximate 90-degree angle with respect to the anti-reflective coating after photoresist developing. Instead the resist will take on a 120- degree or an 80-degree angle with respect to the anti-reflective coating.
  • Photoresists and anti-reflective coatings can also have substandard or unacceptable etch selectivity or stripping selectivity. Poor etch selectivity and/or stripping selectivity can lead to low etch rates for the film. Poor etch selectivity can also lead to poor transfer of critical dimensions from the printing step(s) through the etch step(s). Attempts have been made at improving the etch rate by providing highly absorbing substances with subsititution groups that can condense the silane compound to specific silane compounds, as seen in JP Patent Application No.: 2001-92122 published on April 6, 2001. However, the etch selectivity obtained with these reactive compounds a re not sufficient for most photoresists and anti-reflective coatings and require additional chemical reaction steps that may not be necessary.
  • photoresists and anti-reflective coatings often have difficulty with fill bias and voiding in via structures to the point where any planarization of the surface is severely compromised.
  • the two goals of increasing etch selectivity and minimizing fill bias and voiding directly conflict with one another, which is why it's important to review and understand the goals of each group of applications.
  • to sufficiently fill and planarize via arrays requires that a relatively thick anti-reflective coating exist. If the ARC coating is organic, such a thick coating will further compromise the accurate transfer of the as patterned critical dimension through the film stack.
  • a class of materials that can be used as an anti-reflective layer is spin-on-glass (SOG) compositions containing a dye.
  • SOG spin-on-glass
  • Yau et al., U.S. Patent No. 4,587,138 disclose a dye such as basic yellow #11 mixed with a spin-on-glass in an amount approximately 1% by weight.
  • Allman et al. U. S. Patent No. 5,100,503 disclose a cross-linked polyorganosiloxane containing an inorganic dye such as TiO 2 , Cr 2 O 7 , MoO 4 , MnO 4 , or ScO , and an adhesion promoter. Allman additionally teaches that the spin-on-glass compositions also serve as a planarizing layer.
  • the spin-on-glass, dye combinations that have been disclosed to date are not optimal for exposure to the deep ultraviolet, particularly 248 and 193 nm, light sources that are coming into use to produce devices with small feature sizes. Furthermore, not all dyes can be readily incorporated into an arbitrary spin-on-glass composition. Also, even though these ARC's are chemically different than the previously mentioned organic ARC's, the coupled resist layers can still suffer from "falling over" after being developed, as based on the chemical, physical, and mechanical incompatibility of the ARC layer and the resist layer - which is a common problem when trying to couple resist materials and anti- reflective coatings.
  • Honeywell Electronic MaterialsTM also produces ACCUSPIN® 720 that is a spin-on polymer which comprises a low dielectric constant methylphenylsilsesquioxane compound.
  • the organic content of this product is approximately 47% and is formulated in a high flashpoint solvent, such as n-propoxypropanol. Its "n” and “k” properties at 193 nm wavelength are approximately 1.9 and 0.6 respectively.
  • a spin-on silicate film be used as a sacrificial hardmask.
  • a certain degree of film density is a requirement of the substrate film, such as a UN absorbing organosiloxane film, to inhibit the diffusion of acid from the resist into itself.
  • the loss of acid through diffusion into the underlying film leads to resist footing. Interface mismatch can also lead to problems, such as resist collapse.
  • Thermal budgets limit how dense the silicate or siloxane-based films can be made through thermal processing. For the tri-layer resist process, the denser the silicate film, the better the pattern transfer through the underlying films.
  • an absorbing anti-reflective coating and lithography material that a) absorbs strongly and uniformly in the ultraviolet spectral region, b) can keep the resist material from "falling over" and expanding outside or contracting inside of the intended resist line, c) would be impervious to photoresist developers and methods of production of the SOG anti-reflective coating described; d) can satisfy any goals of increasing etch selectivity and/or stripping selectivity, e) can satisfy any goals of minimizing fill bias and voiding in via structures, and f) can satisfy goals directed to densifying the film or layer would be desirable to advance the production of layered materials, electronic components and semiconductor components.
  • An anti-reflective coating material for ultraviolet photolithography comprises at least one inorganic-based compound; at least one absorbing compound and at least one material modification agent.
  • the at least one material modification agent may comprise at least one porogen, at least one high-boiling solvent, at least one catalyst, at least one leveling agent, at least one densifying agent, at least one pH tuning agent, at least one replacement solvent, at least one capping agent, at least one adhesion promoter, such as a resin-based material and/or a combination thereof that are incorporated into the inorganic-based material or compound.
  • a method of making an absorbing anti-reflective composition includes combining at least one inorganic-based composition, at least one incorporatable absorbing compound, and at least one material modification agent that may comprise at least one porogen, at least one high-boiling solvent, at least one leveling agent, at least one catalyst, at least one densifying agent, at least one pH tuning agent, at least one capping agent, at least one adhesion promoter and/or at least one replacement solvent, an acid/water mixture, such as a nitric acid/water mixture, and at least one solvent to form a reaction mixture.
  • at least one inorganic-based composition at least one incorporatable absorbing compound
  • at least one material modification agent that may comprise at least one porogen, at least one high-boiling solvent, at least one leveling agent, at least one catalyst, at least one densifying agent, at least one pH tuning agent, at least one capping agent, at least one adhesion promoter and/or at least one replacement solvent, an acid/water mixture, such as a
  • an absorbing anti-reflective composition at least one inorganic-based composition or inorganic material, at least one incorporatable absorbing compound, at least one material modification agent, such as at least one porogen, at least one high-boiling solvent, at least one catalyst, at least one densifying agent, at least one pH tuning agent, at least one leveling agent, at least one capping agent, at least one replacement solvent, at least one adhesion promoter and/or a combination thereof, and at least one additional solvent can be combined to form a reaction mixture.
  • material modification agent such as at least one porogen, at least one high-boiling solvent, at least one catalyst, at least one densifying agent, at least one pH tuning agent, at least one leveling agent, at least one capping agent, at least one replacement solvent, at least one adhesion promoter and/or a combination thereof, and at least one additional solvent can be combined to form a reaction mixture.
  • an absorbing anti-reflective composition comprising at least one silicon-based compound, at least one incorporatable absorbing compound that absorbs light at wavelengths less than about 375 nm, and at least one material modification agent, such as at least one porogen, at least one high- boiling solvent, at least one capping agent, at least one catalyst, at least one leveling agent, at least one densifying agent, at least one pH tuning agent, at least one adhesion promoter and/or at least one replacement solvent.
  • material modification agent such as at least one porogen, at least one high- boiling solvent, at least one capping agent, at least one catalyst, at least one leveling agent, at least one densifying agent, at least one pH tuning agent, at least one adhesion promoter and/or at least one replacement solvent.
  • Figs, la - If show the chemical formulas of absorbing compounds incorporated into inorganic-based compositions and materials.
  • Figs. 2a-2h illustrate the use of absorbing anti-reflective compositions in a photolithography process.
  • Fig. 3 graphically illustrates MW (daltons) v. days at about 40°C for a contemplated compound.
  • Fig. 4 graphically illustrates MW (daltons) v. days at about 40°C for a contemplated compound.
  • Fig. 5 graphically illustrates MW (daltons) v. days at about 40°C for a contemplated compound.
  • Fig. 6 graphically illustrates Thickness (Angstroms) v. days at about 40°C for a contemplated compound.
  • Fig. 7 graphically illustrates Thickness (Angstroms) v. days at about 40°C for a contemplated compound.
  • Fig. 8 graphically illustrates Thiclcness (Angstroms) v. days at about 40°C for a contemplated compound.
  • Fig. 9 graphically illustrates Polydispersity (Mw/Mn - unitless) v. days at about 40°C for a contemplated compound.
  • Fig. 10 graphically illustrates Polydispersity (Mw/Mn - unitless) v. days at about 40°C for a contemplated compound.
  • Fig. 11 graphically illustrates Polydispersity (Mw/Mn - unitless) v. days at about 40°C for a contemplated compound.
  • Fig. 12 graphically illustrates pH (unitless) v. days at about 40°C for a contemplated compound.
  • Fig. 13 graphically illustrates pH (unitless) v. days at about 40°C for a contemplated compound.
  • Fig. 14 graphically illustrates pH (unitless) v. days at about 40°C for a contemplated compound.
  • Fig. 15 graphically illustrates Temperature (°C) v. Time (minutes) for a group of contemplated compounds.
  • Fig. 1 6 graphically illustrates % Fill (Thiclcness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound.
  • Fig. 1 7 graphically illustrates % Fill (Thiclcness over via/via depth) v. blanket film thiclcness (Angstroms) for a contemplated compound.
  • Fig. 1 8 graphically illustrates % Fill (Thiclcness over via/via depth) v. blanket film thiclcness (Angstroms) for a contemplated compound.
  • Fig. 1 9 graphically illustrates % Fill (Thickness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound.
  • Fig. 20 graphically illustrates % Fill (Thiclcness over via/via depth) v. blanket film thiclcness (Angstroms) for a contemplated compound.
  • Fig. 2 1 graphically i llustrates % Fill (Thiclcness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound.
  • Fig. 22 graphically illustrates % Fill (Thiclcness over via/via depth) v. blanket film thiclcness (Angstroms) for a contemplated compound and also shows SEM data for one comtemplated embodiment.
  • Fig. 23 graphically illustrates % Fill (Thiclcness over via/via depth) v. blanket film thiclcness (Angstroms) for a contemplated compound and also shows SEM data for one comtemplated embodiment.
  • Fig. 24 graphically illustrates % Fill (Thickness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound and also shows SEM data for one comtemplated embodiment.
  • Fig. 25 graphically illustrates % Fill (Thickness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound.
  • Fig. 26 graphically illustrates % Fill (Thiclcness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound and also shows SEM data for one comtemplated embodiment.
  • Fig. 27 graphically illustrates % Fill (Thickness over via via depth) v. blanket film thiclcness (Angstroms) for a contemplated compound and also shows SEM data for one comtemplated embodiment.
  • Fig. 28 shows SEM data for contemplated embodiments of the present subject matter.
  • Fig. 29 graphically illustrates Mw (Daltons) v. Time (Hours) for several contemplated embodiments of the present subject matter.
  • Fig. 30 graphically illustrates Film Thiclcness (Angstroms) v. Time (Hours) for several contemplated embodiments of the present subject matter.
  • Fig. 31 graphically illustrates Delta Thickness (Angstroms) v. Distance Between Vias (nm) for several contemplated embodiments.
  • Table 2 shows reflux time, temperature, gas chromatography information, thiclcness, optical properties, ratios of water, ethanol, butanol and PGMEA, density, pH and total percent solids for 6 "runs" of contemplated materials.
  • Table 3 shows the heat ramp data for the 6 runs represented in Table 2.
  • Table 4 shows the summary of the via fill versus the thiclcness and pitch for several contemplated materials at different pH measurements versus other contemplated materials with high boiling point solvents at different pH measurements.
  • Table 5 shows the Parent QC results from water control. experiments.
  • Table 6 shows the Child QC results from water control experiments.
  • Table 7 shows the data collected for Example 4, which is graphically represented in
  • Table 8 shows etch solution experiments conducted using contemplated compounds resent subject matter.
  • An anti-reflective coating material for ultraviolet photolithography comprises at least one inorganic-based compound or inorganic material; at least one absorbing compound and at least one material modification agent.
  • the at least one material modification agent may include any compound or composition that can modify the coating material to improve the photolithographic, compatibility and/or physical quality of the resulting film, such as by improving the etch selectivity and/or stripping selectivity or by minimizing the fill bias.
  • the at least one material modification agent may comprise at least one porogen, at least one leveling agent, at least one high-boiling solvent, at least one catalyst, at least one densifying agent, at least one pH tuning agent, at least one capping agent, at least one replacement solvent, at least one adhesion promoter, such as a resin-based material and/or a combination thereof that are incorporated into the inorganic-based m aterial or e ompound.
  • R esin-based adhesion promoters may comprise organic resis such as phenolic-containing resins, novolac resins, such as CRJ-406 or HRJ-11040 (both from Schenectady International, Inc.), and acrylate resin and/or a styrene resins.
  • Other adhesion promoters may comprise polydimethylsiloxane materials, ethoxy or hydroxy-containing silane monomers, vinyl- containing silane monomers, acrylated silane monomers, or silyl hydrides.
  • the absorbing compositions are further diluted in appropriate solvents to form coating solutions and applied to various layers of materials in fabricating layered materials, electronic devices, and semiconductor devices.
  • the coating solutions may be applied by any suitable method or process, including spinning onto a surface, dripping onto a surface, rolling onto a surface and/or a combination of any of these methods or processes.
  • the absorbing anti- reflective coatings are designed to be readily integrated into existing layered material, electronic component or semiconductor fabrication processes. Some properties that facilitate integration include a) developer r esistance, b ) t hermal s tability d uring s tandard p hotoresist processing, and c) selective removal with respect to underlying layers.
  • Inorganic-based compounds and/or materials and/or contemplated spin-on inorganic- based compounds and/or materials, such as silicon-based, gallium-based, germanium-based, arsenic-based, boron-based compounds or combinations thereof are contemplated herein.
  • silicon-based compounds comprise siloxane compounds, such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silazane polymers, dimethylsiloxane, diphenylsiloxane, methylphenylsiloxane, silicate polymers, silsilic acid derivaties, and mixtures thereof.
  • a contemplated silazane polymer is perhydrosilazane, which has a "transparent" polymer backbone where chromophores can be attached.
  • inorganic-based materials, inorganic compounds and spin-on-glass materials also include siloxane polymers and blockpolymers, hydrogensiloxane polymers of the general formula (Ho- ⁇ .oSiO ⁇ . 5 - 2 .o) x , hydrogensilsesquioxane polymers, which have the formula (HSiO ⁇ . 5 ) x , where x is greater than about four and derivatives of silsilic acid. Also included are copolymers of hydrogensilsesquioxane and an alkoxyhydridosiloxane or hydroxyhydridosiloxane.
  • Organosiloxane polymers acrylic siloxane polymers, silsesquioxane-based polymers, derivatives of silici acid, organohydndosiloxane polymers of the general formula (Ho- ⁇ .oSiO ⁇ .5- .o) n (Ro- ⁇ .oSiO ⁇ . 5 -2.o)m ; and organohydridosilsesquioxane polymers of the general formula (HSiO ⁇ .5 ) n (RSiO ⁇ .5 ) m , where m is greater than zero and the sum of n and m is greater than about four and R is alkyl or aryl.
  • organohydndosiloxane polymers have the sum of n and m from about four to about 5000 where R is a C ⁇ -C 2 o alkyl group or a C 6 -C ⁇ 2 aryl group.
  • the organohydndosiloxane and organohydridosilsesquioxane polymers are alternatively denoted spin-on-polymers.
  • alkylhydridosiloxanes such as methylhydridosiloxanes, ethylhydridosiloxanes, propylhydridosiloxanes, t-butylhydridosiloxanes, phenylhydridosiloxanes; and alkylhydridosilsesquioxanes, such as methylhydridosilsesquioxanes, ethylhydridosilsesquioxanes, propylhydridosilsesquioxanes, t-butylhydridosilsequioxanes, phenylhydridosilsesquioxanes, and combinations thereof.
  • spin-on material As used herein, the phrases “spin-on material”, “spin-on organic material”, “spin-on composition” and “spin-on inorganic composition” may be used interchangeable and refer to those solutions and compositions that can be spun-on to a substrate or surface. It is further contemplated that the phrase “spin-on-glass materials” refers to a subset of "spin-on inorganic materials", in that spin-on glass materials refer to those spin-on materials that comprise silicon-based compounds and/or polymers in whole or in part. h some contemplated embodiments, specific organohydridosiloxane resins utilized herein have the following general formulas:
  • R is selected from substituted and unsubstituted, normal and branched allcyls (methyl, ethyl, butyl, propyl, pentyl), alkenyl groups (vinyl, allyl, isopropenyl), cycloalkyls, cycloalkenyl groups, aryls (phenyl groups, benzyl groups, naphthalenyl groups, anthracenyl groups and phenanthrenyl groups), and mixtures thereof; and wherein the specific mole percent of carbon containing substituents is a function of the ratio of the amounts of starting materials.
  • contemplated polymers comprise a polymer backbone encompassing alternate silicon and oxygen atoms.
  • some of the polymers and inorganic-based compositions and materials utilized herein have essentially no hydroxyl or alkoxy groups bonded to backbone silicon atoms. Rather, each silicon atom, in addition to the aforementioned backbone oxygen atoms, is bonded only to hydrogen atoms and/or R groups as defined in Formulae 1, 2, 3 and 4. By attaching only hydrogen and/or R groups directly to backbone silicon atoms in the polymer, unwanted chain lengthening and cross-linking is avoided.
  • the shelf life of these resin solutions is enhanced as compared to previously known organosiloxane resins.
  • silicon-carbon bonds are less reactive than silicon hydrogen bonds, the shelf life of the organohydridosiloxane resin solutions described herein is enhanced as compared to previously known hydridosiloxane resins.
  • Silica compounds contemplated herein are those compounds found in US Issued Patents: 6,022,812; 6,037,275; 6,042,994; 6,048,804; 6,090,448; 6,126,733; 6,140,254; 6,204,202; 6,208,041; 6,318,124 and 6,319,855.
  • crosslinking refers to a process in which at least two molecules, or two portions of a long molecule, are joined together by a chemical interaction. Such interactions may occur in many different ways including formation of a covalent bond, formation of hydrogen bonds, hydrophobic, hydrophilic, ionic or electrostatic interaction. Furthermore, molecular interaction may also be characterized by an at least temporary- physical connection between a molecule and itself or between two or more molecules. In some contemplated embodiments, the p olymer b ackbone c onformation i s a c age configuration. Accordingly, there are only very low levels or reactive terminal moieties in the polymer resin given the cage conformation.
  • a cage conformation of the polymer backbone also ensures that no unwanted chain lengthening polymerization will occur in solution, resulting in an extended shelf life.
  • Each silicon atom of the polymer is bonded to at least three oxygen atoms.
  • Moieties bonded to the polymer backbone include hydrogen and the organic groups described herein.
  • the term "backbone” refers to a contiguous chain of atoms or moieties fonning a polymeric strand that are covalently bound such that removal of any of the atoms or moiety would result in interruption of the chain.
  • the term “monomer” refers to any chemical compound that is capable of forming a covalent bond with itself or a chemically different compound in a r epetitive manner.
  • monomers may themselves comprise repetitive building blocks, and when polymerized the polymers formed from such monomers are then termed "blockpolymers".
  • Monomers may belong to various chemical classes of molecules including organic, organometallic or inorganic molecules. The molecular weight of monomers may vary greatly between about 40 Dalton and 20000 Dalton. However, especially when monomers comprise repetitive building blocks, monomers may have even higher molecular weights. Monomers may also include additional groups, such as groups used for crosslinking.
  • the molecular weight of the inorganic-based compound may be increased in order to change the solubility of the material.
  • changing the solubility of the material helps to prevent voiding and increases the planarization ability of the material.
  • naphthalene-, phenanthrene- and anthracene-based compounds have significant absorption at 248 nm and below.
  • Benzene-based, equivalently termed here phenyl-based, compounds have significant absorption at wavelengths shorter than 200 nm. While these naphthalene-, anthracene-, phenanthrene- and phenyl-based compounds are frequently referred t o a s d yes, t he t erm absorbing c ompound i s u sed h ere b ecause t he absorptions o f these compounds are not limited to wavelengths in the visible region of the spectrum.
  • absorbing compounds suitable for use have a definable absorption peak centered around wavelengths such as 248 nm, 193 nm, 157 nm or other ultraviolet wavelengths, such as 365 nm, that may be used in photolithography. It is contemplated that a preferred "definable absorption peak" is one that is at least 0.5 nm in width, wherein width is calculated by those methods commonly known in the art of photolithography, more prefened embodiments, the definable absorption peak is at least 1 nm in width. In even more prefened embodiments, the definable absorption peak is at least 5 nm in width. In most prefened embodiments, the definable absorption peak is at least 10 nm in width.
  • the chromophores of suitable absorbing compounds typically have at least one benzene ring, and where there are two or more benzene rings, the rings may or may not be fused.
  • Incorporatable absorbing compounds have an accessible reactive group attached to the chromophore, wherein the reactive groups include hydroxyl groups, amine groups, carboxylic acid groups, and substituted silyl groups with silicon bonded to one, two, or three "leaving groups,” such as alkoxy groups, acetoxy groups or halogen atoms. Ethoxy or methoxy groups or chlorine atoms are frequently used as leaving groups.
  • Preferable reactive groups comprise siliconalkoxy, silicondialkoxy and silicontrialkoxy groups, such as siliconethoxy, silicondiethoxy, silicontriethoxy, siliconmethoxy, silicondimethoxy, and silicontrimethoxy groups and halosilyl groups, such as chlorosilyl, dichlorosilyl, and trichlorosilyl groups, and acetoxy groups like methyltriacetoxysilane, tetraacetoxysilane.
  • siliconalkoxy, silicondialkoxy and silicontrialkoxy groups such as siliconethoxy, silicondiethoxy, silicontriethoxy, siliconmethoxy, silicondimethoxy, and silicontrimethoxy groups and halosilyl groups, such as chlorosilyl, dichlorosilyl, and trichlorosilyl groups, and acetoxy groups like methyltriacetoxysilane, tetraacetoxysilane.
  • the reactive groups may be directly bonded to the chromophore, as, for example, in phenyltriethoxysilane, or the reactive groups may be attached to the chromophore through an ester, a ketone and/or oxygen linkage or a hydrocarbon bridge, as, for example, in 9-anthracene carboxy-alkyl trialkoxysilane.
  • the inclusion of silicontrialkoxy groups on chromophores has been found to be advantageous, especially for promoting stability of the absorbing SOG films.
  • Azo groups may be included as part of a straight-chain molecule, a cyclic molecule or a hybrid straight-chain/cyclic molecule.
  • the absorbing compounds may be incorporated interstitially in the inorganic-based material matrix.
  • the absorbing compounds may also be chemically bonded to the inorganic- based material or polymer. In some contemplated embodiments, the incorporatable absorbing compounds form bonds with the inorganic-based material backbone or polymer backbone via the accessible reactive groups.
  • Absorbing compositions and materials may also comprise a silicon-based compound and an incorporatable absorbing compound that absorbs light at wavelengths less than about 375 nm. Further, it is contemplated that in other embodiments at least one of the silicon- based compound or the incorporatable absorbing compound comprises at least one alkyl group, alkoxy group, ketone group, acetoxy group, or azo group.
  • Examples of absorbing compounds suitable for use include those absorbing compounds that have a definable absorption peak around wavelengths less than about 375 nm, such as 365 nm, 248 nm, 193 nm and 157 nm, which include compounds such as antl raflavic acid (1), 9-anthracene carboxylic acid (2), 9-anthracene methanol (3), 9- anthracene ethanol (4), 9-anthracene propanol (5), 9-anthracene butanol (6), alizarin (7), quinizarin.
  • the absorbing compound may be organic-based, as long as the ARC doesn't share the same chemical properties with the photoresist, which can limit the useable process sequences.
  • the class of photoresist materials can be broad because the addition of a material modification agent, such as a pH tuning agent, makes it possible ,to match any p hoto lithographic r esist material with an anti-reflective coating and make them compatible with one another.
  • Examples of some contemplated photolithographic resist materials comprise acrylate-based resist materials, epoxy-based chemically amplified resists, fluoropolymer resists (which are especially useful when contemplating a 157 nm absorption wavelength), poly(norbornene- maleic anhydride) alternating co-polymers, polystyrene systems and diazonaphthoquinone/novolac resists.
  • Absorbing compounds 1 - 25 and 29-41 are available commercially, for example, from Aldrich Chemical Company (Milwaukee, WI). 9-anthracene carboxy-alkyl trialkoxysilanes are synthesized using esterification methods, as described below in the Examples Section.
  • Absorbing compound 26-28 is available commercially from Gelest, Inc. (Tullytown, PA).
  • Examples of phenyl-based absorbing compounds in addition to absorbing compound (26-28), many of which are also commercially available from Gelest, Inc., include structures with silicon-based reactive groups attached to phenyl rings or to substituted phenyls, such as methylphenyl, chlorophenyl, and chloromethylphenyl.
  • phenyl-based absorbing compounds include phenyltrimethoxysilane, benzyltrichlorosilane, chloromethylphenyltrimethoxysilane, phenyltrifluorosilane, to name only a few examples.
  • Diphenyl silanes including one or two "leaving groups,” such as diphenylmethylethoxysilane, diphenyldiethoxysilane, and diphenyldichlorosilane, to again name only a few examples, are also suitable incorporatable absorbing compounds.
  • Alkoxybenzoic acids may also be used as absorbing compounds, including methoxybenzoic acid.
  • a general method of synthesizing 9-anthracene carboxy-alkyl trialkoxysilane compounds comprises using 9-anthracene carboxylic acid and a chloromethyl trialkoxysilane compound as reactants.
  • a method of synthesizing 9-anthracene carboxy-methyl triethoxysilane (18) uses 9-anthracene carboxylic acid (2) and chloromethyl triethoxysilane as reactants.
  • the reactants are combined with triethylamine and methylisobutylketone (MIBK), previously dried over 4 A molecular sieves, to form a reaction mixture which is heated to reflux and refluxed for from approximately 6 to 10 hours.
  • MIBK methylisobutylketone
  • This method is significant because it is suitable to use to produce any compound in the class of 9-anthracene carboxy-alkyl trialkoxysilanes, including 9-anthracene carboxy-ethyl triethoxysilane, 9-anthracene carboxy-propyl trimethoxysilane, and 9- anthracene carboxy-propyl triethoxysilane.
  • At least one porogen may be added to the inorganic-based composition or inorganic material in order to increase etch selectivity and/or stripping selectivity of the inorganic-based material or composition.
  • the addition of at least one porogen to the inorganic- based material will result in pores or voids being formed in the material, coating and/or film.
  • the pores or voids may be formed as a result of structural reanangement or loss of material such that a pore or void or increase in free volume is left behind.
  • the pores or voids in the material, coating and/or film create additional surface area in the coating or film which ultimately increases the etch selectivity and/or stripping selectivity of the material, coating and/or film.
  • the plasma etch rate of the film will increase with increasing porosity giving it better dry etch selectivity to photoresist. This dry etch selectivity is necessary to maintain proper transfer o f the critical dimension from printing through etch. Adding at least one porogen increases both the dry and wet etch rate of the absorbing material, coating and/or film versus the porogen content without degrading the lithographic performance of the absorbing film's antireflective properties.
  • the porogen's molecular weight can also be used to determine if the porogen is compatible with the inorganic-based compound's matrix in the material. This compatibility quotient is related to the solubility parameters of the inorganic-based compound's matrix. In an ideal case the porogen should match the solubility parameter of the matrix coating formulation before cure, so that when formulation molecular weights are known, appropriate molecular weights of the porogen can be determined by matching the solubility parameters with the matrix.
  • Solubility parameters may be determined experimentally by relationships to the refractive index, dielectric constant, surface tension and intrinsic viscsocity, or by calculation using group contribution methods or by molecular models of cohesive energy, (review ref Physical Properties of Polymers Handbook, Chapter 16 "Solubility Parmaters” Y. Du, Y. Xue, H.L. Frisch pp 227-239; James E. Mark Ed., 1996, American Institute of Physics, Woodbury, NY)
  • the porogen may serve a dual purpose or multi-stage purpose.
  • the porogen may be specifically chosen for a particular absorbing composition based on polarity and/or functional groups. Once the porogen is incorporated into the composition it will act effectively as a "magnet" to attract the stripping and/or etching solution to the porogen by utilizing a difference in polarity between the porogen or by utilizing the functional groups on the porogen. This attraction effect by the porogen can be activated in several ways.
  • the porogen activated to the point where a complete pore or void is formed and the porogen remains until the lithography step is completed.
  • the absorbing composition comprising the porogen may be further heated so that the porogen can form a pore or void.
  • the pores or voids in the material, coating and/or film create additional surface area in the coating or film which ultimately increases the etch selectivity and/or stripping selectivity of the material, coating and/or film, as described in the earlier embodiments.
  • pore includes voids and cells in a material and any other term meaning space occupied by gas in the material.
  • the term “pore” may also include a differential in material density wherein the free volume has been increased ("porous nature" has been introduced).
  • Appropriate gases include relatively pure gases and mixtures thereof. Air, which is predominantly a mixture of N 2 and O 2 is commonly distributed in the pores, but pure gases such as nitrogen, helium, argon, CO 2 or CO are also c ontemplated.
  • P ores are typically spherical but may alternatively or additionally include tubular, lamellar, discoidal, voids having other shapes, or a c ombination o f the p receding s hapes and m ay b e o pen o r closed.
  • the term "porogen” as used herein may have a variety of mechanisms available to form the pore but in general is a material which upon removal leaves behind either a "pore” or a "void” or a material that can reanange to create a "pore” or "void".
  • a porogen is a decomposable material that is radiation, thermally, chemically or moisture decomposable, degradable, depolymerizable or otherwise capable of breaking down and includes solid, liquid or gaseous material.
  • the decomposed porogen is removable from or can volatilize or diffuse through a partially or fully cross-linked matrix to create pores in a subsequently fully-cured matrix and thus, lower the matrix's dielectric constant and enhance the sacrificial properties.
  • the porogen might be a material, which does not decompose but can be dissolved out of the matrix leaving behind the "pore".
  • the porogen might be a material that does not decompose but is volatile enough to dissipate at specific elevated temperatures such as in the 250-350°C range. Supercritical materials, such as CO , may be used to remove the porogen and decomposed porogen fragments.
  • the porogen comprises a material having a decomposition temperature greater than the minimum crosslinking temperature of the material.
  • the present novel porogens have a degradation or decomposition temperature of up to about 300°C, and in some cases greater than about 300°C.
  • the degraded or decomposed porogens volatilize at a temperature greater than the minimum cross-linking temperature of the material with which the porogen is combined.
  • the degraded or decomposed porogens volatilize at a temperature between about 50° to about 450°C.
  • Suitable linear polymers are polyethers, such as poly(ethylene oxide) and poly(propylene oxide); polyacrylates such as poly(methylmethacrylate); aliphatic polycarbonates such as poly(propylene carbonate) and poly(ethylene carbonate); polyesters; polysulfones; polystyrene (including monomer units selected from halogenated styrene and hydroxy-substituted styrene); poly( ⁇ -methylstyrene); and other vinyl-based polymers.
  • polyester porogens include polycaprolactone; polyethylene terephthalate; poly(oxyadipoyloxy-l,4-phenylene); poly(oxyterephthaloyloxy- 1,4-phenylene); poly(oxyadipoyloxy-l,6-hexamethylene); polyglycolide, polylactide (polylaqtic acid), polylactide-glycolide, polypyruvic acid, polycarbonate such as poly(hexamethylene carbonate) diol having a molecular weight from about 500 to about 2500; and polyether such as poly(bisphenol A-co-epichlorohydrin) having a molecular weight from about 300 to about 6500.
  • Suitable crosslinked, insoluble nanospheres are suitably comprised of polystyrene or poly(methylmethacrylate).
  • Suitable block copolymers are poly-gylcolids, polylactic acid, poly(styrene-co- ⁇ -methylstyrene, poly(styrene-ethylene oxide), poly(etherlactones), poly(estercarbonates) and poly(lactonelactide).
  • Suitable hyperbranched polymers are hyperbranched polyester, e.g. hyperbranched poly(caprolactone), and polyethers such as polyethylene oxide and polypropylene oxide. Another useful porogen is ethylene glycol-poly(caprolactone).
  • Useful polymer blocks include polyvinylpyridines, hydrogenated polyvinyl aromatics, polyacrylonitriles, polysiloxanes, polycaprolactams, polyurethanes, polydienes such as polybutadienes and polyisoprenes, polyvinyl chlorides, polyacetals and amine-capped alkylene oxides.
  • Other useful thermoplastic materials include polyisoprenes, polytetrahydrofurans and polyethyloxazolines.
  • Suitable porogens suitable for use in contemplated embodiments include polymers, preferably those which contain one or more reactive groups, such as hydroxyl or amino.
  • a suitable polymer porogen for use in the compositions and methods disclosed herein is, e.g. a polyalkylene oxide, a monoether of a polyalkylene oxide, a diether of a polyalkylene oxide, bisether of a polyalkylene oxide, an aliphatic polyester, an acrylic polymer, an acetal polymer, a poly(caprolactone), a ' poly(valeractone), a poly(methlymethoacrylate), a poly(vinylbutyral) and/or combinations thereof.
  • porogen is a polyalkylene oxide monoether
  • one particular embodiment is a Ci to about C 6 alkyl chain between oxygen atoms and a Ci to about C 6 alkyl ether moiety, and wherein the alkyl chain is substituted or unsubstituted, e.g., polyethylene glycol monomethyl ether, polyethylene glycol dimethyl ether, or polypropylene glycol monomethyl ether.
  • Porogens comprising at least two fused aromatic rings wherein each of the fused aromatic rings has at least one alkyl substituent thereon and a bond exists between at least two of the al yl substituents on adjacent aromatic rings may be used in contemplated embodiments.
  • Prefened porogens include unfunctionahzed polyacenaphthylene homopolymer, functionalized polyacenaphthylene homopolymer, the polyacenaphthylene copolymers described below, poly(2-vinylnaphthalene) and vinyl anthracene, and blends with each other.
  • Other useful porogens include adamantane, diamantane, fullerene and polynorbornene.
  • porogens including those listed above, may be blended with one another or other porogen material, such as polycaprolactone, polystyrene and polyester.
  • Useful blends include unfunctionahzed polyacenaphthylene homopolymer and polycaprolactone.
  • the more prefened porogens are unfunctionahzed polyacenaphthylene homopolymer, functionalized polyacenaphthylene homopolymer, polyacenaphthylene copolymer and polynorbornene.
  • Useful polyacenaphthylene homopolymers may have weight average molecular weights ranging from referably about 3 00 to about 20,000; more preferably about 300 to about 10,000; and most preferably about 1000 to about 7000 and may be polymerized from acenaphthylene using different initiators such as 2,2'-azobisisobutyronitrile (ALBN); di-tert- butyl azodicarboxylate; di-phenylazodicarboxylate; l,l'-azobis(cyclohezanecarbonitrile); benzoyl peroxide (BPO); t-butyl peroxide; and boron trifluoride diethyl etherate.
  • ABN 2,2'-azobisisobutyronitrile
  • BPO benzoyl peroxide
  • BPO t-butyl peroxide
  • boron trifluoride diethyl etherate boron trifluoride diethyl etherate
  • the polyacenaphthylene homopolymer m ay h ave functional end groups s uch as triple bonds or double bonds to the chain end or cationic polymerization quenched with a double or triple bond alcohol, such as allyl alcohol, propargyl alcohol, butynol, butenol or hydroxyethylmethacrylate.
  • European Patent Publication 315453 teaches that silica and certain metal oxides may react with carbon to form volatile sub oxides and gaseous carbon oxide to form pores and teaches that sources of carbon include any suitable organic polymer including polyacenaphthylene.
  • polyacenaphthylene is a porogen useful in non-metallic materials or in reducing the dielectric constant of a matrix or increasing the etch selectivity of an absorbing spin-on material.
  • Useful polyacenaphthylene copolymers may be linear polymers, star polymers or hyperbranched polymers.
  • the comonomer may have a bulky side group that will result in copolymer conformation that is similar to that of polyacenaphthylene homopolymer or a nonbulky side group that will result in copolymer conformation that is dissimilar to that of polyacenaphthylene homopolymer.
  • Comonomers having a bulky side group include vinyl pivalate; tert-butyl acrylate; styrene; ⁇ -methylstyrene; tert-butylstyrene; 2-vinylnaphthalene; 5-vinyl-2-norbornene; vinyl cyclohexane; vinyl cyclopentant; 9-vinylanthracene; 4- vinylbiphenyl; tetraphenylbutadiene; stilbene; tert-butylstilbene; and indene; and preferably vinyl pivalate.
  • Hydridopolycarbosilane may be, used as an additional co-monomer or copolymer component with acenaphthylene and at least one of the preceding comonomers.
  • An example of a useful hydridopolycarbosilane has 10% or 75%) allyl groups.
  • Comonomers having a nonbulky side group include vinyl acetate, methyl acrylate, methyl methacrylate, and vinyl ether and preferably vinyl acetate.
  • the term "degrade” refers to the breaking of covalent bonds. Such breaking of bonds may occur in numerous ways including heterolytic and homolytic breakage. The breaking of bonds need not be complete, i.e., not all breakable bonds must be cleaved. Furthermore, the breaking of bonds may occur in some bonds faster than i n o thers. E ster b onds, for e xample, a re g enerally 1 ess s table t han a mide b onds, and therefore, are cleaved at a faster rate. Breakage of bonds may also result in the release of fragments differing from one another, depending on the chemical composition of the degraded portion.
  • substantially degrade preferably means at least about 40 weight percent of the porogen degrades or decomposes. In more prefened embodiments, at least about 50 weight percent of the porogen degrades or decomposes, and in most prefened embodiments, at least about 80 weight percent of the porogen degrades or decomposes.
  • the porogen is dissolved out in either a separate process stage or in combination with other stages of process, such as during the photolithography development or during the actual wet stripping of the porogen containing material.
  • thermal energy is also applied to volatilize the substantially degraded or decomposed porogen out of the absorbing compound matrix.
  • the same thermal energy is used for both the degradation and volatilization steps.
  • Any suitable procedure or condition may be used to remove or at least partially remove the at least one porogen, including heat, dissolution in solvents, preferential etching, exposure to radiation, electromagnetic radiation, such as ultraviolet, x-ray, laser or infrared radiation; mechanical energy, such as sonication or physical pressure; or particle radiation, such as gamma ray, alpha particles, neutron beam or electron beam as taught by commonly assigned patent publication PCT/US96/08678 and US Patents 6,042,994; 6,080,526; 6,177,143; and 6,235,353, which are incorporated herein by reference in their entireties.
  • electromagnetic radiation such as ultraviolet, x-ray, laser or infrared radiation
  • mechanical energy such as sonication or physical pressure
  • particle radiation such as gamma ray, alpha particles, neutron beam or electron beam as taught by commonly assigned patent publication PCT/US96/08678 and US Patents 6,042,994; 6,080,526; 6,177,143; and 6,235,353,
  • At least one high-boiling solvent and/or at least one replacement solvent may be added to the anti-reflective material, coating and/or film.
  • a high-boiling solvent prevents voiding and improves planarization by making the film a "slow drying" film.
  • the phrase "high boiling solvents” means that the solvent volatilizes at a temperature at, near and/or preferably slightly above the drying and/or curing temperature of the material, coating and/or film.
  • Prefened high boiling solvents include glycerol, dibutylether, dibutylglycerol, dimethyl sulfoxide (DMSO), dimethylformamide (DMF), N-methyl-pyrrolidone (NMP), di- methyl-acetamide (DMAc), high boiling aromatic-based solvents, petroleum ether, the carbitol family, and the glycol ether family.
  • High boiling point solvents can also act as porogens, such as dipropyleneglycol or ethyl lactate.
  • At least one replacement solvent may also be used to replace acetone (or another low boiling solvent) in the original anti-reflective coating material in order to improve the planarization of the coating and/or film and decrease voiding.
  • the replacement solvent doesn't necessarily need to be a high-boiling solvent, but must instead improve the planarization ability of the material, coating and/or film over the conventional solvent being used.
  • the replacement solvent may comprise additional functional groups, such as -OH, that have been shown to lead to better strip properties at similar bake temperatures for the solvent being replaced. Without being bound to any particular theory, it is understood that the additional functional groups may cause the replacement solvent to act almost like a porogen would to attract the stripping chemistry into the composition.
  • This porogen-like action may be based on hydrogen-bonding of the replacement solvent with the matrix or the stripping chemistry.
  • Acetone may also be left out of the material, coating or film altogether depending on the improvement to the planarization ability of the material, coating and/or film.
  • Typical replacement solvents comprise isopropylalcohol, propanol, butanol, ethanol, PGMEA, ethyl lactate and/or 2-heptanone.
  • the solvent may serve a dual purpose or multi-stage purpose.
  • the solvent may be specifically chosen for a particular absorbing composition based on polarity and/or functional groups other than those characteristics needed by the solvent to blend with or solvate the absorbing composition.
  • the solvent Once the solvent is incorporated into the composition it will act effectively as a "magnet" to attract the stripping and/or etching solution to the solvent by utilizing a difference in polarity between the solvent or by utilizing the functional groups on the solvent.
  • This attraction effect by the solvent can be activated in several ways. For example, there may be an exothermic reaction that takes place when, the solvent is incorporated into the absorbing composition at room temperature, there may be an external energy and/or heat that needs to be added to
  • the solvent is not heated or activated to the point where it is no longer present until the lithography s tep i s completed.
  • the absorbing composition comprising the solvent may be further heated so that the solvent can evaporate. At this point, the coating or film can be further processed, as described in the earlier embodiments.
  • Typical solvents are also those solvents that are able to solvate the monomers and polymers contemplated herein to be used as absorbing compounds and inorganic-based compounds and m aterials.
  • C ontemplated s olvents include any s Aminol, benzyl alcohol, benzyl ether, benzyl ether sulfate, benzyl ether sulfate, benzyl-based compounds.
  • the solvent comprises water, ethanol, propanol, acetone, toluene, ethers, cyclohexanone, butyrolactone, methylethylketone, methylisobutylketone, N-methylpynolidone, polyethyleneglycolmethylether, mesitylene, ethyl lactate, PGMEA, anisole, and families of poly-ether solvents such as carbitols (which consitute a family of ethyleneglycol ethers capped by hydroxy, alkoxy or carboxy groups) and analogous propyleneglycol ethers .
  • carbitols which consitute a family of ethyleneglycol ethers capped by hydroxy, alkoxy or carboxy groups
  • analogous propyleneglycol ethers analogous propyleneglycol ethers
  • At least one catalyst may be added to the absorbing material in order to improve etch selectivity and/or stripping selectivity.
  • Catalysts may also be added in conjunction with the porogen to expedite pore formation.
  • the term "catalyst” means any substance that affects the rate of the chemical reaction without itself being consumed or undergoing a chemical change.
  • Catalysts may be inorganic, organic, or a complex of organic groups and metal halides.
  • Catalysts may also be liquids, solids, g ases o r a c ombination t hereof.
  • C ontemplated c atalysts t o a dd i nespective o f t he porogen addition may comprise acids, such as HNO3, HCl, lactic acid, acetic acid, oxalic acid, succinic acid, maleic acid and the like.
  • Contemplated porogen depolymerization catalysts include simple acids as described above, superacid photosensitive molecules such as triarylsulfonium, triaryloxonium, or diaryliodonium salts, free radical generators typically used for vinyl-based, acryl-based (and other multiple-bond monomer-based polymers) polymerization and other free-radical based chemistries (such as benozyl peroxide, azobisisobutyronitrile).
  • superacid photosensitive molecules such as triarylsulfonium, triaryloxonium, or diaryliodonium salts
  • free radical generators typically used for vinyl-based, acryl-based (and other multiple-bond monomer-based polymers) polymerization and other free-radical based chemistries (such as benozyl peroxide, azobisisobutyronitrile).
  • adhesion promoter means any component that when used with the thermally degradable polymer, improves the adhesion thereof to substrates compared with thermally degradable polymers.
  • the at least one adhesion promoter is used with the thermally degradable polymer.
  • the adhesion promoter may be a co-monomer reacted with the thermally degradable polymer precursor or an additive to the thermally degradable polymer precursor. Examples of useful adhesion promoters are disclosed in commonly assigned pending US Application Serial Number 158513 filed May 30, 2002 incorporated herein in its entirety.
  • Adhesion promoters contemplated herein may comprise c ompounds having at l east bifunctionality wherein the bifunctionality may be the same or different and at least one of said first functionality and said second functionality is selected from the group consisting of Si-containing groups; N-containing groups; C bonded to O-containing groups; hydroxyl groups; and C double bonded to C-containing groups.
  • the phrase "compound having at least bifunctionality" as used herein means any compound having at least two functional groups capable of interacting or reacting, or forming bonds as follows.
  • the functional groups may react in numerous ways including addition reactions, nucleophilic and electrophilic substitutions or eliminations, radical reactions, etc. Further alternative reactions may also include the formation of non-covalent bonds, such as Van der Waals, electrostatic bonds, ionic bonds, and hydrogen bonds.
  • At least one of the first functionality and the second functionality is selected from Si-containing groups; N- containing groups; C bonded to O-containing groups; hydroxyl groups; and C double bonded to C-containing groups.
  • the Si-containing groups are selected from Si-H, Si-O, and Si-N;
  • the N-containing groups are selected from such as C-NH 2 or other secondary and tertiary amines, imines, amides, and imides;
  • the hydroxyl group is phenol;
  • the C double bonded to C-containing groups are selected from allyl and vinyl groups.
  • the more prefened functional groups include the Si-containing groups; C bonded to O-containing groups; hydroxyl groups; and vinyl groups.
  • Contemplated adhesion promoters may also comprise an organic resin-based material that further comprises phenolic-containing resins, novolac resins, such as CRJ-406 or HRJ- 11040 (both from Schenectady International, Inc.), organic acrylate and/or a styrene resins.
  • Other adhesion promoters may comprise polydimethylsiloxane materials, ethoxy or hydroxy- containing silane monomers, vinyl-containing silane monomers, acrylated silane monomers, or silyl hydrides.
  • An example of a contemplated adhesion promoter having Si-containing groups is silanes of the Formula I: (R ⁇ 4 ) k (R ⁇ 5 ) ⁇ Si(R ⁇ 6 ) m (R ⁇ ) n wherein R ⁇ , R15, R 16 , and R ⁇ ' each independently represents hydrogen, hydroxyl, unsaturated or saturated alkyl, substituted or unsubstituted alkyl where the substituent is amino or epoxy, saturated or unsaturated alkoxyl, unsaturated or saturated carboxylic acid radical, or aryl; at least two of R ⁇ 4 , R 15 , R ⁇ 6 , and R ] 7 represent hydrogen, hydroxyl, saturated or unsaturated alkoxyl, unsaturated alkyl, or unsaturated carboxylic acid radical; and k+l+m+n ⁇ 4.
  • Examples include vinylsilanes such as
  • H 2 N(CH 2 ) 3 Si(OCH 2 CH 3 ) 3 , H 2 N(CH 2 ) 3 Si(OH) 3 , or H 2 N(CH 2 ) 3 OC(CH 3 ) 2 CH CHSi(OCH 3 ) 3 .
  • the aforementioned silanes are commercially available from Gelest.
  • glycidyl ethers including but not limited to l,l,l-tris-(hydroxyphenyl)ethane tri- glycidyl ether which is commercially available from TriQuest.
  • An example of a prefened adhesion promoter having C bonded to O-containing groups is esters of unsaturated carboxylic acids containing at least one carboxylic acid group.
  • Examples include trifunctional methacrylate ester, trifunctional acrylate ester, trimethylolpropane triacrylate, dipentaerythritol pentaacrylate, and glycidyl methacrylate.
  • the foregoing are all commercially available from Sartomer.
  • An example of a prefened adhesion promoter having vinyl groups is vinyl cyclic pyridine oligomers or polymers wherein the cyclic group is pyridine, aromatic, or heteroaromatic.
  • Useful examples include but not limited to 2-vinylpyridine and 4- vinylpyridine, commercially available from Reilly; vinyl aromatics; and vinyl heteroaromatics including but not limited to vinyl quinoline, vinyl carbazole, vinyl imidazole, and vinyl oxazole.
  • a prefened adhesion promoter having Si-containing groups is the polycarbosilane disclosed in commonly assigned copending allowed US Patent Application Serial Number 09/471299 filed December 23, 1999 incorporated herein by reference in its entirety.
  • the polycarbosilane is that shown in Formula JJ:
  • R o, R 6 , and R 9 each independently represents substituted or unsubstituted alkylene, cycloaUcylene, vinylene, allylene, or arylene;
  • R 2 ⁇ , R 22 , R 23 , R 4 , R 27 , and R 8 each independently represents hydrogen atom or organo group comprising alkyl, alkylene, vinyl, cycloalkyl, allyl, or aryl and may be linear or branched;
  • R 25 represents organosilicon, silanyl, siloxyl, or organo group; and p, q, r, and s satisfy the conditions of [4 ⁇ p + q + r + s ⁇ 100,000], and q and r and s may collectively or independently be zero.
  • the organo groups may contain up to 18 carbon atoms but generally contain from about 1 to about 10 carbon atoms.
  • Useful alkyl groups include -CH - and -(CH 2 ) t
  • Contemplated p olycarbosilanes include dihydridopolycarbosilanes in which R 2 o is a substituted or unsubstituted alkylene or phenyl, R 2 ⁇ group is a hydrogen atom and there are no appendent radicals in the polycarbosilane chain; that is, q, r, and s are all zero.
  • Another prefened group of polycarbosilanes are those in which the R 2J , R 22 , R 23 , R 4 , R 25 , and R 28 groups of Fonnula JJ are substituted or unsubstituted alkenyl groups having from 2 to 10 carbon atoms.
  • the alkenyl group may be ethenyl, propenyl, allyl, butenyl or any other unsaturated organic backbone radical having up to 10 carbon atoms.
  • the aUcenyl group may be dienyl in nature and includes unsaturated alkenyl radicals appended or substituted on an otherwise alkyl or unsaturated organic polymer backbone.
  • these prefened polycarbosilanes include dihydrido or alkenyl substituted polycarbosilanes such as polydihydridocarbosilane, polyallylhydrididocarbosilane and random copolymers of polydihydridocarbosilane and polyallylhydridocarbosilane.
  • the R 2 ⁇ group of Formula II is a hydrogen atom and R 2 ⁇ is methylene and the appendent radicals q, r, and s are zero.
  • Other prefened polycarbosilane compounds of the invention are polycarbosilanes of Formula II in which R 2 j and R 2 are hydrogen, R o and R 29 are methylene, and R 8 is an alkenyl, and appendent radicals q and r are zero.
  • the polycarbosilanes may be prepared from well known prior art processes or provided by manufacturers of polycarbosilane compositions.
  • the R 2 ⁇ group of Formula II is a hydrogen atom; R 24 is -CH 2 -; q, r, and s are zero and p is from 5 to 25.
  • R 24 is -CH 2 -; q, r, and s are zero and p is from 5 to 25.
  • These most prefened polycarbosilanes may be obtained from Starfire Systems, Inc. Specific examples of these most prefened polycarbosilanes follow:
  • the polycarbosilanes utilized may contain oxidized radicals in the form of siloxyl groups when r > 0.
  • R 25 represents organosilicon, silanyl, siloxyl, or organo group when r > 0. It is to be appreciated that the oxidized versions of the polycarbosilanes (r > 0) operate very effectively in, and are well within the purview of the present invention.
  • r can be zero independently of p, q, and s the only conditions being that the radicals p, q, r, and s of the Formula ⁇ polycarbosilanes must satisfy the conditions of [4 ⁇ p + q + r + s ⁇ l 00,000], and q and r can collectively or independently be zero.
  • the polycarbosilane may be produced from starting materials that are presently commercially available from many manufacturers and by using conventional polymerization processes.
  • the starting materials may be produced from common organo silane compounds or from polysilane as a starting material by heating an admixture of polysilane with polyborosiloxane in an inert atmosphere to thereby produce the conesponding polymer or by heating an admixture of polysilane with a low molecular weight c arbosilane in an inert atmosphere to thereby produce the conesponding polymer or by heating an admixture of polysilane with a low molecular carbosilane in an inert atmosphere and in the presence of a catalyst such as polyborodiphenylsiloxane to thereby produce the conesponding polymer.
  • Polycarbosilanes may also be synthesized by Grignard Reaction reported in U.S. Patent 5,153,295 hereby incorporated by reference in its entirety.
  • Examples of useful alkyl groups include -CH 2 - and - (CH 2 ) V - where v>l.
  • a p articularly u seful p henol-formaldehyde r esin oligomer has a molecular weight of 1500 and is commercially available from Schenectady International Inc.
  • the present adhesion promoter is added in small, effective amounts preferably from about 0.01%) up to about 15%> and more preferably from about 0.05%> to about 7% based on the weight of the present thermally degradable polymer.
  • the adhesion promoter may also serve a dual purpose or multi-stage purpose.
  • the adhesion promoter may be specifically chosen for a particular absorbing composition based on polarity and/or functional groups other than those characteristics needed by the adhesion promoter in order to promote adhesion in the absorbing composition. Once the adhesion promoter is incorporated into the composition it will act effectively as a "magnet" to attract the stripping and/or etching solution to the adhesion promoter by utilizing a difference in polarity between the adhesion promoter or by utilizing the functional groups on the adhesion promoter. This attraction effect by the adhesion promoter can be activated in several ways.
  • the adhesion promoter may be an exothermic reaction that takes place when the adhesion promoter is incorporated into the absorbing composition at room temperature, there may be an external energy and/or heat that needs to be added to "activate” the adhesion promoter, there may not need to be any energy added to the composition depending on the chemical composition of the adhesion promoter, or there may be a pressure differential applied to the absorbing composition that will "activate” the adhesion promoter.
  • energy is added or not once the adhesion promoter is added, it should be understood that, in this embodiment, the adhesion promoter is not heated or activated to the point where it is chemically modified or broken down until the lithography step is completed.
  • the absorbing composition comprising the adhesion promoter may be left unheated or further heated so that the adhesion promoter's chemical composition can change to make it further compatible with the composition.
  • the coating or film can be further processed, as described in the earlier embodiments.
  • the pH tuning agent is a compound, material or solution that is added to the mixture of the inorganic-based material and the absorbing compound in order to "tune” or adjust the pH of the final absorbing composition so that it is compatible or more compatible with any chosen resist material, including those with absorption peaks around 365 nm, 248 nm, 193 nm and 157 nm.
  • pH tuning agents contemplated herein are those also found in commonly assigned PCT Application Serial No.: PCT/USOl/45306 filed on November 15, 2001.
  • the pH tuning agent not only adjusts the pH of the absorbing material, coating and/or film, but it also influences the chemical performance and characteristics, mechanical performance and structural makeup of the absorbing material, coating and/or film that is part of the layered material, electronic component or semiconductor component, such that the absorbing material, coating and/or film is more compatible with the resist material that i s coupled to it. More specifically, the pH tuning agent strongly influences the polymeric characteristics, the structural makeup and the spatial orientation that results in optimized film architecture by increasing the surface properties of the anti-reflective coating for optimal resist performance.
  • pH tuning agent that merely adjusts the pH of the spin-on material without influencing the mechanical properties and structural makeup of the absorbing material, coating and/or film or the coupled resist material is not contemplated herein.
  • pH tuning agents may also be appropriate as densifying agents, catalysts or both.
  • Each of the material modification agents discussed herein may be classified in more than one category.
  • Contemplated pH tuning agents must perform two separate and sometimes related functions: a) to influence the pH of the composition to which it is being added; and b) to influence the mechanical performance and/or structural makeup of the absorbing material, coating and/or film, which can also been stated as strongly influencing the polymeric characteristics, the structural makeup and the spatial orientation that results in enhancing the surface properties of the anti-reflective coating for optimal resist performance.
  • Contemplated pH tuning agents are partly designed to influence the pH of the composition to which it is added.
  • the class of potential pH tuning agents comprises a) any suitable acidic or basic solution, compound, and/or component and/or b) any suitable strength or concentration of an acidic or basic solution, compound and/or component.
  • This compilation of suitable pH “influencers” is the larger set of compoimd from which the ultimate pH tuning agent is chosen, because the pH "influencer” must also be able to influence the mechanical performance and/or structural makeup of the absorbing material, coating and/or film while also making the absorbing material, coating and/or film compatible or more compatible.
  • the chosen pH tuning agent is also designed to match the solubility parameter, the molecular weight, the melting point or some other physical characteristic of the spin-on material and organic absorbing compound mixture.
  • the pH tuning agent and the mixture of inorganic matrix material and absorbing compound cannot be physically incompatible,' depending on the desirable physical characteristic, even if the pH tuning agent performs its first function of influencing the pH of the mixture.
  • the desirable physical characteristic is the solubility parameter or the molecular weight. In more prefened embodiments, the desirable physical characteristic is the solubility parameter.
  • the pH tuning agent will also mechanically and structurally influence the performance and characteristics of the resist material/ ARC couple.
  • a pH tuned absorbing material, coating and/or film is applied to a substrate or layered material, and then a resist material is applied to the absorbing material, coating and/or film.
  • a development line 85-90 degree angle with respect to the absorbing material, coating and/or film.
  • the resist material will not "fall over" onto the absorbing material, coating and/or film, but instead will have a useful development line.
  • the absorbing material, coating and/or film is n ot p H t uned, t he r esist m aterial m ay " fall o ver" o nto the absorbing material, coating and/or film after etching, which obviously leads a defective resist material and/or to a defective layered material.
  • the pH tuned absorbing material, coating and/or film influences the mechanical and structural integrity of the absorbing material, coating and/or film and the compatibility of the resist material/ ARC couple.
  • the terms “coupled” or “couple” mean that the two materials or compositions are juxtaposed on top of one another to the point where the two materials are physically, mechanically and/or chemically bonded to one another.
  • pH tuning agents comprise various molar concentrations of the class of amines, such as ⁇ -aminoalkyltrialkoxysilanes, specifically ⁇ - aminopropyltriethoxysilanes (APTF or APTEOS); water; oxides and alkoxides, such as sodium alkoxides, potassium alkoxides, potassium hydroxide; hydrogen halides, such as hydrogen bromide, hydrochloric acid; acetic acid; sulfuric acid, lactic acid, nitric acid; TMAH; propylene glycol methyl ether acetate (PGMEA); amine-based oligomers, including those oligomers with inorganic atoms such as silicon, and combinations thereof.
  • Contemplated molar concentrations of the pH tuning agent include pure, 10 Molar, 1.0 Molar, 0.1 Molar and 0.01 Molar concentrations, depending on the pH agent chosen for the resist material.
  • increased pH solutions result in films that exhibit characteristics of being denser than films cast from non-pH tuned solutions.
  • Wet strip tests were used to determine extent of densification.
  • the effect ARC density as on the photoresist process is follows. If the ARC is not dense enough too much photogenerated acid within the resist (generated during exposure of the photoresist to UN radiation) diffuses across the photoresist - ARC boundary resulting in a decrease in the local concentration of photoacid resulting in a degradation of the local acid catalyzed reactions resulting in an under reacted resist which can lead to resist footing (positive tone resist).
  • the pH tuning agent may be found on a solid-support material (such as a propylamine-attached silica, amine-based polymers such as melamine, or other amine-attached materials that are insoluble in the process solvent conditions) that is physically separated from the formulation.
  • a solid-support material such as a propylamine-attached silica, amine-based polymers such as melamine, or other amine-attached materials that are insoluble in the process solvent conditions
  • the pH may be tuned while the formulation is passed thru the solid support material or the pH may be tuned in a slurry with the solid support material, which is later filtered.
  • the pH tuning agent may also serve a dual purpose or multi-stage purpose.
  • the pH tuning agent may be specifically chosen for a particular absorbing composition based on polarity and/or functional groups other than those characteristics needed b y the pH tuning agent in order to "tune” the absorbing composition.
  • the pH tuning agent is not heated or activated to the point where it is chemically modified or broken down until the lithography step is completed.
  • the absorbing composition comprising the pH tuning agent may be left unheated or further heated so that the pH tuning agent's chemical composition can change to make it further compatible with the composition.
  • the coating or film can be further processed, as described in the earlier embodiments.
  • At least one densifying agent may be added to the absorbing compositions disclosed herein.
  • the densifying agent or compound is similar to those previously mentioned in other material modification sections. It should be understood that many of the compounds mentioned in this and other sections overlap in that some of these compounds may be densifying agents and catalysts or densifying agents and pH tuning agents.
  • the densifying agent comprises at least one compound, material or solution that is added to the absorbing compositions described herein in order to "tune” or adjust the density of the final composition so that the density or other related physical characteristics of the final material are increased relative to the reference material.
  • the "reference material” is that material that comprises each component of the contemplated composition described herein except the densifying agent.
  • the “reference material” is meant to be a benchmark composition to which • contemplated compositions may be compared.
  • the densifying agent not only adjusts the density o f the absorbing composition, but it also influences the chemical performance and characteristics, mechanical performance and structural makeup of the final composition that is part of the layered material, electronic component or semiconductor component, such that the final composition is more compatible with the resist material that is coupled to it. More specifically, the densifying agent strongly influences the polymeric characteristics, the structural makeup and the spatial orientation that results in increasing the surface properties of the underlying coating, including not only anti-reflective coatings but other layers and layered materials, for optimal resist performance.
  • contemplated densifying agents must perform two separate and sometimes related functions: a) to influence the physical properties of the c omposition to w hich i t i s b eing a dded; a nd b ) t o i nfluence t he mechanical performance and/or structural makeup of the composition, which can also been stated as strongly influencing the polymeric characteristics, the structural makeup and the spatial orientation that results in increasing the surface properties of the layer, coating and/or material, such as an anti-reflective coating, for optimal resist and/or component perfonriance.
  • Contemplated densifying agents are partly designed to influence the density of the composition to which it is added.
  • the class of potential densifying agents comprises a) any suitable acidic or basic solution, compound, and/or component and/or b) any suitable strength or concentration of an acidic or basic solution, compound and/or component.
  • This compilation of suitable density "influencers" is the larger set of compound from which the ultimate densifying agent is chosen, b ecause the density "influencer” must also b e able to influence the mechanical performance and/or structural makeup of the final composition while also making the final absorbing composition compatible or more compatible.
  • the chosen densifying agent is also designed to match the solubility parameter, the molecular weight, the melting point or some other physical characteristic of the inorganic materials, spin-on inorganic materials and/or spin-on-glass materials.
  • the densifying agent and the inorganic materials, spin-on inorganic materials and/or spin-on-glass materials cannot be physically incompatible, depending on the desirable physical characteristic.
  • the desirable physical characteristic is the solubility parameter or the molecular weight, i more prefened embodiments, the desirable physical characteristic is the solubility parameter.
  • Suitable densifying agents or density-tuning agents comprise various molar concentrations of the class of amines, such as ⁇ -aminoalkyltrialkoxysilanes and nitrogen-based salts of those silane compounds, specifically ⁇ -aminopropyltriethoxysilanes (APTEOS) and APTEOS-N; water; oxides and alkoxides, such as sodium alkoxides, potassium alkoxides, potassium hydroxide; hydrogen halides, such as hydrogen bromide, hydrochloric acid; acetic acid; sulfuric acid, lactic acid, nitric acid; TMAH; acetate-based compounds, such as tetra-methyl ammonium acetate (TMAA), APTEOS-acetate and ammonium acetate; nitrate-based compounds, such as tetra-methyl ammonium nitrate (TMAN - a nitric acid stabilized form of TMAA) and ammonium nitide
  • One contemplated method of making a contemplated composition includes combining at least one absorbing composition, at least one densifying agent (material modification agent), an acid/water mixture, such as a nitric acid/water mixture, and at least one solvent to form a reaction mixture; and refluxing the reaction mixture to form the contemplated composition.
  • the composition formed is then diluted with at least one solvent to provide coating solutions that produce films of various thicknesses.
  • the densifying agent may also and alternatively be added during the refluxing step or after the refluxing step.
  • the densifying agent may also be added during the dilution stage where the thickness of the films is being determined, hi other suitable embodiments as mentioned, an incorporatable absorbing compound may be added during the steps used to form the reaction mixture.
  • At least one absorbing composition, at least one densifying agent, and at least one solvent can be combined to form a reaction mixture.
  • the reaction mixture is then refluxed to form the contemplated composition.
  • the composition formed is diluted with at least one solvent to provide coating solutions that produce films of various thicknesses.
  • the densifying agent in this method may either be a variation of the conventional acid/water mixture, in that a different acid may be added, less acid may be added, or more water may be added. T he densifying agent may also be added during the dilution step.
  • a reaction mixture including silane reactants, for example HTEOS, or TEOS and MTEOS, or, TMOS and MTMOS; or, alternatively, tetrachlorosilane and methyltrichlorosilane, at least one densifying agent, such as APTEOS-N or APTEOS; a solvent or combination of solvents; and an acid/water mixture, is formed in a reaction vessel.
  • silane reactants for example HTEOS, or TEOS and MTEOS, or, TMOS and MTMOS; or, alternatively, tetrachlorosilane and methyltrichlorosilane, at least one densifying agent, such as APTEOS-N or APTEOS; a solvent or combination of solvents; and an acid/water mixture, is formed in a reaction vessel.
  • Appropriate solvents include acetone, 2-propanol, and other simple alcohols, ketones and esters such as 1 -propanol, MEBK,
  • protic acids or acid anhydrides such as acetic acid, fon c acid, phosphoric acid, hydrochloric acid or acetic anhydride are alternatively used in the acid mixture.
  • the resulting mixture is refluxed for between approximately 1 and 24 hours to produce the absorbing composition.
  • the densifying agent may be added during or after the refluxing step, depending on the resist material chosen.
  • the acid concentration and/or strength and the water concentration in the acid/water mixture may be varied in order to become a densifying agent, depending on the resist material chosen for the specific 1 ayered m aterial, e lectronic component or semiconductor component application.
  • the density-tuned coating solutions (which may or may not be absorbing coating solutions) are applied to various substrates to form layered materials, layers used in semiconductor processing, or layers used in electronic components, depending on the specific fabrication process, typically by conventional spin-on deposition techniques. These techniques include a dispense spin, a thiclcness spin, and thermal bake steps, to produce suitable coating. Typical processes include a thiclcness spin of between 1000 and 4000 rpm for about 20 seconds and two or three bake steps at temperatures between 80°C and 300°C for about one minute each.
  • the density-tuned coatings, according to the present invention exhibit refractive indices between about 1.3 and about 2.0 and extinction coefficients greater than approximately 0.07. It should also be noted that the density-tuned coatings contemplated herein have increased refractive index measurements relative to their non-density-tuned counterparts.
  • At least one capping agent may also be added to the absorbing composition as a material modification agent.
  • the term "capping agent” means a terminating monomer that effectively controls the molecular weight of a particular polymer by "capping" the end of the polymer.
  • the capping agent comprises a silane monomer with only one reactive group, such as an ethoxy reactive group, with the rest of the monomer being methyl groups.
  • the silane monomer is added late in the parent reaction that forms the absorbing composition. Any suitable terminating monomer may be used in this application, including silane compositions such as TMEOS.
  • the capping agent may also serve a dual purpose or multi-stage purpose.
  • the capping agent may be specifically chosen for a p articular absorbing c omposition b ased o n p olarity and/or functional groups other than those characteristics needed by the capping agent in order to cap all or part of the constituents of the absorbing composition.
  • the capping agent may also function to adjust solubility parameter of the matrix material which improves it's solubility compatibility throughout the process.
  • the capping agent Once the capping agent is incorporated into the composition it will act effectively as a "magnet" to attract the stripping and/or etching solution to the capping agent or the compound comprising the capping agent by utilizing a difference in polarity between the capping agent or by utilizing the functional groups on the capping agent.
  • This attraction effect by the capping agent can be activated in several ways.
  • the capping agent may be an exothermic reaction that takes place when the capping agent is incorporated into the absorbing composition at room temperature, there may be an external energy and/or heat that needs to be added to "activate” the capping agent, there may not need to b e any energy added to the composition depending on the chemical composition of the capping agent, or there may be a pressure differential applied to the absorbing composition that will "activate” the capping agent.
  • energy is added or not once the capping agent is added, it should be understood that, in this embodiment, the capping agent is not heated or activated to the point where it is chemically modified or broken down until the lithography step is completed.
  • the absorbing composition comprising the capping agent may be left unheated or further heated so that the capping agent's chemical composition can change to make it further compatible with the composition.
  • the coating or film can be further processed, as described in the earlier embodiments.
  • At least one leveling agent may also be added to the absorbing composition as a material modification agent. As contemplated herein, the at least one leveling agent is added to the absorbing composition to improve the via fill bias in the material.
  • Contemplated leveling agents comprise polyacrylates - both ionic and non-ionic, such as BYK 380 and
  • the leveling agent may also serve a dual purpose or multi-stage purpose.
  • the leveling agent may be specifically chosen for a p articular absorbing c omposition b ased on p olarity and/or functional groups other than those characteristics needed by the leveling agent in order to help level or planarize all or part of the absorbing composition.
  • the leveling agent there may be an exothermic reaction that takes place when the leveling agent is incorporated into the absorbing composition at room temperature, there may be an external energy and/or heat that needs to be added to "activate” the leveling agent, there may not need to be any energy added to the composition depending on the chemical composition of the leveling agent, or there may be a pressure differential applied to the absorbing composition that will "activate” the leveling agent.
  • energy is added or not once the leveling agent is added, it should be understood that, in this embodiment, the leveling agent is not heated or activated to the point where it is chemically modified or broken down until the lithography step is completed.
  • the absorbing composition comprising the leveling agent may be left unheated or further heated so that the leveling agent's c hemical c omposition can change to make it further compatible with the composition.
  • the coating or film can be further processed, as described in the earlier embodiments.
  • Absorbing materials are typically synthesized from a variety of silane reactants including, for example, triethoxysilane (HTEOS), tetraethoxysilane (TEOS), methyltriethoxysilane (MTEOS), dimethyldiethoxysilane, tetramethoxysilane (TMOS), dimethyldiethoxysilane, methyltrimethoxysilane (MTMOS), trimethoxysilane, dimethyldimethoxysilane, trimethylethoxysilane (TMEOS), phenyltriethoxysilane (PTEOS), phenyltrimethoxysilane (PTMOS), diphenyldiethoxysilane, diphenyldimethoxysilane, tetracetoxysilane (TAS), methyltriacetoxysilane (MTAS),
  • HTEOS triethoxysilane
  • TEOS tetraethoxysilane
  • MTEOS
  • gallium, arsenic, germanium, boron and similar atoms and materials may also be used in conjunction with silicon atoms or as the sole atomic material to produce an absorbing material.
  • Halosilanes including chlorosilanes, such as trichlorosilane, methyltrichlorosilane, ethyltrichlorosilane, phenyltrichlorosilane, tetrachlorosilane, dichlorosilane, methyldichlorosilane, dimethyldichlorosilane, chlorotriethoxysilane, chlorotrimethoxysilane, chloromethyltriethoxysilane, chloroethyltriethoxysilane, chlorophenyltriethoxysilane, chloromethyltrimethoxysilane, chloroethyltrimethoxysilane, and chlorophenyltrimethoxysilane are also used as silane reactants.
  • chlorosilanes such as trichlorosilane, methyltrichlorosilane, ethyltrichlorosilane, phenyltrichlorosi
  • the absorbing compounds such as absorbing compounds 1 - 41, or combinations thereof, are combined with the silane reactants during the synthesis of the absorbing materials.
  • the material modification agent such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof may also be combined with the silane reactants during the synthesis of the absorbing materials or once the synthesis is complete, as in the case of the at least one capping agent.
  • One contemplated method of making an absorbing composition includes combining at least one inorganic-based composition, at least one incorporatable absorbing compound, at least one material modification agent, such as the at least one porogen, the at least one high- boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof, an acid/water mixture, such as a nitric acid/water mixture, and at least one solvent to form a reaction mixture; and heating to a temperature about or above 40°C or refluxing the reaction mixture to form the absorbing composition.
  • at least one material modification agent such as the at least one porogen, the at least one high- boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least
  • the absorbing composition formed is then diluted with at least one solvent to provide coating solutions that produce films of various thicknesses.
  • the material modification agent such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof may also and alternatively be added during the refluxing step or after the refluxing / heating step.
  • an absorbing composition at least one inorganic-based c omposition, at least one incorporatable absorbing compound, at least one material modification agent, such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof, and at 1 east o ne a dditional s olvent c an b e c ombined t o form a r eaction m ixture.
  • material modification agent such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and
  • the reaction mixture is then heating to a temperature about or above 40°C or refluxed to form the absorbing composition.
  • the absorbing composition formed is diluted with at least one solvent to provide coating solutions that produce films of various thicknesses.
  • the pH tuning agent in this method may either be a variation of the conventional acid/water mixture, in that a different acid may be added, less acid may be added, or more water may be added. Regardless of the pH tuning agent chosen, however, the basic principal still remains - which is that not only the pH is influenced by the pH tuning agent, but the chemical, mechanical and physical properties of the ARC are also influenced resulting in a more compatible resist/ ARC couple.
  • a reaction mixture including silane reactants, for example HTEOS, or TEOS and MTEOS, TMEOS or TMOS and MTMOS; or, alternatively, tetrachlorosilane and methyltrichlorosilane, at least one absorbing compound, such as absorbing compounds 1 - 41; at least one pH tuning agent, such as APTF; a solvent or combination of solvents; and an acid/water mixture, is formed in a reaction vessel.
  • a reaction mixture including silane reactants, for example HTEOS, or TEOS and MTEOS, TMEOS or TMOS and MTMOS; or, alternatively, tetrachlorosilane and methyltrichlorosilane, at least one absorbing compound, such as absorbing compounds 1 - 41; at least one pH tuning agent, such as APTF; a solvent or combination of solvents; and an acid/water mixture, is formed in a reaction vessel.
  • Appropriate solvents include acetone, 2- propanol
  • the acid/water mixture is, for example nitric acid and water.
  • Other protic acids or acid anhydrides such as acetic acid, l actic acid, oxalic acid, formic acid, phosphoric acid, hydrochloric acid or acetic anhydride are alternatively used in the acid mixture.
  • the resulting mixture is heated to a temperature about or above 40°C or refluxed for between approximately 1 and 24 hours to produce the absorbing solution.
  • the material modification agent such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof may be added during or after the heating / refluxing step, depending on the resist material chosen.
  • the acid concentration and/or strength and the water concentration in the acid/water mixture may be varied in order to become a pH tuning agent, depending on the resist material chosen for the specific layered material, electronic component or semiconductor component application.
  • Suitable dilutant solvents include acetone, 2-propanol, ethanol, butanol, methanol, propylacetate, ethyl lactate, propylene glycol methyl ether acetate, and propylene glycol propyl ether, refened to commercially as Propasol- P.
  • Dilutant solvents with high boiling points such as ethyl lactate and propylene glycol propyl ether have been found beneficial. It is believed high boiling point solvents decrease the probability of formation of bubble film defects.
  • lower boiling point solvents may become entrapped below a crosslinked top layer of a film and subsequently produce voids when driven off during a baking process step.
  • Additional solvents useful in the invention include ethylene glycol dimethyl ether, alternatively termed glyme, anisole, dibutyl ether, dipropyl ether, propylene glycol methyl ether acetate, and pentanol.
  • surfactants can be utilized and data/observations for these optional surfactants are presented below in the Examples section; however, these data and observations are not exhaustive of the studies conducted and do not represent all of the surfactants tested.
  • polyacrylates both ionic and non-ionic
  • BYK brand surfactants specifically BYK 306, 307, 308, 380 and 381
  • functional polydimethylsiloxanes include silicones, fluorinated alkylesters (FC brand surfactants, specifically FC4430, FC430 or FC4432 provided by 3M (Minneapolis, MN)), polyether and aliphatic hydrocarbon chain surfactants (Brij surfactants), the product Megaface R08 (provided by DIC (Japan)), or generally the class of fluorocarbon surfactants, are also added to the coating solution.
  • viscosity agents may be added to the coating solution to effect the viscosity of the coating solution, such as BYK 410 and BYK 420.
  • the coating solution is typically between about 0.5 and 20 % polymer by weight. Prior to use, the coating solution is filtered by standard filtration techniques.
  • a reaction mixture including at least one silane reactant, at least one absorbing compound, such as absorbing compounds 1 - 41, at least one material modification agent, including the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof, and an additional solvent or combination of solvents is formed in a reaction vessel.
  • the reaction mixture is heated to about or above a temperature of 40°C and held at the desired temperature for between approximately 1 and 24 hours.
  • the silane reactants and solvents are as described in the first method above.
  • T he r esulting m ixture i s heated to about of above a temperature of 40°C and held at the desired temperature for between approximately 1 and 24 hours to produce the absorbing material.
  • T he absorbing material is diluted and filtered as described above to form a coating solution.
  • the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof may be added during or after the first heating step.
  • a method of forming an absorbing organohydridosiloxane material includes forming a mixture of a dual phase solvent which includes both a non-polar solvent and a polar solvent and a phase transfer catalyst; adding at least one organotrihalosilane, hydridotrihalosilane; adding at least one material modification agent, such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof; and at least one absorbing compound, such as absorbing compounds 1 - 41, to provide a dual phase reaction mixture; and reacting the dual phase reaction mixture for between 1 and 24 hours to produce the absorbing organohydridosiloxane polymer.
  • the phase transfer catalyst includes but is not limited to tetrabutylammonium chloride and benzyltrimethylammonium chloride.
  • exemplary non-polar solvents include, but are not limited to, pentane, hexane, heptane, cyclohexane, benzene, toluene, xylene, halogenated solvents such as carbon tetrachloride and mixtures thereof.
  • Useful polar solvents include water, alcohols, and alcohol and water mixtures. The absorbing polymer solution is diluted and filtered as described above to form a coating solution.
  • the catalyst may reside on a solid phase, with the polymerization proceeding on the surface.
  • the support materials may include typical silica- based ion-exchange resins which have an attached acid group such as sulfonic acid, or any other acid-containing polymer (such as polylactide, polyacrylic acid, polyvinylsulfonic acid) which is insoluble in the solvent conditions used.
  • the water content of the composition during and after the synthesis and/or preparation of the absorbing coating composition can be controlled in order to control the molecular weight growth of the polymer. Controlling the water content has a similar effect as the capping agent to the polymer molecular weight growth.
  • yet another method of making an absorbing anti-reflective composition requires at least one inorganic-based monomer to be added after the polymerization reaction has started in a "staged silane addition" manner. Any remaining silane monomers, the incorporatable absorbing compound that absorbs light at wavelengths less than about 375 nm, and the at least one material modification agent, such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof is then added to the reaction mixture.
  • the at least one material modification agent such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting
  • the absorbing coating solutions are applied to various substrates to form layered materials, layers used in semiconductor processing, or layers used in electronic components, depending on the specific fabrication process, typically by conventional spin-on deposition techniques. These techniques include a dispense spin, a thiclcness spin, and thermal b alee steps, to produce an absorbing anti-reflective coating. Typical processes include a thickness spin of between 1000 and 4000 rpm for about 20 seconds and one to three bake steps at temperatures between 80°C and 300°C for about one minute each.
  • the absorbing anti- reflective coatings, according to the present invention exhibit refractive indices between about 1.3 and about 2.0 and extinction coefficients greater than approximately 0.07.
  • Substrates contemplated herein may comprise any desirable substantially solid material.
  • Particularly desirable substrate layers would comprise films, glass, ceramic, plastic, metal or coated metal, or composite material.
  • the substrate comprises a silicon or germanium arsenide die or wafer surface, a packaging surface such as found in a copper, silver, nickel or gold plated leadframe, a copper surface such as found in a circuit board or package interconnect trace, a via-wall or stiffener interface ("copper” includes considerations of bare copper and its oxides), a polymer-based packaging or board interface such as found in a polyimide-based flex package, lead or other metal alloy solder ball surface, glass and polymers such as polyimide.
  • the substrate comprises a material common in the packaging and circuit board industries such as silicon, copper, glass, and another polymer.
  • Contemplated coating materials, coating solutions and films can be utilized are useful in the fabrication of a variety of electronic devices, micro-electronic devices, particularly semiconductor integrated circuits and various layered materials for electronic and semiconductor components, including hardmask layers, dielectric layers, etch stop layers and buried etch stop layers.
  • These coating materials, coating solutions and films are quite compatible with other materials that might be used for layered materials and devices, such as adamantane-based compounds, diamantane-based compounds, silicon-core compounds, organic dielectrics, and nanoporous dielectrics.
  • Dielectric layer 22 is deposited on a silicon substrate 20.
  • Dielectric layer 22 can be composed of a variety of dielectric materials including, for example, a silicon dioxide layer derived from TEOS, a silane b ased silicon dioxide l ayer, a thermally grown oxide, or a chemical-vapor-deposition-produced methylhydridosiloxane, OSG, FSG, NANOGLASSTM-type of materials or silicon dioxide incorporating other elements or compounds.
  • Dielectric layer 22 is typically an optically transparent medium, but it does not have to be an optically transparent medium.
  • An absorbing anti-reflective coating layer 24 is applied above dielectric layer 22 (Fig. 2b) that is covered by a photoresist layer 26, of a conventional positive photoresist, to produce the stack shown in Fig. 2c.
  • the stack of Fig. 2c is exposed to ultraviolet radiation 32 through mask 30, as shown in Fig. 2d.
  • the absorbing ARC layer 24 absorbs UN light 32 transmitted through the photoresist.
  • the dielectric layer 22 is generally and usually transparent in the UV wavelength range, if absorbing ARC layer 24 were not present, the UV light 32 would reflect off the underlying silicon layer 20, or other reflective surfaces such as poly silicon, copper, and high refractive index surfaces such as silicon nitride, etc., degrading a critical dimension, for example critical dimension 27 of the exposed photoresist. I n this e ample, a p ositive photoresist, which provides direct image transfer, is assumed. It should be appreciated, however, that some organic dielectrics are not optically transparent.
  • the exposed stack is developed to produce the stack of Fig. 2e.
  • the absorbing ARC layer 24 is resistant to conventional photoresist developer solutions such as a 2.3% solution of tetramethylammoniumhydroxide (TMAH).
  • TMAH tetramethylammoniumhydroxide
  • ARC layers which have some of the chemical characteristics of the photoresist materials, are more sensitive to photoresist developers.
  • absorbing ARC layers are resistant to photoresist stripping processes, whereas organic ARC's are not resistant.
  • use of absorbing layers may facilitate photoresist rework, without the need to reapply the ARC layer.
  • a pattern is etched in the absorbing ARC layer 24 through the opening in photoresist layer 26 to produce the etched stack of Fig. 2f.
  • a fluorocarbon etch which has a high selectivity to photoresist, is used to etch the absorbing spin-on ARC layer 24.
  • the response of the absorbing layer to a fluorocarbon etch provides an additional advantage of the absorbing layer over organic ARC layers, which require an oxygen plasma etch [RIE based plasma].
  • An oxygen plasma etch can degrade the critical dimension of the developed photoresist because the photoresist, being organic based, is also etched by an oxygen plasma.
  • a fluorocarbon plasma consumes 1 ess p hotoresist than an o xygen p lasma.
  • a t s horter U V wavelengths, depth of focus requirements will limit the thiclcness of photoresist layer 26 at the exposure step shown in Fig. 2d.
  • the thiclcness of photoresist layer should be approximately 300 nm, and in several comtemplated embodiments, thicknesses of about 250 nm are being used.
  • it will be important to have an ARC layer that can be etched selectively with respect to the photoresist. Note the plasma etch rate selectivity of organic ARCs to photoresist rarely exceeds 2:1. Si-O based ARCs can show etch rate selectivities in excess of 6:1.
  • the fluorocarbon etch is continued through the dielectric layer 22 to produce the stack of Fig. 2g.
  • Photoresist layer 26 is partially consumed during the continued etch process.
  • the photoresist layer 26 is stripped using a suitable energy source, such as an oxygen plasma in some cases or a hydrogen reducing chemistry or via a wet chemistry and the ARC layer 24 is stripped using either a buffered oxide etch, for example a standard hydrofluoric acid/water mixture, non, partially or complete aqueous fluoride chemistry, or an aqueous or non-aqueous organoamine.
  • a buffered oxide etch for example a standard hydrofluoric acid/water mixture, non, partially or complete aqueous fluoride chemistry, or an aqueous or non-aqueous organoamine.
  • the ARC layer can be stripped with solutions that show a good selectivity with respect to the underlying dielectric layer.
  • the general photolithographic method shown in Figs. 2a-2h illustrates the process advantages of absorbing materials as anti-reflective coating layers and as sacrificial anti-reflective coating layers.
  • T he compounds, coatings, films, materials and the like described herein may be used to become a part of, form part of or form an electronic component and/or semiconductor component.
  • the term "electronic component” also means any device or part that can be used in a circuit to obtain some desired electrical action.
  • Electronic components contemplated herein may be classified in many different ways, including classification into active components and passive components. Active components are electronic components capable of some dynamic function, such as amplification, oscillation, or signal control, which usually requires a power source for its operation. Examples are bipolar transistors, field- effect transistors, and integrated circuits.
  • Passive components are electronic components that are static in operation, i.e., are ordinarily incapable of amplification or oscillation, and usually require no power for their characteristic operation. Examples are conventional resistors, capacitors, inductors, diodes, rectifiers and fuses.
  • Electronic components contemplated herein may also be classified as conductors, semiconductors, or insulators.
  • conductors are components that allow charge caniers (such as electrons) to move with ease among atoms as in an electric cunent.
  • Examples of conductor components are circuit traces and vias comprising metals.
  • Insulators are components where the function is substantially related to the ability of a material to be extremely resistant to conduction of cunent, such as a material employed to electrically separate other components
  • semiconductors are components having a function that is substantially related to the ability of a material to conduct cunent with a natural resistivity between conductors and insulators. Examples of semiconductor components are transistors, diodes, some lasers, rectifiers, thyristors and photosensors.
  • Power source components are typically used to power other components, and include batteries, capacitors, coils, and fuel cells.
  • Power consuming components include resistors, transistors, integrated circuits (ICs), sensors, and the like.
  • D iscreet c omponents are devices that o ffer one particular electrical property concentrated at one place in a circuit. Examples are resistors, capacitors, diodes, and transistors.
  • Integrated components are combinations of components that that can provide multiple e lectrical p roperties at one place in a circuit. Examples are integrated circuits in which multiple components and connecting traces are combined to perform multiple or complex functions such as logic.
  • Shrinkage is measured by determining the film thiclcness before and after the process. Shrinkage is expressed in percent of the original film thickness. Shrinkage is positive if the film thiclcness decreased. The actual thiclcness measurements' are performed optically using a n&lc Analyzer spectrophotometer.
  • the refractive index (n) measurements are p erformed t ogether with the thiclcness measurements using a n&lc Analyzer spectrophotometer.
  • absorption coeficent (lc) is measurmetns are performed together with the thiclcness measurments using a n&k Analyzer spectrophotometer.
  • Blanket Film Thickness The blanket film thiclcness measurements are performed using an N&K Analyzer.
  • % Via Fill The % Via Fill measurements are performed using a via-fill wafer along with a Scanning Electron Microscope.
  • Film Quality The film quality measurements are performed using visual inspection along with a microscope.
  • the methods of synthesizing the absorbing materials comprising at least one inorganic-based material or composition and at least one material modification agent that includes at least one porogen, at least one high-boiling solvent, at least one capping agent, at least one leveling agent, at least one catalyst, at least one replacement solvent, at least one adhesion p romoter, at least one pH tuning agent, and/or a combination thereof in order to couple with and improve the compatibility of a resist material, increase etch selectivity and/or stripping selectivity and increase planarizationability, while decreasing voiding are illustrated in the following examples.
  • solutions and coatings prepared in the following examples are tuned and/or prepared in order to be compatible with several photoresist materials, including those that absorb around 157 nm, 193 nm, 248 nm, and 375 nm.
  • An example of the 193 nm resist material is an acrylate resist material.
  • Examples 6-21 can be substituted for the above-referenced compound. It is also important to note that even though Examples 6-21 deal with absorbing compounds and pH tuning agent addition, the pH tuning agent may be included or excluded along with the acetone-free situation described in this Example, in order to produce an absorbing composition such as those described herein.
  • Table 1 shows a preliminary aging study using the acetone-free and acetone/IP A-free mixtures. I n Table 1 , "POR” stands for the control, which used both acetone and IPA as solvents.
  • Figures 3-14 show the graphical representations of the preliminary aging data found in Table 1, including molecular weights, thiclcness, polydispersity and pH stability over a 7 day period of time.
  • Table 2 shows the reflux time, temperature, gas chromotography infonnation, thickness, optical properties, ratios of water, ethanol, butanol and PGMEA, density, pH and total percent solids for 6 "runs" of the above-referenced material.
  • Table 3 shows the heat ramp data for those 6 runs (each run having a specific "Run ID” meaning a particular reflux time v. reflux temperature), and Figure 15 shows the graphical representations of the time v. temperature for those 6 runs.
  • the heat ramp experiments are designed to show how well the materials respond to applied heat. The temperature of the material is measured at specific time intervals as a constant heat is applied.
  • Examples 6-21 can be substituted for the above-referenced compound. It is also important to note that even though Examples 6-21 deal with absorbing compounds and pH tuning agent addition, the pH tuning agent may be included or excluded along with the high boiling point solvent situation described in this Example, in order to produce an absorbing composition such as those described herein.
  • Table 4 shows the summary of the via fill versus the thickness and pitch for control materials at different pH measurements versus the control materials plus the high boiling point solvents at different pH measurements.
  • Figures 16-27 show the graphical representation of the data and resulting trends regarding blanket film thiclcness and % fill in Table 4 (parts 1 and 2).
  • Figure 28 shows the actual SEM data for a wafer with absorbing composition and a wafer with absorbing composition that further comprises a high boiling point solvent.
  • TEOS 1639.78 grams MTEOS, 958.97 grams 9-anthracene carboxy-methyl triethoxysilane, 119.24 grams 0.1 M nitric acid and 1 425.58 grams deionized water were combined.
  • T he flask was refluxed and/or heated for 1 to 12 hours. The heating/refluxing time was divided into four relatively equal time intervals, and at each time interval TMEOS was added in 0.2g, 0.5g, lg and 5g amounts respectively.
  • 20650.0 g of ethyl lactate was added.
  • 932.80 grams of butanol was added after the TMEOS addition was completed.
  • the material modification agent added for this set of examples was the at least one capping agent, which was in this case TMEOS. It is important to note that any of the compounds and/or mixtures found in Examples 6 -21 c an be substituted for the above-referenced compound. It is also important to note that even though Examples 6-21 deal with absorbing compounds and pH tuning agent addition, the pH tuning agent may be included or excluded along with the high boiling point solvent situation described in this Example, in order to produce an absorbing composition such as those described herein.
  • the parents were aged for one week at 40°C.
  • time of addition of TMEOS was not significant to polymer molecular weight growth.
  • the polymer molecular weight growth was smallest for the three aged parents where 5g of TMEOS were added.
  • the GC showed a peak for TMEOS, except for the parent where TMEOS was added three hours. into reflux. F ive samples with the smallest polymer molecular weight growth slope were chosen as possible candidates.
  • the parents chosen were all three samples with 5 g of TMEOS added, one sample with lg of TMEOS added 3 hrs into reflux, and one sample with lg of TMEOS added instead of butanol.
  • the five parents were diluted to fonn the absorbing coating composition and aged for 1 week at 40°C. For the aged child, the polymer molecular weight growth was smallest for the three children where 5 g of TMEOS were added.
  • the polymer molecular weight growth may also be controlled and/or stopped by controlling the water content of the parent formulation, since a majority of the polymer molecular weight growth occurs upon dilution, regardless of the parent molecular weight. In some embodiments, the water was reduced by about 20%.
  • Table 5 and Table 6 show the Parent and Child QC results from the water controlling experiments. F igures 29 and 30 show a graphical representation of the water controlling experiment data based on molecular weight versus time (hours).
  • the material modification agent added for this set of examples was either one specific agent, such as a replacement solvent or a combination of several agents, such as at least one leveling agent and at least one replacement solvent. It is important to note that any of the compounds and/or mixtures found in Examples 6-21 can be substituted for the above-referenced compound. It is also important to note that even though Examples 6-21 deal with absorbing compounds and pH tuning agent addition, the pH tuning agent may be included or excluded along with the high boiling point solvent s ituation described in this Example, in order to produce an absorbing composition such as those described herein. Table 7 shows the data collected for this example and Figure 31 shows a graphical representation of some of this data - the delta thiclcness (isolated- dense).
  • the viscosity of the absorbing composition was modified by the following procedure.
  • the above-prepared absorbing composition that comprised a replacement solvent and no acetone was spun-on to a substrate at 2000RPM a thiclcness of 3000A with BYK 306 surfactant present.
  • About 2 grams of leveling and viscosity agents BYK 410, 420, 380 and/or 381 may be added.
  • the surfactant may or may not be present in additional examples when the leveling and/or viscosity agents are added.
  • the additive may be added to a child (already diluted like in the example above), they may be diluted further after the additive is added or not. Or the additive may be added to a parent and then either diluted or not.
  • FC-4430 was then tested on 300mm material loading range of 0.004 to 0.019. The striations were seen to decrease in severity as the loading increased with some wafers of loading 0.019 having no visible striations and other having visible striations.
  • FC-4432 was tested in 200mm and 300mm in loadings from 0.004 to 0.11 in small increments to determine the lowest concentration that the FC-4432 worked to eliminate striations.
  • the loading was found to be 0.005 in 300mm and 0.04 in 200mm. No filtration was done to eliminate filtration interactions.
  • FC-4432 the samples loadings that worked in the previous samples were repeated with larger sample sizes and half was filtered and half was unfiltered. 200mm material had loading 0.03 to 0.06. 300mm had loadings of 0.04 to 0.06. The filtered samples required a slightly higher surfactant loading than the unfiltered to eliminate striations.
  • Tagopren 5 884 was tested in 300mm with loadings of 0.006 to 0.11. None of the wafers had striations however there was a large thiclcness variation around the edge of the wafer.
  • Tagopren 5884 was mixed with other surfactants that did not have a large edge thickness variation, Tagopren 5851 and 5857 and FC-4430, to determine if mixing could create no striations and no edge thiclcness variation. The edge thiclcness variation was not eliminated.
  • Tagopren 5884 was used in the same loading that worked in earlier experiments (Loading 0.004, 0.006, and 0.008). The samples were made and filtered, none had striations.
  • Tagopren 5863 was tested in 300mm with loadings of 0.006 to 0.11. Samples with a loading of 0.015 or higher did not show striations, samples with a loading lower than 0.015 did have striations. There was a large thickness variation around the edge of the wafer.
  • Tagopren 5863 was mixed with other surfactants that did not have a large edge thickness variation, Tagopren 5851 and 5857, to determine if mixing could create no striations and no edge thickness variation. The edge thiclcness variation was not eliminated.
  • Tagopren 5863 was used in the same loading that worked in earlier experiments (Loading 0.015, 0.017, and 0.019). The sample with loading 0.019 did not have striations, the 0.015 and 0.017 had a few striations however did not show the striation pattern. Tagopren 5851, and 5857
  • Tagopren 5851 and 5857 were tested in 300mm with loadings of 0.006 to 0.11. All wafers spun had visible striations. There was not a large thiclcness variation around the edge of the wafer. Tagopren 5851 and 5857 were mixed with other surfactants that did not have striations to see if these surfactants would eliminate the edge thiclcness variation seen in other Tagopren s amples to determine if mixing could create no striations and no edge thiclcness variation. Edge thickness variation was visible on the mixed surfactant samples.
  • One of the best ways to improve the etching selectivity and/or stripping selectivity of the absorbing composition, as mentioned earlier, is increasing the surface area through the addition of a porogen to the material and then creating pores after the application of energy.
  • TEOS 1639.78 grams MTEOS, 958.97 grams 9-anthracene carboxy-methyl triethoxysilane, 119.24 grams 0.1 M nitric acid and 1425.58 grams d eionized w ater ere c ombined.
  • T he flask was refluxed and/or heated for 1 to 12 hours.
  • 20650.0 g of ethyl lactate and 932.80 grams of butanol was added.
  • the material modification agent added for this set of examples was at least one porogen, such as poly(ethylene oxide) (PEO) or poly( ⁇ ropylene oxide) (PPO).
  • PEO poly(ethylene oxide)
  • PPO poly( ⁇ ropylene oxide)
  • Examples 6-21 can be substituted for the above-referenced compound. It is also important to note that even though Examples 6-21 deal with absorbing compounds and pH tuning agent addition, the pH tuning agent may be included or excluded along with the high boiling point solvent situation described in this Example, in order to produce an absorbing composition such as those described herein.
  • the solution was filtered to be used in the pH tuning experiments.
  • a pH tuning agent 0.1 M nitric acid, was added to 2 separate solutions of 650 g of the spin-on material that has a starting pH of about 1.5.
  • the solutions were then dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • Optical properties were measured with an N & K Technology Model 1200 analyzer.
  • the film thiclcness was 1402.17 A.
  • the refractive index (n) was 1.47
  • the extinction coefficient (k) was 0.429.
  • the same spin and bake process parameters and measurement technique was used in all of the following examples.
  • the solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • Optical properties were measured with an N & K Technology Model 1200 analyzer.
  • the film thiclcness was 1635 A.
  • the refractive index (n) was 1.373 and the extinction coefficient (lc) was 0.268.
  • the solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • Optical properties were measured with an N & K Technology Model 1200 analyzer.
  • the film thiclcness was 1635 A.
  • the solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • Optical properties were measured with an N & K Technology Model 1200 analyzer.
  • the film thickness was 1635 A.
  • the solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • Optical properties were measured with an N & K Technology Model 1200 analyzer.
  • the film thickness was 1635 A.
  • the solution was filtered.
  • the solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • Optical properties were measured with an N & K Technology Model 1200 analyzer.
  • the film thiclcness was 1635 A.
  • the refractive index (n) was 1.373 and the extinction coefficient (lc) was 0.268.
  • the solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • Optical properties were measured with an N & K Technology Model 1200 analyzer.
  • the film thiclcness was 1635 A.
  • the solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • the solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • MTEOS 20 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)- diphenylketone, 5 grams rosolic acid, 0.5599 grams 1.0 M acetic acid and 71.90 grams deionized water were combined.
  • the flask was refluxed and/or heated for 1 to 12 hours.
  • 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
  • MTEOS 20 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)- diphenylketone, 5 grams rosolic acid, 0.5599 grams 10 M acetic acid and 120 grams deionized water were combined.
  • the flask was refluxed and/or heated for 1 to 12 hours.
  • 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
  • TMAH TMAH was added before, during and after the refluxing step, respectively.
  • TMAH was added before, during and after the refluxing step, respectively.
  • the Si-O fill material needs to be balanced so that both resistance to 2.5%o TMAH based photoresist developer, resistance to the solvents comprising the photoresist or if the Si-O fill material is transparent, the absorbing composition, and at the same time showing a highly selective strip to the ultra-low lc dielectric materials, coatings and/or films.
  • the photoresist can be patterned directly on the Si-O material.
  • the fill material should be resistant to 2.5% photoresist developer and to the solvents that comprise ArF, KrF and other type of photoresists.
  • An absorbing composition layer must then be applied on top of the chemically weak Si-O based fill material.
  • resistance can be maintained to non-aqueous solvents (in this case PGMEA) while at the same time allowing for complete removal by a standard photoresist developer (2.5% TMAH in water) system.
  • PGMEA non-aqueous solvents
  • TMAH standard photoresist developer
  • Photoresist patterning would then occur on the organic absorbing composition layer and not on the Si-O layer. Since the Si-O can be rapidly removed in mild 2.5% TMAH solutions, it can also be rapidly removed in other fluoride and more agressive alkaline chemistries. Such an increase in strip rate provides the selectivity to ultra low k films that is needed.
  • a thinner organic absorbing composition anti-reflective layer can be used as the via fill is handled by the weak Si-O based layer.
  • a thinner organic absorbing composition/anti- reflective layer facilitates the p attern transfer of photoresist tlirough and into the Si-O and ultra- low k layer.
  • the Si-O layer since the Si-O layer is now so weak it can be coated to sufficient thiclcness to improve planarization.
  • the Si-O layer can be made absorbing at the patterning wavelength thus no longer placing a thiclcness constraint on the organic absorbing composition/anti-reflective.
  • the organic absorbing composition/anti-reflective layer can be made sufficiently thin to act simply as a cap to the weak Si-O film. Making the organic absorbing composition/anti-reflective so thin further improves the ability to accurately transfer through the use of plasma etch the imaged pattern in the resist into the dielectric below.
  • porous film is baked to a temperature too low to drive out the porogen.
  • the porogen conduits are left behind within the Si-O anti-reflective coating film that when exposed to 2.3% TMAH is completely removed. However when exposed to PGMEA nothing occurs.
  • compositions and methods to produce inorganic-based m aterials, spin-on materials, spin-on inorganic materials and spin-on glass materials comprising absorbing compounds and that comprise at least one material modification agent, such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof have been disclosed. It should be apparent, however, to those skilled in the art that many more modifications besides those already described a re p ossible without departing from the inventive concepts herein.
  • PARENT QC RESULTS x 66.86 g Spin Conditions: 1500 rpm (20s) Bake Conditions: 150/250 C. n2 (50s)

Abstract

Anti-reflective coating materials for ultraviolet photolithography include at least one absorbing compound and at least one material modification agent, such as at least one porogen, at least one high-boiling solvent, at least one densifying agent, at least one capping agent, at least one leveling agent, at least one catalyst, at least one replacement solvent, at least one pH tuning agent, and/or a combination thereof that are incorporated into inorganic-based materials or inorganic compositions and/or compounds. Suitable absorbing compounds are those that absorb around wavelengths such as 365 nm, 248 nm, 193 nm and 157 nm that may be used in photolithography.

Description

ANTI-REFLECTIVE COATINGS FOR PHOTOLITHOGRAPHY AND METHODS OF PREPARATION THEREOF
FIELD OF THE INVENTION
The present invention relates generally to anti-reflective coating materials and more specifically to anti-reflective layers for use in photolithography and methods of producing the materials.
BACKGROUND OF THE INVENTION
To meet the requirements for faster performance, the characteristic dimensions of features of integrated circuit devices have continued to be decreased. Manufacturing of devices with smaller feature sizes introduces new challenges in many of the processes conventionally used in semiconductor fabrication. One of the most important of these fabrication processes is photolithography.
It has long been recognized that linewidth variations in patterns produced by photolithography can result from optical interference from light reflecting off an underlying layer on a semiconductor wafer. Variations in photoresist thiclcness due to the topography of the underlying layer also induce linewidth variations. Anti-reflective coatings (ARC) applied under a photoresist layer have been used to prevent interference from reflection of the irradiating beam. In addition, anti-reflective coatings partially planarize the wafer topography, helping to improve linewidth variation over steps because the photoresist thickness is more uniform.
Organic polymer films, particularly those that absorb at the i-line (365 nm) and g-line (436 nm) wavelengths conventionally used to expose photoresists, and at the recently used 157 nm, 193 nm, 248 nm wavelengths, have been employed or are being tested as anti- reflective coatings. However, the fact that the organic ARC's share many chemical properties with the organic photoresists can limit usable process sequences. Furthermore, ARC's, including both organic and inorganic ARC's, may intermix with photoresist layers. Organic and inorganic ARC's can mix with p hotoresist 1 ayers i f they are n ot s ufficiently b aked o r cured.
One solution to avoid intermixing is to introduce thermosetting binders as additional components of organic ARC's, as described for example in U.S. Patent No. 5,693,691 to Flaim et al. Dyes niay also be incorporated in organic ARC's, as well as, optionally, additional additives such as wetting agents, adhesions promoters, preservatives, and plasticizers, as described in U. S. Patent No. 4,910,122 to Arnold et al. Another attempt to avoid intermixing is found in US Patent 6,268,108 issued to Iguchi et al. However, the compositions for forming antireflective coatings found in Iguchi must be irradiated with actinic rays in order to produce an acid, which in turn activates a crosslinking reaction. Even though these previous patents may address some of the issues with intermixing, the problem of the lack of 86- to 90-degree uniformity on the resist edges because of the coupled ARC layer has not been addressed in the prior art.
Photoresists and anti-reflective coatings can also influence one another to the extent that the chemical properties of the anti-reflective coating and/or the resist material can lead the resist to "fall over" once a pattern has been developed into the resist. In other words, the patterned resist sidewall can't maintain an approximate 90-degree angle with respect to the anti-reflective coating after photoresist developing. Instead the resist will take on a 120- degree or an 80-degree angle with respect to the anti-reflective coating. These imperfections are also an indication that photoresist materials and anti-reflective coatings are not necessarily chemically, physically or mechanically compatible.
Photoresists and anti-reflective coatings can also have substandard or unacceptable etch selectivity or stripping selectivity. Poor etch selectivity and/or stripping selectivity can lead to low etch rates for the film. Poor etch selectivity can also lead to poor transfer of critical dimensions from the printing step(s) through the etch step(s). Attempts have been made at improving the etch rate by providing highly absorbing substances with subsititution groups that can condense the silane compound to specific silane compounds, as seen in JP Patent Application No.: 2001-92122 published on April 6, 2001. However, the etch selectivity obtained with these reactive compounds a re not sufficient for most photoresists and anti-reflective coatings and require additional chemical reaction steps that may not be necessary. h addition, photoresists and anti-reflective coatings often have difficulty with fill bias and voiding in via structures to the point where any planarization of the surface is severely compromised. Oftentimes, the two goals of increasing etch selectivity and minimizing fill bias and voiding directly conflict with one another, which is why it's important to review and understand the goals of each group of applications. Also, to sufficiently fill and planarize via arrays requires that a relatively thick anti-reflective coating exist. If the ARC coating is organic, such a thick coating will further compromise the accurate transfer of the as patterned critical dimension through the film stack.
A class of materials that can be used as an anti-reflective layer is spin-on-glass (SOG) compositions containing a dye. Yau et al., U.S. Patent No. 4,587,138, disclose a dye such as basic yellow #11 mixed with a spin-on-glass in an amount approximately 1% by weight. Allman et al. U. S. Patent No. 5,100,503 disclose a cross-linked polyorganosiloxane containing an inorganic dye such as TiO2, Cr2O7, MoO4, MnO4, or ScO , and an adhesion promoter. Allman additionally teaches that the spin-on-glass compositions also serve as a planarizing layer. However, the spin-on-glass, dye combinations that have been disclosed to date are not optimal for exposure to the deep ultraviolet, particularly 248 and 193 nm, light sources that are coming into use to produce devices with small feature sizes. Furthermore, not all dyes can be readily incorporated into an arbitrary spin-on-glass composition. Also, even though these ARC's are chemically different than the previously mentioned organic ARC's, the coupled resist layers can still suffer from "falling over" after being developed, as based on the chemical, physical, and mechanical incompatibility of the ARC layer and the resist layer - which is a common problem when trying to couple resist materials and anti- reflective coatings.
I-n developing anti-reflective coatings that can a) absorb strongly and uniformly in the ultraviolet spectral region; b) keep the resist material from "falling over" and expanding outside or contracting inside of the intended resist line and c) be impervious to photoresist developers and methods of production of spin-on glass anti-reflective coatings, Baldwin et al developed several anti-reflective coatings that are superior to conventional anti-reflective coatings, including those materials and coatings found in US Issued Patents 6,268,457 issued on July 31, 2001; 6,365,765 issued on April 2, 2002; 6,368,400 issued on April 9, 2002; US Patent Applications Serial Nos: 09/491166 filed January 26, 2000; 10/012651 filed November 5, 2001; 10/012649 filed November 5, 2001; 10/001143 filed November 15, 2001; PCT Applications Serial Nos: PCT/USOO/15772 filed on June 8, 2000; WO 02/06402 filed on July 12, 2001; PCT/USOl/45306 filed on November 15, 2001; Pending PCT Application filed on October 31, 2002 (Serial No. not yet assigned); European Patent Applications Serial No. 00941275.0 filed on June 6, 2000; and 01958953.0 filed on July 17, 2001, which are all commonly assigned and incorporated herein by reference in their entirety. Honeywell Electronic Materials™ also produces ACCUSPIN® 720 that is a spin-on polymer which comprises a low dielectric constant methylphenylsilsesquioxane compound. The organic content of this product is approximately 47% and is formulated in a high flashpoint solvent, such as n-propoxypropanol. Its "n" and "k" properties at 193 nm wavelength are approximately 1.9 and 0.6 respectively. However, with all of these materials, it would be beneficial to be able to modify the materials, coatings and films described therein to improve etch selectivity and/or stripping selectivity and to minimize fill bias.
Certain applications, such as tri-level photoresist schemes, in the Integrated Circuits (IC) industry require that a spin-on silicate film be used as a sacrificial hardmask. hi photolithography with chemically amplified resists, a certain degree of film density is a requirement of the substrate film, such as a UN absorbing organosiloxane film, to inhibit the diffusion of acid from the resist into itself. The loss of acid through diffusion into the underlying film leads to resist footing. Interface mismatch can also lead to problems, such as resist collapse. Thermal budgets limit how dense the silicate or siloxane-based films can be made through thermal processing. For the tri-layer resist process, the denser the silicate film, the better the pattern transfer through the underlying films.
Therefore, an absorbing anti-reflective coating and lithography material that a) absorbs strongly and uniformly in the ultraviolet spectral region, b) can keep the resist material from "falling over" and expanding outside or contracting inside of the intended resist line, c) would be impervious to photoresist developers and methods of production of the SOG anti-reflective coating described; d) can satisfy any goals of increasing etch selectivity and/or stripping selectivity, e) can satisfy any goals of minimizing fill bias and voiding in via structures, and f) can satisfy goals directed to densifying the film or layer would be desirable to advance the production of layered materials, electronic components and semiconductor components. SUMMARY OF THE INVENTION
An anti-reflective coating material for ultraviolet photolithography comprises at least one inorganic-based compound; at least one absorbing compound and at least one material modification agent. The at least one material modification agent may comprise at least one porogen, at least one high-boiling solvent, at least one catalyst, at least one leveling agent, at least one densifying agent, at least one pH tuning agent, at least one replacement solvent, at least one capping agent, at least one adhesion promoter, such as a resin-based material and/or a combination thereof that are incorporated into the inorganic-based material or compound.
A method of making an absorbing anti-reflective composition includes combining at least one inorganic-based composition, at least one incorporatable absorbing compound, and at least one material modification agent that may comprise at least one porogen, at least one high-boiling solvent, at least one leveling agent, at least one catalyst, at least one densifying agent, at least one pH tuning agent, at least one capping agent, at least one adhesion promoter and/or at least one replacement solvent, an acid/water mixture, such as a nitric acid/water mixture, and at least one solvent to form a reaction mixture.
In another method o f making an absorbing anti-reflective composition, at least one inorganic-based composition or inorganic material, at least one incorporatable absorbing compound, at least one material modification agent, such as at least one porogen, at least one high-boiling solvent, at least one catalyst, at least one densifying agent, at least one pH tuning agent, at least one leveling agent, at least one capping agent, at least one replacement solvent, at least one adhesion promoter and/or a combination thereof, and at least one additional solvent can be combined to form a reaction mixture.
In yet another aspect of the subject matter disclosed, an absorbing anti-reflective composition is produced comprising at least one silicon-based compound, at least one incorporatable absorbing compound that absorbs light at wavelengths less than about 375 nm, and at least one material modification agent, such as at least one porogen, at least one high- boiling solvent, at least one capping agent, at least one catalyst, at least one leveling agent, at least one densifying agent, at least one pH tuning agent, at least one adhesion promoter and/or at least one replacement solvent. BRIEF DESCRIPTION OF THE FIGURES
Figs, la - If show the chemical formulas of absorbing compounds incorporated into inorganic-based compositions and materials.
Figs. 2a-2h illustrate the use of absorbing anti-reflective compositions in a photolithography process.
Fig. 3 graphically illustrates MW (daltons) v. days at about 40°C for a contemplated compound.
Fig. 4 graphically illustrates MW (daltons) v. days at about 40°C for a contemplated compound.
Fig. 5 graphically illustrates MW (daltons) v. days at about 40°C for a contemplated compound.
Fig. 6 graphically illustrates Thickness (Angstroms) v. days at about 40°C for a contemplated compound.
Fig. 7 graphically illustrates Thickness (Angstroms) v. days at about 40°C for a contemplated compound.
Fig. 8 graphically illustrates Thiclcness (Angstroms) v. days at about 40°C for a contemplated compound.
Fig. 9 graphically illustrates Polydispersity (Mw/Mn - unitless) v. days at about 40°C for a contemplated compound.
Fig. 10 graphically illustrates Polydispersity (Mw/Mn - unitless) v. days at about 40°C for a contemplated compound.
Fig. 11 graphically illustrates Polydispersity (Mw/Mn - unitless) v. days at about 40°C for a contemplated compound.
Fig. 12 graphically illustrates pH (unitless) v. days at about 40°C for a contemplated compound.
Fig. 13 graphically illustrates pH (unitless) v. days at about 40°C for a contemplated compound. Fig. 14 graphically illustrates pH (unitless) v. days at about 40°C for a contemplated compound.
Fig. 15 graphically illustrates Temperature (°C) v. Time (minutes) for a group of contemplated compounds.
Fig. 1 6 graphically illustrates % Fill (Thiclcness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound.
Fig. 1 7 graphically illustrates % Fill (Thiclcness over via/via depth) v. blanket film thiclcness (Angstroms) for a contemplated compound.
Fig. 1 8 graphically illustrates % Fill (Thiclcness over via/via depth) v. blanket film thiclcness (Angstroms) for a contemplated compound.
Fig. 1 9 graphically illustrates % Fill (Thickness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound.
Fig. 20 graphically illustrates % Fill (Thiclcness over via/via depth) v. blanket film thiclcness (Angstroms) for a contemplated compound.
Fig. 2 1 graphically i llustrates % Fill (Thiclcness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound.
Fig. 22 graphically illustrates % Fill (Thiclcness over via/via depth) v. blanket film thiclcness (Angstroms) for a contemplated compound and also shows SEM data for one comtemplated embodiment.
Fig. 23 graphically illustrates % Fill (Thiclcness over via/via depth) v. blanket film thiclcness (Angstroms) for a contemplated compound and also shows SEM data for one comtemplated embodiment.
Fig. 24 graphically illustrates % Fill (Thickness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound and also shows SEM data for one comtemplated embodiment.
Fig. 25 graphically illustrates % Fill (Thickness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound. Fig. 26 graphically illustrates % Fill (Thiclcness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound and also shows SEM data for one comtemplated embodiment.
Fig. 27 graphically illustrates % Fill (Thickness over via via depth) v. blanket film thiclcness (Angstroms) for a contemplated compound and also shows SEM data for one comtemplated embodiment.
Fig. 28 shows SEM data for contemplated embodiments of the present subject matter.
Fig. 29 graphically illustrates Mw (Daltons) v. Time (Hours) for several contemplated embodiments of the present subject matter.
Fig. 30 graphically illustrates Film Thiclcness (Angstroms) v. Time (Hours) for several contemplated embodiments of the present subject matter.
Fig. 31 graphically illustrates Delta Thickness (Angstroms) v. Distance Between Vias (nm) for several contemplated embodiments.
Table 1 shows a preliminary aging study using acetone-free and acetone/IP A-free mixtures. POR = control.
Table 2 shows reflux time, temperature, gas chromatography information, thiclcness, optical properties, ratios of water, ethanol, butanol and PGMEA, density, pH and total percent solids for 6 "runs" of contemplated materials.
Table 3 shows the heat ramp data for the 6 runs represented in Table 2.
Table 4 (parts 1 and 2) shows the summary of the via fill versus the thiclcness and pitch for several contemplated materials at different pH measurements versus other contemplated materials with high boiling point solvents at different pH measurements.
Table 5 shows the Parent QC results from water control. experiments.
Table 6 shows the Child QC results from water control experiments.
Table 7 shows the data collected for Example 4, which is graphically represented in
Figure 31. Table 8 shows etch solution experiments conducted using contemplated compounds resent subject matter.
DETAILED DESCRIPTION
An anti-reflective coating material for ultraviolet photolithography comprises at least one inorganic-based compound or inorganic material; at least one absorbing compound and at least one material modification agent. The at least one material modification agent may include any compound or composition that can modify the coating material to improve the photolithographic, compatibility and/or physical quality of the resulting film, such as by improving the etch selectivity and/or stripping selectivity or by minimizing the fill bias. The at least one material modification agent may comprise at least one porogen, at least one leveling agent, at least one high-boiling solvent, at least one catalyst, at least one densifying agent, at least one pH tuning agent, at least one capping agent, at least one replacement solvent, at least one adhesion promoter, such as a resin-based material and/or a combination thereof that are incorporated into the inorganic-based m aterial or e ompound. R esin-based adhesion promoters may comprise organic resis such as phenolic-containing resins, novolac resins, such as CRJ-406 or HRJ-11040 (both from Schenectady International, Inc.), and acrylate resin and/or a styrene resins. Other adhesion promoters may comprise polydimethylsiloxane materials, ethoxy or hydroxy-containing silane monomers, vinyl- containing silane monomers, acrylated silane monomers, or silyl hydrides.
The absorbing compositions are further diluted in appropriate solvents to form coating solutions and applied to various layers of materials in fabricating layered materials, electronic devices, and semiconductor devices. The coating solutions may be applied by any suitable method or process, including spinning onto a surface, dripping onto a surface, rolling onto a surface and/or a combination of any of these methods or processes. The absorbing anti- reflective coatings are designed to be readily integrated into existing layered material, electronic component or semiconductor fabrication processes. Some properties that facilitate integration include a) developer r esistance, b ) t hermal s tability d uring s tandard p hotoresist processing, and c) selective removal with respect to underlying layers.
INORGANIC-BASED MATERIALS AND INORGANIC COMPOUNDS
Inorganic-based compounds and/or materials and/or contemplated spin-on inorganic- based compounds and/or materials, such as silicon-based, gallium-based, germanium-based, arsenic-based, boron-based compounds or combinations thereof are contemplated herein. Examples of silicon-based compounds comprise siloxane compounds, such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silazane polymers, dimethylsiloxane, diphenylsiloxane, methylphenylsiloxane, silicate polymers, silsilic acid derivaties, and mixtures thereof. A contemplated silazane polymer is perhydrosilazane, which has a "transparent" polymer backbone where chromophores can be attached.
As used herein, inorganic-based materials, inorganic compounds and spin-on-glass materials also include siloxane polymers and blockpolymers, hydrogensiloxane polymers of the general formula (Ho-ι.oSiOι.5-2.o)x, hydrogensilsesquioxane polymers, which have the formula (HSiOι.5)x, where x is greater than about four and derivatives of silsilic acid. Also included are copolymers of hydrogensilsesquioxane and an alkoxyhydridosiloxane or hydroxyhydridosiloxane. Materials contemplated herein additionally include organosiloxane polymers, acrylic siloxane polymers, silsesquioxane-based polymers, derivatives of silici acid, organohydndosiloxane polymers of the general formula (Ho-ι.oSiOι.5- .o)n(Ro-ι.oSiOι.5-2.o)m; and organohydridosilsesquioxane polymers of the general formula (HSiOι.5)n(RSiOι.5)m, where m is greater than zero and the sum of n and m is greater than about four and R is alkyl or aryl. Some useful organohydndosiloxane polymers have the sum of n and m from about four to about 5000 where R is a Cι-C2o alkyl group or a C6-Cι2 aryl group. The organohydndosiloxane and organohydridosilsesquioxane polymers are alternatively denoted spin-on-polymers. Some specific examples include alkylhydridosiloxanes, such as methylhydridosiloxanes, ethylhydridosiloxanes, propylhydridosiloxanes, t-butylhydridosiloxanes, phenylhydridosiloxanes; and alkylhydridosilsesquioxanes, such as methylhydridosilsesquioxanes, ethylhydridosilsesquioxanes, propylhydridosilsesquioxanes, t-butylhydridosilsequioxanes, phenylhydridosilsesquioxanes, and combinations thereof.
As used herein, the phrases "spin-on material", "spin-on organic material", "spin-on composition" and "spin-on inorganic composition" may be used interchangeable and refer to those solutions and compositions that can be spun-on to a substrate or surface. It is further contemplated that the phrase "spin-on-glass materials" refers to a subset of "spin-on inorganic materials", in that spin-on glass materials refer to those spin-on materials that comprise silicon-based compounds and/or polymers in whole or in part. h some contemplated embodiments, specific organohydridosiloxane resins utilized herein have the following general formulas:
[H-Siι.5]n[R-SiO1.5]m Formula (1) [H0.5-Siι.5 - ι.8]n[Ro.5-ι.o-SiOι.5 - ι.s]π. Fomιula (2)
[Ho-i.o-Sii.5jnCR-SiOi.5lm Formula (3)
[H-Si1.5]x[R-SiOι.5]y[SiO2]z Formula (4)
wherein: the sum of n and m, or the sum or x, y and z is from about 8 to about 5000, and m or y is selected such that carbon containing constituents are present in either an amount of less than about 40 percent (Low Organic Content = LOSP) or in an amount greater than about 40 percent (High Organic Content = HOSP); R is selected from substituted and unsubstituted, normal and branched allcyls (methyl, ethyl, butyl, propyl, pentyl), alkenyl groups (vinyl, allyl, isopropenyl), cycloalkyls, cycloalkenyl groups, aryls (phenyl groups, benzyl groups, naphthalenyl groups, anthracenyl groups and phenanthrenyl groups), and mixtures thereof; and wherein the specific mole percent of carbon containing substituents is a function of the ratio of the amounts of starting materials. In some LOSP embodiments, particularly favorable results are obtained with the mole percent of carbon containing substituents being in the range of between about 15 mole percent to about 25 mole percent. In some HOSP embodiments, favorable results are obtained with the mole percent of carbon containing substituents are in the range of between'about 55 mole percent to about 75 mole percent.
Several contemplated polymers comprise a polymer backbone encompassing alternate silicon and oxygen atoms. In contrast with previously known organosiloxane resins, some of the polymers and inorganic-based compositions and materials utilized herein have essentially no hydroxyl or alkoxy groups bonded to backbone silicon atoms. Rather, each silicon atom, in addition to the aforementioned backbone oxygen atoms, is bonded only to hydrogen atoms and/or R groups as defined in Formulae 1, 2, 3 and 4. By attaching only hydrogen and/or R groups directly to backbone silicon atoms in the polymer, unwanted chain lengthening and cross-linking is avoided. And given, among other things, that unwanted chain lengthening and cross-linking is avoided in the resins of the present invention, the shelf life of these resin solutions is enhanced as compared to previously known organosiloxane resins. Furthermore, since silicon-carbon bonds are less reactive than silicon hydrogen bonds, the shelf life of the organohydridosiloxane resin solutions described herein is enhanced as compared to previously known hydridosiloxane resins.
Some of the contemplated compounds previously mentioned are taught by commonly assigned US Patent 6,143,855 and pending US Serial No. 10/078919 filed February 19, 2002; Honeywell International Inc.'s commercially available HOSP®product; nanoporous silica such as taught by commonly assigned US Patent 6,372,666; Honeywell International Inc.'s commercially available NANOGLASS®E product; organosilsesquioxanes taught by commonly assigned WO 01/29052; and fluorosilsesquioxanes taught by commonly assigned US Patent 6,440,550, incorporated herein in their entirety. Other contemplated compounds are described in the following issued patents and pending applications, which are herein incorporated by reference in their entirety: (PCT/US00/15772 filed June 8, 2000; US Application Serial No. 09/330248 filed June 10, 1999; US Application Serial No. 09/491166 filed June 10, 1999; US 6,365,765 issued on April 2, 2002; US 6,268,457 issued on July 31, 2001; US Application Serial No. 10/001143 filed November 10, 2001; US Application Serial No. 09/491166 filed January 26, 2000; PCT/USOO/00523 filed January 7, 1999; US 6,177,199 issued January 23, 2001; US 6,358,559 issued March 19, 2002; US 6,218,020 issued April 17, 2001; US 6,361,820 issued March 26, 2002; US 6,218,497 issued April 17, 2001; US 6,359,099 issued March 19, 2002; US 6,143,855 issued November 7, 2000; US Application Serial No. 09/611528 filed March 20, 1998; and US Application Serial No. 60/043,261). Silica compounds contemplated herein are those compounds found in US Issued Patents: 6,022,812; 6,037,275; 6,042,994; 6,048,804; 6,090,448; 6,126,733; 6,140,254; 6,204,202; 6,208,041; 6,318,124 and 6,319,855.
As used herein, the term "crosslinking" refers to a process in which at least two molecules, or two portions of a long molecule, are joined together by a chemical interaction. Such interactions may occur in many different ways including formation of a covalent bond, formation of hydrogen bonds, hydrophobic, hydrophilic, ionic or electrostatic interaction. Furthermore, molecular interaction may also be characterized by an at least temporary- physical connection between a molecule and itself or between two or more molecules. In some contemplated embodiments, the p olymer b ackbone c onformation i s a c age configuration. Accordingly, there are only very low levels or reactive terminal moieties in the polymer resin given the cage conformation. A cage conformation of the polymer backbone also ensures that no unwanted chain lengthening polymerization will occur in solution, resulting in an extended shelf life. Each silicon atom of the polymer is bonded to at least three oxygen atoms. Moieties bonded to the polymer backbone include hydrogen and the organic groups described herein. As used herein, the term "backbone" refers to a contiguous chain of atoms or moieties fonning a polymeric strand that are covalently bound such that removal of any of the atoms or moiety would result in interruption of the chain. As used herein, the term "monomer" refers to any chemical compound that is capable of forming a covalent bond with itself or a chemically different compound in a r epetitive manner. The repetitive bond formation between monomers may lead to a linear, branched, super-branched, or three-dimensional product. Furthermore, monomers may themselves comprise repetitive building blocks, and when polymerized the polymers formed from such monomers are then termed "blockpolymers". Monomers may belong to various chemical classes of molecules including organic, organometallic or inorganic molecules. The molecular weight of monomers may vary greatly between about 40 Dalton and 20000 Dalton. However, especially when monomers comprise repetitive building blocks, monomers may have even higher molecular weights. Monomers may also include additional groups, such as groups used for crosslinking.
In some contemplated embodiments, the molecular weight of the inorganic-based compound may be increased in order to change the solubility of the material. In turn, changing the solubility of the material helps to prevent voiding and increases the planarization ability of the material.
ABSORBING COMPOUND
Many naphthalene-, phenanthrene- and anthracene-based compounds have significant absorption at 248 nm and below. Benzene-based, equivalently termed here phenyl-based, compounds have significant absorption at wavelengths shorter than 200 nm. While these naphthalene-, anthracene-, phenanthrene- and phenyl-based compounds are frequently referred t o a s d yes, t he t erm absorbing c ompound i s u sed h ere b ecause t he absorptions o f these compounds are not limited to wavelengths in the visible region of the spectrum. However, not all such absorbing compounds can be incorporated into inorganic-based materials for use as anti-reflective coating materials. Preferred absorbing compounds suitable for use have a definable absorption peak centered around wavelengths such as 248 nm, 193 nm, 157 nm or other ultraviolet wavelengths, such as 365 nm, that may be used in photolithography. It is contemplated that a preferred "definable absorption peak" is one that is at least 0.5 nm in width, wherein width is calculated by those methods commonly known in the art of photolithography, more prefened embodiments, the definable absorption peak is at least 1 nm in width. In even more prefened embodiments, the definable absorption peak is at least 5 nm in width. In most prefened embodiments, the definable absorption peak is at least 10 nm in width.
The chromophores of suitable absorbing compounds typically have at least one benzene ring, and where there are two or more benzene rings, the rings may or may not be fused. Incorporatable absorbing compounds have an accessible reactive group attached to the chromophore, wherein the reactive groups include hydroxyl groups, amine groups, carboxylic acid groups, and substituted silyl groups with silicon bonded to one, two, or three "leaving groups," such as alkoxy groups, acetoxy groups or halogen atoms. Ethoxy or methoxy groups or chlorine atoms are frequently used as leaving groups. Preferable reactive groups comprise siliconalkoxy, silicondialkoxy and silicontrialkoxy groups, such as siliconethoxy, silicondiethoxy, silicontriethoxy, siliconmethoxy, silicondimethoxy, and silicontrimethoxy groups and halosilyl groups, such as chlorosilyl, dichlorosilyl, and trichlorosilyl groups, and acetoxy groups like methyltriacetoxysilane, tetraacetoxysilane.
The reactive groups may be directly bonded to the chromophore, as, for example, in phenyltriethoxysilane, or the reactive groups may be attached to the chromophore through an ester, a ketone and/or oxygen linkage or a hydrocarbon bridge, as, for example, in 9-anthracene carboxy-alkyl trialkoxysilane. The inclusion of silicontrialkoxy groups on chromophores has been found to be advantageous, especially for promoting stability of the absorbing SOG films. Other useful absorbing compounds are those compounds that contain an azo group, -N=N-, and an accessible reactive group, particularly those containing an azo group linking b enzene rings, e specially when absorption around 365 nm is desired for the particular application. Azo groups may be included as part of a straight-chain molecule, a cyclic molecule or a hybrid straight-chain/cyclic molecule. The absorbing compounds may be incorporated interstitially in the inorganic-based material matrix. The absorbing compounds may also be chemically bonded to the inorganic- based material or polymer. In some contemplated embodiments, the incorporatable absorbing compounds form bonds with the inorganic-based material backbone or polymer backbone via the accessible reactive groups.
Absorbing compositions and materials may also comprise a silicon-based compound and an incorporatable absorbing compound that absorbs light at wavelengths less than about 375 nm. Further, it is contemplated that in other embodiments at least one of the silicon- based compound or the incorporatable absorbing compound comprises at least one alkyl group, alkoxy group, ketone group, acetoxy group, or azo group.
Examples of absorbing compounds suitable for use include those absorbing compounds that have a definable absorption peak around wavelengths less than about 375 nm, such as 365 nm, 248 nm, 193 nm and 157 nm, which include compounds such as antl raflavic acid (1), 9-anthracene carboxylic acid (2), 9-anthracene methanol (3), 9- anthracene ethanol (4), 9-anthracene propanol (5), 9-anthracene butanol (6), alizarin (7), quinizarin. (8), primuline (9), 2-hydroxy-4-(3-triethoxysilylpropoxy)-diphenylketone (10), 2-hydroxy-4-(3-trimethoxysilylpropoxy)-diphenylketone (11), 2-hydroxy-4-
(3-tributoxysilylpropoxy)-diphenylketone (12), 2-hydroxy-4-
(3-tripropoxysilylpropoxy)-diphenylketone (13), rosolic acid (14), triethoxysilylpropyl-1,8- naphthalimide (15), trimethoxysilylpropyl-l,8-naphthalimide (16), tripropoxysilylpropyl-1,8- naphthalimide (17), 9-anthracene carboxy-methyl triethoxysilane (18), 9-anthracene carboxy- ethyl triethoxysilane (19), 9-anthracene carboxy-butyl triethoxysilane (20), 9-anthracene carboxy-propyl triethoxysilane (21), 9-anthracene carboxy-methyl trimethoxysilane (22), 9-anthracene carboxy-ethyl tributoxysilane (23), 9-anthracene carboxy-methyl tripropoxysilane (24), 9-anthracene carboxy-propyl trimethoxysilane (25), phenyltriethoxysilane (26), phenyltrimethoxysilane (27), phenyltripropoxysilane (28), 10-phenanthrene carboxy-methyl triethoxysilane (29), 10-phenanthrene carboxy-ethyl triethoxysilane (30), 10-phenanthrene carboxy-methyl trimethoxysilane (31), 10-phenanthrene carboxy-propyl triethoxysilane (32), 4-phenylazophenol, (33), 4-ethoxyphenylazobenzene-4- carboxy-methyl triethoxysilane (34), 4-methoxyphenylazobenzene-4-carboxy-ethyl triethoxysilane (35), 4-ethoxyphenylazobenzene-4-carboxy-propyl triethoxysilane (36), 4- butoxyphenylazobenzene-4-carboxy-propyl triethoxysilane (37), 4- methoxyphenylazobenzene-4-carboxy-methyl triethoxysilane (38), 4- ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane (39), 4- methoxyphenylazobenzene-4-carboxy-ethyl triethoxysilane (40), 4- methoxyphenylazobenzene-4-carboxy-propyl triethoxysilane (41), and combinations, thereof. Chemical formulas of absorbing compounds 1 - 41 are illustrated in Figs, la - If. Advantageous results have been obtained, for example, with 9-anthracene carboxy-methyl triethoxysilane (18) with combinations of 9-anfhracene methanol (3), 2-hydroxy-4- (3-triethoxysilylpropoxy)-diphenylketone (10), and rosolic acid (14), and with phenyltriethoxysilane (26). It should be appreciated, however, that this list of specific compounds is not an exhaustive list, and that contemplated and prefened compounds can be selected from the chemical compound classes that comprise these specific compounds. 1 1 should also be appreciated that suitable absorbing compounds may be organic-based or inorganic-based compounds. However, in some contemplated embodiments, the absorbing compound may be organic-based, as long as the ARC doesn't share the same chemical properties with the photoresist, which can limit the useable process sequences. However, the class of photoresist materials can be broad because the addition of a material modification agent, such as a pH tuning agent, makes it possible ,to match any p hoto lithographic r esist material with an anti-reflective coating and make them compatible with one another. Examples of some contemplated photolithographic resist materials comprise acrylate-based resist materials, epoxy-based chemically amplified resists, fluoropolymer resists (which are especially useful when contemplating a 157 nm absorption wavelength), poly(norbornene- maleic anhydride) alternating co-polymers, polystyrene systems and diazonaphthoquinone/novolac resists.
Absorbing compounds 1 - 25 and 29-41 are available commercially, for example, from Aldrich Chemical Company (Milwaukee, WI). 9-anthracene carboxy-alkyl trialkoxysilanes are synthesized using esterification methods, as described below in the Examples Section. Absorbing compound 26-28 is available commercially from Gelest, Inc. (Tullytown, PA). Examples of phenyl-based absorbing compounds in addition to absorbing compound (26-28), many of which are also commercially available from Gelest, Inc., include structures with silicon-based reactive groups attached to phenyl rings or to substituted phenyls, such as methylphenyl, chlorophenyl, and chloromethylphenyl. Specific phenyl- based absorbing compounds include phenyltrimethoxysilane, benzyltrichlorosilane, chloromethylphenyltrimethoxysilane, phenyltrifluorosilane, to name only a few examples. Diphenyl silanes including one or two "leaving groups," such as diphenylmethylethoxysilane, diphenyldiethoxysilane, and diphenyldichlorosilane, to again name only a few examples, are also suitable incorporatable absorbing compounds. Alkoxybenzoic acids may also be used as absorbing compounds, including methoxybenzoic acid.
A general method of synthesizing 9-anthracene carboxy-alkyl trialkoxysilane compounds comprises using 9-anthracene carboxylic acid and a chloromethyl trialkoxysilane compound as reactants. Specifically, a method of synthesizing 9-anthracene carboxy-methyl triethoxysilane (18) uses 9-anthracene carboxylic acid (2) and chloromethyl triethoxysilane as reactants. The reactants are combined with triethylamine and methylisobutylketone (MIBK), previously dried over 4 A molecular sieves, to form a reaction mixture which is heated to reflux and refluxed for from approximately 6 to 10 hours. After reflux, the reaction mixture is cooled overnight leading to a large quantity of solid precipitate. The remaining solution is roto-evaporated, filtered through a silica gel column, and roto-evaporated a second time, to produce 9-anthracene carboxy-methyl triethoxysilane (18) as a dark amber oily liquid, which may be purified. This method is significant because it is suitable to use to produce any compound in the class of 9-anthracene carboxy-alkyl trialkoxysilanes, including 9-anthracene carboxy-ethyl triethoxysilane, 9-anthracene carboxy-propyl trimethoxysilane, and 9- anthracene carboxy-propyl triethoxysilane.
POROGENS
At least one porogen may be added to the inorganic-based composition or inorganic material in order to increase etch selectivity and/or stripping selectivity of the inorganic-based material or composition. Without being bound to any particular theory, it is understood that in one aspect of the subject matter herein the addition of at least one porogen to the inorganic- based material will result in pores or voids being formed in the material, coating and/or film. The pores or voids may be formed as a result of structural reanangement or loss of material such that a pore or void or increase in free volume is left behind. The pores or voids in the material, coating and/or film create additional surface area in the coating or film which ultimately increases the etch selectivity and/or stripping selectivity of the material, coating and/or film. In addition, the plasma etch rate of the film will increase with increasing porosity giving it better dry etch selectivity to photoresist. This dry etch selectivity is necessary to maintain proper transfer o f the critical dimension from printing through etch. Adding at least one porogen increases both the dry and wet etch rate of the absorbing material, coating and/or film versus the porogen content without degrading the lithographic performance of the absorbing film's antireflective properties. The porogen's molecular weight can also be used to determine if the porogen is compatible with the inorganic-based compound's matrix in the material. This compatibility quotient is related to the solubility parameters of the inorganic-based compound's matrix. In an ideal case the porogen should match the solubility parameter of the matrix coating formulation before cure, so that when formulation molecular weights are known, appropriate molecular weights of the porogen can be determined by matching the solubility parameters with the matrix. Solubility parameters may be determined experimentally by relationships to the refractive index, dielectric constant, surface tension and intrinsic viscsocity, or by calculation using group contribution methods or by molecular models of cohesive energy, (review ref Physical Properties of Polymers Handbook, Chapter 16 "Solubility Parmaters" Y. Du, Y. Xue, H.L. Frisch pp 227-239; James E. Mark Ed., 1996, American Institute of Physics, Woodbury, NY)
In another aspect of the subject matter taught herein, the porogen may serve a dual purpose or multi-stage purpose. The porogen may be specifically chosen for a particular absorbing composition based on polarity and/or functional groups. Once the porogen is incorporated into the composition it will act effectively as a "magnet" to attract the stripping and/or etching solution to the porogen by utilizing a difference in polarity between the porogen or by utilizing the functional groups on the porogen. This attraction effect by the porogen can be activated in several ways. For example, there may be an exothermic reaction that takes p lace when the p orogen is incorporated into the absorbing composition at room temperature, there may be an external energy and/or heat that needs to be added to "activate" the porogen or there may be a pressure differential applied to the absorbing composition that will "activate" the porogen. However, whether energy is added or not once the porogen is added, it should be understood that, in this embodiment, the porogen activated to the point where a complete pore or void is formed and the porogen remains until the lithography step is completed. Once the lithography step is completed, the absorbing composition comprising the porogen may be further heated so that the porogen can form a pore or void. At this point, the pores or voids in the material, coating and/or film create additional surface area in the coating or film which ultimately increases the etch selectivity and/or stripping selectivity of the material, coating and/or film, as described in the earlier embodiments.
As used herein, the term "pore" includes voids and cells in a material and any other term meaning space occupied by gas in the material. The term "pore" may also include a differential in material density wherein the free volume has been increased ("porous nature" has been introduced). Appropriate gases include relatively pure gases and mixtures thereof. Air, which is predominantly a mixture of N2 and O2 is commonly distributed in the pores, but pure gases such as nitrogen, helium, argon, CO2 or CO are also c ontemplated. P ores are typically spherical but may alternatively or additionally include tubular, lamellar, discoidal, voids having other shapes, or a c ombination o f the p receding s hapes and m ay b e o pen o r closed. The term "porogen" as used herein may have a variety of mechanisms available to form the pore but in general is a material which upon removal leaves behind either a "pore" or a "void" or a material that can reanange to create a "pore" or "void". In one embodiment, a porogen is a decomposable material that is radiation, thermally, chemically or moisture decomposable, degradable, depolymerizable or otherwise capable of breaking down and includes solid, liquid or gaseous material.
The decomposed porogen is removable from or can volatilize or diffuse through a partially or fully cross-linked matrix to create pores in a subsequently fully-cured matrix and thus, lower the matrix's dielectric constant and enhance the sacrificial properties. In another embodiment, the porogen might be a material, which does not decompose but can be dissolved out of the matrix leaving behind the "pore". In a third embodiment the porogen might be a material that does not decompose but is volatile enough to dissipate at specific elevated temperatures such as in the 250-350°C range. Supercritical materials, such as CO , may be used to remove the porogen and decomposed porogen fragments. Preferably, for a thermally decomposable porogen, the porogen comprises a material having a decomposition temperature greater than the minimum crosslinking temperature of the material. Preferably, the present novel porogens have a degradation or decomposition temperature of up to about 300°C, and in some cases greater than about 300°C. Preferably, the degraded or decomposed porogens volatilize at a temperature greater than the minimum cross-linking temperature of the material with which the porogen is combined. Preferably, the degraded or decomposed porogens volatilize at a temperature between about 50° to about 450°C. Although International Patent Publication WO 00/31183 teaches that a porogen may be added to thermosettable benzocyclobutene, polyarylene or thermosettable perfluoroethylene monomer to increase porosity thereof and thus, lower the dielectric constant of that resin, the reference teaches that a porogen that is known to function well with a first matrix system will not necessarily function well with another matrix system.
Known porogens, such as linear polymer, star polymers, cross-linked polymeric nanospheres, block copolymers, and hyperbranched polymers may be used in contemplated embodiments with the inorganic-based compounds and materials. Suitable linear polymers are polyethers, such as poly(ethylene oxide) and poly(propylene oxide); polyacrylates such as poly(methylmethacrylate); aliphatic polycarbonates such as poly(propylene carbonate) and poly(ethylene carbonate); polyesters; polysulfones; polystyrene (including monomer units selected from halogenated styrene and hydroxy-substituted styrene); poly(α-methylstyrene); and other vinyl-based polymers. Useful polyester porogens include polycaprolactone; polyethylene terephthalate; poly(oxyadipoyloxy-l,4-phenylene); poly(oxyterephthaloyloxy- 1,4-phenylene); poly(oxyadipoyloxy-l,6-hexamethylene); polyglycolide, polylactide (polylaqtic acid), polylactide-glycolide, polypyruvic acid, polycarbonate such as poly(hexamethylene carbonate) diol having a molecular weight from about 500 to about 2500; and polyether such as poly(bisphenol A-co-epichlorohydrin) having a molecular weight from about 300 to about 6500. Suitable crosslinked, insoluble nanospheres (prepared as nanoemulsions) are suitably comprised of polystyrene or poly(methylmethacrylate). Suitable block copolymers are poly-gylcolids, polylactic acid, poly(styrene-co-α-methylstyrene, poly(styrene-ethylene oxide), poly(etherlactones), poly(estercarbonates) and poly(lactonelactide). Suitable hyperbranched polymers are hyperbranched polyester, e.g. hyperbranched poly(caprolactone), and polyethers such as polyethylene oxide and polypropylene oxide. Another useful porogen is ethylene glycol-poly(caprolactone). Useful polymer blocks include polyvinylpyridines, hydrogenated polyvinyl aromatics, polyacrylonitriles, polysiloxanes, polycaprolactams, polyurethanes, polydienes such as polybutadienes and polyisoprenes, polyvinyl chlorides, polyacetals and amine-capped alkylene oxides. Other useful thermoplastic materials include polyisoprenes, polytetrahydrofurans and polyethyloxazolines.
Other suitable porogens suitable for use in contemplated embodiments include polymers, preferably those which contain one or more reactive groups, such as hydroxyl or amino. Within these general parameters, a suitable polymer porogen for use in the compositions and methods disclosed herein is, e.g. a polyalkylene oxide, a monoether of a polyalkylene oxide, a diether of a polyalkylene oxide, bisether of a polyalkylene oxide, an aliphatic polyester, an acrylic polymer, an acetal polymer, a poly(caprolactone), a ' poly(valeractone), a poly(methlymethoacrylate), a poly(vinylbutyral) and/or combinations thereof. When the porogen is a polyalkylene oxide monoether, one particular embodiment is a Ci to about C6 alkyl chain between oxygen atoms and a Ci to about C6 alkyl ether moiety, and wherein the alkyl chain is substituted or unsubstituted, e.g., polyethylene glycol monomethyl ether, polyethylene glycol dimethyl ether, or polypropylene glycol monomethyl ether.
Porogens comprising at least two fused aromatic rings wherein each of the fused aromatic rings has at least one alkyl substituent thereon and a bond exists between at least two of the al yl substituents on adjacent aromatic rings may be used in contemplated embodiments. Prefened porogens include unfunctionahzed polyacenaphthylene homopolymer, functionalized polyacenaphthylene homopolymer, the polyacenaphthylene copolymers described below, poly(2-vinylnaphthalene) and vinyl anthracene, and blends with each other. Other useful porogens include adamantane, diamantane, fullerene and polynorbornene. Each of these porogens, including those listed above, may be blended with one another or other porogen material, such as polycaprolactone, polystyrene and polyester. Useful blends include unfunctionahzed polyacenaphthylene homopolymer and polycaprolactone. The more prefened porogens are unfunctionahzed polyacenaphthylene homopolymer, functionalized polyacenaphthylene homopolymer, polyacenaphthylene copolymer and polynorbornene.
Useful polyacenaphthylene homopolymers may have weight average molecular weights ranging from referably about 3 00 to about 20,000; more preferably about 300 to about 10,000; and most preferably about 1000 to about 7000 and may be polymerized from acenaphthylene using different initiators such as 2,2'-azobisisobutyronitrile (ALBN); di-tert- butyl azodicarboxylate; di-phenylazodicarboxylate; l,l'-azobis(cyclohezanecarbonitrile); benzoyl peroxide (BPO); t-butyl peroxide; and boron trifluoride diethyl etherate. The polyacenaphthylene homopolymer m ay h ave functional end groups s uch as triple bonds or double bonds to the chain end or cationic polymerization quenched with a double or triple bond alcohol, such as allyl alcohol, propargyl alcohol, butynol, butenol or hydroxyethylmethacrylate.
European Patent Publication 315453 teaches that silica and certain metal oxides may react with carbon to form volatile sub oxides and gaseous carbon oxide to form pores and teaches that sources of carbon include any suitable organic polymer including polyacenaphthylene. However, the reference does not teach or suggest that polyacenaphthylene is a porogen useful in non-metallic materials or in reducing the dielectric constant of a matrix or increasing the etch selectivity of an absorbing spin-on material.
Useful polyacenaphthylene copolymers may be linear polymers, star polymers or hyperbranched polymers. The comonomer may have a bulky side group that will result in copolymer conformation that is similar to that of polyacenaphthylene homopolymer or a nonbulky side group that will result in copolymer conformation that is dissimilar to that of polyacenaphthylene homopolymer. Comonomers having a bulky side group include vinyl pivalate; tert-butyl acrylate; styrene; α-methylstyrene; tert-butylstyrene; 2-vinylnaphthalene; 5-vinyl-2-norbornene; vinyl cyclohexane; vinyl cyclopentant; 9-vinylanthracene; 4- vinylbiphenyl; tetraphenylbutadiene; stilbene; tert-butylstilbene; and indene; and preferably vinyl pivalate. Hydridopolycarbosilane may be, used as an additional co-monomer or copolymer component with acenaphthylene and at least one of the preceding comonomers.
An example of a useful hydridopolycarbosilane has 10% or 75%) allyl groups. Comonomers having a nonbulky side group include vinyl acetate, methyl acrylate, methyl methacrylate, and vinyl ether and preferably vinyl acetate.
When discussing pore generation, the term "degrade" refers to the breaking of covalent bonds. Such breaking of bonds may occur in numerous ways including heterolytic and homolytic breakage. The breaking of bonds need not be complete, i.e., not all breakable bonds must be cleaved. Furthermore, the breaking of bonds may occur in some bonds faster than i n o thers. E ster b onds, for e xample, a re g enerally 1 ess s table t han a mide b onds, and therefore, are cleaved at a faster rate. Breakage of bonds may also result in the release of fragments differing from one another, depending on the chemical composition of the degraded portion.
In a prefened embodiment of the pore generation process, for thermally degradable porogens, thermal energy is applied to the porogen containing material to substantially degrade or decompose the porogen into its starting components or monomers. As used herein, "substantially degrade" preferably means at least about 40 weight percent of the porogen degrades or decomposes. In more prefened embodiments, at least about 50 weight percent of the porogen degrades or decomposes, and in most prefened embodiments, at least about 80 weight percent of the porogen degrades or decomposes. In another embodiment, the porogen is dissolved out in either a separate process stage or in combination with other stages of process, such as during the photolithography development or during the actual wet stripping of the porogen containing material.
For the prefened embodiment, thermal energy is also applied to volatilize the substantially degraded or decomposed porogen out of the absorbing compound matrix. Preferably, the same thermal energy is used for both the degradation and volatilization steps. As the amount of volatilized degraded porogen increases, the resulting porosity of the anti- reflective material, coating and/or film increases.
Any suitable procedure or condition may be used to remove or at least partially remove the at least one porogen, including heat, dissolution in solvents, preferential etching, exposure to radiation, electromagnetic radiation, such as ultraviolet, x-ray, laser or infrared radiation; mechanical energy, such as sonication or physical pressure; or particle radiation, such as gamma ray, alpha particles, neutron beam or electron beam as taught by commonly assigned patent publication PCT/US96/08678 and US Patents 6,042,994; 6,080,526; 6,177,143; and 6,235,353, which are incorporated herein by reference in their entireties.
SOLVENTS
At least one high-boiling solvent and/or at least one replacement solvent may be added to the anti-reflective material, coating and/or film. Without being bound to any particular theory, it is understood that the addition of a high-boiling solvent prevents voiding and improves planarization by making the film a "slow drying" film. As used herein, the phrase "high boiling solvents" means that the solvent volatilizes at a temperature at, near and/or preferably slightly above the drying and/or curing temperature of the material, coating and/or film. Prefened high boiling solvents include glycerol, dibutylether, dibutylglycerol, dimethyl sulfoxide (DMSO), dimethylformamide (DMF), N-methyl-pyrrolidone (NMP), di- methyl-acetamide (DMAc), high boiling aromatic-based solvents, petroleum ether, the carbitol family, and the glycol ether family. High boiling point solvents can also act as porogens, such as dipropyleneglycol or ethyl lactate.
At least one replacement solvent may also be used to replace acetone (or another low boiling solvent) in the original anti-reflective coating material in order to improve the planarization of the coating and/or film and decrease voiding. The replacement solvent doesn't necessarily need to be a high-boiling solvent, but must instead improve the planarization ability of the material, coating and/or film over the conventional solvent being used. The replacement solvent may comprise additional functional groups, such as -OH, that have been shown to lead to better strip properties at similar bake temperatures for the solvent being replaced. Without being bound to any particular theory, it is understood that the additional functional groups may cause the replacement solvent to act almost like a porogen would to attract the stripping chemistry into the composition. This porogen-like action may be based on hydrogen-bonding of the replacement solvent with the matrix or the stripping chemistry. Acetone may also be left out of the material, coating or film altogether depending on the improvement to the planarization ability of the material, coating and/or film. Typical replacement solvents comprise isopropylalcohol, propanol, butanol, ethanol, PGMEA, ethyl lactate and/or 2-heptanone.
To further describe the above-contemplated embodiment, the solvent may serve a dual purpose or multi-stage purpose. The solvent may be specifically chosen for a particular absorbing composition based on polarity and/or functional groups other than those characteristics needed by the solvent to blend with or solvate the absorbing composition.
Once the solvent is incorporated into the composition it will act effectively as a "magnet" to attract the stripping and/or etching solution to the solvent by utilizing a difference in polarity between the solvent or by utilizing the functional groups on the solvent. This attraction effect by the solvent can be activated in several ways. For example, there may be an exothermic reaction that takes place when, the solvent is incorporated into the absorbing composition at room temperature, there may be an external energy and/or heat that needs to be added to
"activate" the solvent or there may be a pressure differential applied to the absorbing composition that will "activate" the solvent. However, whether energy is added or not once the solvent is added, it should be understood that, in this embodiment, the solvent is not heated or activated to the point where it is no longer present until the lithography s tep i s completed. Once the lithography step is completed, the absorbing composition comprising the solvent may be further heated so that the solvent can evaporate. At this point, the coating or film can be further processed, as described in the earlier embodiments.
Typical solvents are also those solvents that are able to solvate the monomers and polymers contemplated herein to be used as absorbing compounds and inorganic-based compounds and m aterials. C ontemplated s olvents include any s uitable pure or mixture of organic, organometallic or inorganic molecules that are volatilized at a desired temperature. The solvent may also comprise any suitable pure or mixture of polar and non-polar compounds. In prefened embodiments, the solvent comprises water, ethanol, propanol, acetone, toluene, ethers, cyclohexanone, butyrolactone, methylethylketone, methylisobutylketone, N-methylpynolidone, polyethyleneglycolmethylether, mesitylene, ethyl lactate, PGMEA, anisole, and families of poly-ether solvents such as carbitols (which consitute a family of ethyleneglycol ethers capped by hydroxy, alkoxy or carboxy groups) and analogous propyleneglycol ethers .
CATALYSTS
In other contemplated embodiments, at least one catalyst may be added to the absorbing material in order to improve etch selectivity and/or stripping selectivity. Catalysts may also be added in conjunction with the porogen to expedite pore formation. As used herein, the term "catalyst" means any substance that affects the rate of the chemical reaction without itself being consumed or undergoing a chemical change. Catalysts may be inorganic, organic, or a complex of organic groups and metal halides. Catalysts may also be liquids, solids, g ases o r a c ombination t hereof. C ontemplated c atalysts t o a dd i nespective o f t he porogen addition may comprise acids, such as HNO3, HCl, lactic acid, acetic acid, oxalic acid, succinic acid, maleic acid and the like. Contemplated porogen depolymerization catalysts include simple acids as described above, superacid photosensitive molecules such as triarylsulfonium, triaryloxonium, or diaryliodonium salts, free radical generators typically used for vinyl-based, acryl-based (and other multiple-bond monomer-based polymers) polymerization and other free-radical based chemistries (such as benozyl peroxide, azobisisobutyronitrile). ADHESION PROMOTERS
The phrase "adhesion promoter" as used herein means any component that when used with the thermally degradable polymer, improves the adhesion thereof to substrates compared with thermally degradable polymers. Preferably the at least one adhesion promoter is used with the thermally degradable polymer. The adhesion promoter may be a co-monomer reacted with the thermally degradable polymer precursor or an additive to the thermally degradable polymer precursor. Examples of useful adhesion promoters are disclosed in commonly assigned pending US Application Serial Number 158513 filed May 30, 2002 incorporated herein in its entirety.
Adhesion promoters contemplated herein may comprise c ompounds having at l east bifunctionality wherein the bifunctionality may be the same or different and at least one of said first functionality and said second functionality is selected from the group consisting of Si-containing groups; N-containing groups; C bonded to O-containing groups; hydroxyl groups; and C double bonded to C-containing groups. The phrase "compound having at least bifunctionality" as used herein means any compound having at least two functional groups capable of interacting or reacting, or forming bonds as follows. The functional groups may react in numerous ways including addition reactions, nucleophilic and electrophilic substitutions or eliminations, radical reactions, etc. Further alternative reactions may also include the formation of non-covalent bonds, such as Van der Waals, electrostatic bonds, ionic bonds, and hydrogen bonds.
In some embodiments of the at least one adhesion promoter, preferably at least one of the first functionality and the second functionality is selected from Si-containing groups; N- containing groups; C bonded to O-containing groups; hydroxyl groups; and C double bonded to C-containing groups. Preferably, the Si-containing groups are selected from Si-H, Si-O, and Si-N; the N-containing groups are selected from such as C-NH2 or other secondary and tertiary amines, imines, amides, and imides; the C bonded to O-containing groups are selected from =CO, carbonyl groups such as ketones and aldehydes, esters, -COOH, alkoxyls having 1 to 5 carbon atoms, ethers, glycidyl ethers; and epoxies; the hydroxyl group is phenol; and the C double bonded to C-containing groups are selected from allyl and vinyl groups. For semiconductor applications, the more prefened functional groups include the Si-containing groups; C bonded to O-containing groups; hydroxyl groups; and vinyl groups.
Contemplated adhesion promoters may also comprise an organic resin-based material that further comprises phenolic-containing resins, novolac resins, such as CRJ-406 or HRJ- 11040 (both from Schenectady International, Inc.), organic acrylate and/or a styrene resins. Other adhesion promoters may comprise polydimethylsiloxane materials, ethoxy or hydroxy- containing silane monomers, vinyl-containing silane monomers, acrylated silane monomers, or silyl hydrides.
An example of a contemplated adhesion promoter having Si-containing groups is silanes of the Formula I: (Rι4)k(Rι5)ιSi(Rι6)m(Rι )n wherein Rι , R15, R16, and Rπ ' each independently represents hydrogen, hydroxyl, unsaturated or saturated alkyl, substituted or unsubstituted alkyl where the substituent is amino or epoxy, saturated or unsaturated alkoxyl, unsaturated or saturated carboxylic acid radical, or aryl; at least two of Rι4, R15, Rι6, and R] 7 represent hydrogen, hydroxyl, saturated or unsaturated alkoxyl, unsaturated alkyl, or unsaturated carboxylic acid radical; and k+l+m+n<4. Examples include vinylsilanes such as
H20=CHSi(CH3)2H and H2C=CHSi(Rι8)3 where R18 is CH3O, C2H5O, AcO, H2C=CH, or
H2C=C(CH3)O-, or vinylphenylmethylsilane; allylsilanes of the formula H C=CHCH -
Si(OC2H5)3 and H2C=CHCH2-Si(H)(OCH3)2 • glycidoxypropylsilanes such as (3- glycidoxypropyl)methyldiethoxysilane and (3-glycidoxypropyl)trimethoxysilane; methacryloxypropylsilanes of the formula H2C=(CH3)COO(CH2)3-Si(ORι9)3 where Rι is an alkyl, preferably methyl or ethyl; aminopropylsilane derivatives including
H2N(CH2)3Si(OCH2CH3)3, H2N(CH2)3Si(OH)3 , or H2N(CH2)3OC(CH3)2CH=CHSi(OCH3)3.
The aforementioned silanes are commercially available from Gelest.
An example of a prefened adhesion promoter having C bonded to O-containing groups is glycidyl ethers including but not limited to l,l,l-tris-(hydroxyphenyl)ethane tri- glycidyl ether which is commercially available from TriQuest.
An example of a prefened adhesion promoter having C bonded to O-containing groups is esters of unsaturated carboxylic acids containing at least one carboxylic acid group.
Examples include trifunctional methacrylate ester, trifunctional acrylate ester, trimethylolpropane triacrylate, dipentaerythritol pentaacrylate, and glycidyl methacrylate. The foregoing are all commercially available from Sartomer. An example of a prefened adhesion promoter having vinyl groups is vinyl cyclic pyridine oligomers or polymers wherein the cyclic group is pyridine, aromatic, or heteroaromatic. Useful examples include but not limited to 2-vinylpyridine and 4- vinylpyridine, commercially available from Reilly; vinyl aromatics; and vinyl heteroaromatics including but not limited to vinyl quinoline, vinyl carbazole, vinyl imidazole, and vinyl oxazole.
An example of a prefened adhesion promoter having Si-containing groups is the polycarbosilane disclosed in commonly assigned copending allowed US Patent Application Serial Number 09/471299 filed December 23, 1999 incorporated herein by reference in its entirety. The polycarbosilane is that shown in Formula JJ:
Figure imgf000031_0001
in which R o, R 6, and R 9 each independently represents substituted or unsubstituted alkylene, cycloaUcylene, vinylene, allylene, or arylene; R2ι, R22, R23, R 4, R27, and R 8 each independently represents hydrogen atom or organo group comprising alkyl, alkylene, vinyl, cycloalkyl, allyl, or aryl and may be linear or branched; R25 represents organosilicon, silanyl, siloxyl, or organo group; and p, q, r, and s satisfy the conditions of [4< p + q + r + s <100,000], and q and r and s may collectively or independently be zero. The organo groups may contain up to 18 carbon atoms but generally contain from about 1 to about 10 carbon atoms. Useful alkyl groups include -CH - and -(CH2)t- where t>l.
Contemplated p olycarbosilanes include dihydridopolycarbosilanes in which R2o is a substituted or unsubstituted alkylene or phenyl, R2ι group is a hydrogen atom and there are no appendent radicals in the polycarbosilane chain; that is, q, r, and s are all zero. Another prefened group of polycarbosilanes are those in which the R2J, R22, R23, R 4, R25, and R28 groups of Fonnula JJ are substituted or unsubstituted alkenyl groups having from 2 to 10 carbon atoms. The alkenyl group may be ethenyl, propenyl, allyl, butenyl or any other unsaturated organic backbone radical having up to 10 carbon atoms. The aUcenyl group may be dienyl in nature and includes unsaturated alkenyl radicals appended or substituted on an otherwise alkyl or unsaturated organic polymer backbone. Examples of these prefened polycarbosilanes include dihydrido or alkenyl substituted polycarbosilanes such as polydihydridocarbosilane, polyallylhydrididocarbosilane and random copolymers of polydihydridocarbosilane and polyallylhydridocarbosilane. h the more preferred polycarbosilanes, the R2ι group of Formula II is a hydrogen atom and R2ι is methylene and the appendent radicals q, r, and s are zero. Other prefened polycarbosilane compounds of the invention are polycarbosilanes of Formula II in which R2j and R2 are hydrogen, R o and R29 are methylene, and R 8 is an alkenyl, and appendent radicals q and r are zero. The polycarbosilanes may be prepared from well known prior art processes or provided by manufacturers of polycarbosilane compositions. In the most prefened polycarbosilanes, the R2ι group of Formula II is a hydrogen atom; R24 is -CH2-; q, r, and s are zero and p is from 5 to 25. These most prefened polycarbosilanes may be obtained from Starfire Systems, Inc. Specific examples of these most prefened polycarbosilanes follow:
Figure imgf000032_0001
As can be observed in Formula π, the polycarbosilanes utilized may contain oxidized radicals in the form of siloxyl groups when r > 0. Accordingly, R25 represents organosilicon, silanyl, siloxyl, or organo group when r > 0. It is to be appreciated that the oxidized versions of the polycarbosilanes (r > 0) operate very effectively in, and are well within the purview of the present invention. As is equally apparent, r can be zero independently of p, q, and s the only conditions being that the radicals p, q, r, and s of the Formula π polycarbosilanes must satisfy the conditions of [4< p + q + r + s<l 00,000], and q and r can collectively or independently be zero. The polycarbosilane may be produced from starting materials that are presently commercially available from many manufacturers and by using conventional polymerization processes. As an example of synthesis of the polycarbosilanes, the starting materials may be produced from common organo silane compounds or from polysilane as a starting material by heating an admixture of polysilane with polyborosiloxane in an inert atmosphere to thereby produce the conesponding polymer or by heating an admixture of polysilane with a low molecular weight c arbosilane in an inert atmosphere to thereby produce the conesponding polymer or by heating an admixture of polysilane with a low molecular carbosilane in an inert atmosphere and in the presence of a catalyst such as polyborodiphenylsiloxane to thereby produce the conesponding polymer. Polycarbosilanes may also be synthesized by Grignard Reaction reported in U.S. Patent 5,153,295 hereby incorporated by reference in its entirety.
An example of a preferred adhesion promoter having hydroxyl groups is phenol- formaldehyde resins or oligomers of the Formula HI: - [R3oC6H (OH)(R3ι)]u- where R3o is substituted or unsubstituted alkylene, cycloaUcylene, vinyl, allyl, or aryl; R3] is alkyl, alkylene, vinylene, cycloaUcylene, allylene, or aryl; and u=3-100. Examples of useful alkyl groups include -CH2- and - (CH2)V- where v>l. A p articularly u seful p henol-formaldehyde r esin oligomer has a molecular weight of 1500 and is commercially available from Schenectady International Inc. The present adhesion promoter is added in small, effective amounts preferably from about 0.01%) up to about 15%> and more preferably from about 0.05%> to about 7% based on the weight of the present thermally degradable polymer.
The adhesion promoter may also serve a dual purpose or multi-stage purpose. The adhesion promoter may be specifically chosen for a particular absorbing composition based on polarity and/or functional groups other than those characteristics needed by the adhesion promoter in order to promote adhesion in the absorbing composition. Once the adhesion promoter is incorporated into the composition it will act effectively as a "magnet" to attract the stripping and/or etching solution to the adhesion promoter by utilizing a difference in polarity between the adhesion promoter or by utilizing the functional groups on the adhesion promoter. This attraction effect by the adhesion promoter can be activated in several ways. For example, there may be an exothermic reaction that takes place when the adhesion promoter is incorporated into the absorbing composition at room temperature, there may be an external energy and/or heat that needs to be added to "activate" the adhesion promoter, there may not need to be any energy added to the composition depending on the chemical composition of the adhesion promoter, or there may be a pressure differential applied to the absorbing composition that will "activate" the adhesion promoter. However, whether energy is added or not once the adhesion promoter is added, it should be understood that, in this embodiment, the adhesion promoter is not heated or activated to the point where it is chemically modified or broken down until the lithography step is completed. Once the lithography step is completed, the absorbing composition comprising the adhesion promoter may be left unheated or further heated so that the adhesion promoter's chemical composition can change to make it further compatible with the composition. At this point, the coating or film can be further processed, as described in the earlier embodiments.
PH TUNING AGENT
The pH tuning agent is a compound, material or solution that is added to the mixture of the inorganic-based material and the absorbing compound in order to "tune" or adjust the pH of the final absorbing composition so that it is compatible or more compatible with any chosen resist material, including those with absorption peaks around 365 nm, 248 nm, 193 nm and 157 nm. pH tuning agents contemplated herein are those also found in commonly assigned PCT Application Serial No.: PCT/USOl/45306 filed on November 15, 2001.
It should be appreciated, however, that the pH tuning agent not only adjusts the pH of the absorbing material, coating and/or film, but it also influences the chemical performance and characteristics, mechanical performance and structural makeup of the absorbing material, coating and/or film that is part of the layered material, electronic component or semiconductor component, such that the absorbing material, coating and/or film is more compatible with the resist material that i s coupled to it. More specifically, the pH tuning agent strongly influences the polymeric characteristics, the structural makeup and the spatial orientation that results in optimized film architecture by increasing the surface properties of the anti-reflective coating for optimal resist performance. In other words, a pH tuning agent that merely adjusts the pH of the spin-on material without influencing the mechanical properties and structural makeup of the absorbing material, coating and/or film or the coupled resist material is not contemplated herein. Also, it should be understood that several pH tuning agents may also be appropriate as densifying agents, catalysts or both. Each of the material modification agents discussed herein may be classified in more than one category.
Contemplated pH tuning agents must perform two separate and sometimes related functions: a) to influence the pH of the composition to which it is being added; and b) to influence the mechanical performance and/or structural makeup of the absorbing material, coating and/or film, which can also been stated as strongly influencing the polymeric characteristics, the structural makeup and the spatial orientation that results in enhancing the surface properties of the anti-reflective coating for optimal resist performance.
Contemplated pH tuning agents are partly designed to influence the pH of the composition to which it is added. The class of potential pH tuning agents comprises a) any suitable acidic or basic solution, compound, and/or component and/or b) any suitable strength or concentration of an acidic or basic solution, compound and/or component. This compilation of suitable pH "influencers" is the larger set of compoimd from which the ultimate pH tuning agent is chosen, because the pH "influencer" must also be able to influence the mechanical performance and/or structural makeup of the absorbing material, coating and/or film while also making the absorbing material, coating and/or film compatible or more compatible. By this, for example, it is meant that the chosen pH tuning agent is also designed to match the solubility parameter, the molecular weight, the melting point or some other physical characteristic of the spin-on material and organic absorbing compound mixture. In other words, the pH tuning agent and the mixture of inorganic matrix material and absorbing compound cannot be physically incompatible,' depending on the desirable physical characteristic, even if the pH tuning agent performs its first function of influencing the pH of the mixture. In preferred embodiments, the desirable physical characteristic is the solubility parameter or the molecular weight. In more prefened embodiments, the desirable physical characteristic is the solubility parameter.
It is also contemplated that the pH tuning agent will also mechanically and structurally influence the performance and characteristics of the resist material/ ARC couple. For example, a pH tuned absorbing material, coating and/or film is applied to a substrate or layered material, and then a resist material is applied to the absorbing material, coating and/or film. When the resist material is exposed and subsequently developed will have an 85-90 degree angle with respect to the absorbing material, coating and/or film (a development line). In other words, the resist material will not "fall over" onto the absorbing material, coating and/or film, but instead will have a useful development line. In the case where the absorbing material, coating and/or film is n ot p H t uned, t he r esist m aterial m ay " fall o ver" o nto the absorbing material, coating and/or film after etching, which obviously leads a defective resist material and/or to a defective layered material. It is in this instance where the pH tuned absorbing material, coating and/or film influences the mechanical and structural integrity of the absorbing material, coating and/or film and the compatibility of the resist material/ ARC couple. As used herein, the terms "coupled" or "couple" mean that the two materials or compositions are juxtaposed on top of one another to the point where the two materials are physically, mechanically and/or chemically bonded to one another.
Examples of some suitable pH tuning agents comprise various molar concentrations of the class of amines, such as γ-aminoalkyltrialkoxysilanes, specifically γ- aminopropyltriethoxysilanes (APTF or APTEOS); water; oxides and alkoxides, such as sodium alkoxides, potassium alkoxides, potassium hydroxide; hydrogen halides, such as hydrogen bromide, hydrochloric acid; acetic acid; sulfuric acid, lactic acid, nitric acid; TMAH; propylene glycol methyl ether acetate (PGMEA); amine-based oligomers, including those oligomers with inorganic atoms such as silicon, and combinations thereof. Contemplated molar concentrations of the pH tuning agent include pure, 10 Molar, 1.0 Molar, 0.1 Molar and 0.01 Molar concentrations, depending on the pH agent chosen for the resist material.
In yet other embodiments, increased pH solutions result in films that exhibit characteristics of being denser than films cast from non-pH tuned solutions. Wet strip tests were used to determine extent of densification. The effect ARC density as on the photoresist process is follows. If the ARC is not dense enough too much photogenerated acid within the resist (generated during exposure of the photoresist to UN radiation) diffuses across the photoresist - ARC boundary resulting in a decrease in the local concentration of photoacid resulting in a degradation of the local acid catalyzed reactions resulting in an under reacted resist which can lead to resist footing (positive tone resist). Opposite to this, if the ARC layer is too dense then an accumulation of local photo generated acid occurs at the interface resulting in an increase in the local acid catalyzed reactions resulting in an over reacted resist which can lead to resist undercut (positive tone resist). In another embodiment the pH tuning agent may be found on a solid-support material (such as a propylamine-attached silica, amine-based polymers such as melamine, or other amine-attached materials that are insoluble in the process solvent conditions) that is physically separated from the formulation. For instance the pH may be tuned while the formulation is passed thru the solid support material or the pH may be tuned in a slurry with the solid support material, which is later filtered.
The pH tuning agent may also serve a dual purpose or multi-stage purpose. The pH tuning agent may be specifically chosen for a particular absorbing composition based on polarity and/or functional groups other than those characteristics needed b y the pH tuning agent in order to "tune" the absorbing composition. Once the pH tuning agent is incorporated into the composition it will act effectively as a "magnet" to attract the stripping and/or etching solution to the pH tuning agent by utilizing a difference in polarity between the pH tuning agent or by utilizing the functional groups on the pH tuning agent. This attraction effect by the pH timing agent can be activated in several ways. For example, there may be an exothermic reaction that takes place when the pH tuning agent is incorporated into the absorbing composition at room temperature, there may be an external energy and/or heat that needs to be added to "activate" the pH tuning agent, there may not need to be any energy added to the composition depending on the chemical composition of the pH tuning agent, or there may be a pressure differential applied to the absorbing composition that will "activate" the pH tuning agent. However, whether energy is added or not once the pH tuning agent is added, it should be understood that, in this embodiment, the pH tuning agent is not heated or activated to the point where it is chemically modified or broken down until the lithography step is completed. Once the lithography step is completed, the absorbing composition comprising the pH tuning agent may be left unheated or further heated so that the pH tuning agent's chemical composition can change to make it further compatible with the composition. At this point, the coating or film can be further processed, as described in the earlier embodiments.
DENSIFYING AGENT
At least one densifying agent may be added to the absorbing compositions disclosed herein. The densifying agent or compound is similar to those previously mentioned in other material modification sections. It should be understood that many of the compounds mentioned in this and other sections overlap in that some of these compounds may be densifying agents and catalysts or densifying agents and pH tuning agents. The densifying agent comprises at least one compound, material or solution that is added to the absorbing compositions described herein in order to "tune" or adjust the density of the final composition so that the density or other related physical characteristics of the final material are increased relative to the reference material. As used herein, the "reference material" is that material that comprises each component of the contemplated composition described herein except the densifying agent. The "reference material" is meant to be a benchmark composition to which contemplated compositions may be compared.
It should be appreciated, however, that the densifying agent not only adjusts the density o f the absorbing composition, but it also influences the chemical performance and characteristics, mechanical performance and structural makeup of the final composition that is part of the layered material, electronic component or semiconductor component, such that the final composition is more compatible with the resist material that is coupled to it. More specifically, the densifying agent strongly influences the polymeric characteristics, the structural makeup and the spatial orientation that results in increasing the surface properties of the underlying coating, including not only anti-reflective coatings but other layers and layered materials, for optimal resist performance.
Like other material modification agents disclosed herein, contemplated densifying agents must perform two separate and sometimes related functions: a) to influence the physical properties of the c omposition to w hich i t i s b eing a dded; a nd b ) t o i nfluence t he mechanical performance and/or structural makeup of the composition, which can also been stated as strongly influencing the polymeric characteristics, the structural makeup and the spatial orientation that results in increasing the surface properties of the layer, coating and/or material, such as an anti-reflective coating, for optimal resist and/or component perfonriance.
Contemplated densifying agents are partly designed to influence the density of the composition to which it is added. The class of potential densifying agents comprises a) any suitable acidic or basic solution, compound, and/or component and/or b) any suitable strength or concentration of an acidic or basic solution, compound and/or component. This compilation of suitable density "influencers" is the larger set of compound from which the ultimate densifying agent is chosen, b ecause the density "influencer" must also b e able to influence the mechanical performance and/or structural makeup of the final composition while also making the final absorbing composition compatible or more compatible. By this, for example, it is meant that the chosen densifying agent is also designed to match the solubility parameter, the molecular weight, the melting point or some other physical characteristic of the inorganic materials, spin-on inorganic materials and/or spin-on-glass materials. In other words, the densifying agent and the inorganic materials, spin-on inorganic materials and/or spin-on-glass materials cannot be physically incompatible, depending on the desirable physical characteristic. In prefened embodiments, the desirable physical characteristic is the solubility parameter or the molecular weight, i more prefened embodiments, the desirable physical characteristic is the solubility parameter.
Examples of some suitable densifying agents or density-tuning agents comprise various molar concentrations of the class of amines, such as γ-aminoalkyltrialkoxysilanes and nitrogen-based salts of those silane compounds, specifically γ-aminopropyltriethoxysilanes (APTEOS) and APTEOS-N; water; oxides and alkoxides, such as sodium alkoxides, potassium alkoxides, potassium hydroxide; hydrogen halides, such as hydrogen bromide, hydrochloric acid; acetic acid; sulfuric acid, lactic acid, nitric acid; TMAH; acetate-based compounds, such as tetra-methyl ammonium acetate (TMAA), APTEOS-acetate and ammonium acetate; nitrate-based compounds, such as tetra-methyl ammonium nitrate (TMAN - a nitric acid stabilized form of TMAA) and ammonium nitrate; amine-based oligomers, including those oligomers with inorganic atoms such as silicon, and combinations thereof. Contemplated molar concentrations of the densifying agent include pure, 10 Molar, 1.0 Molar, 0.1 Molar and 0.01 Molar concentrations, depending on the densifying agent chosen for the resist material.
One contemplated method of making a contemplated composition includes combining at least one absorbing composition, at least one densifying agent (material modification agent), an acid/water mixture, such as a nitric acid/water mixture, and at least one solvent to form a reaction mixture; and refluxing the reaction mixture to form the contemplated composition. The composition formed is then diluted with at least one solvent to provide coating solutions that produce films of various thicknesses. The densifying agent may also and alternatively be added during the refluxing step or after the refluxing step. The densifying agent may also be added during the dilution stage where the thickness of the films is being determined, hi other suitable embodiments as mentioned, an incorporatable absorbing compound may be added during the steps used to form the reaction mixture.
In another contemplated method of making a contemplated composition, at least one absorbing composition, at least one densifying agent, and at least one solvent can be combined to form a reaction mixture. The reaction mixture is then refluxed to form the contemplated composition. The composition formed is diluted with at least one solvent to provide coating solutions that produce films of various thicknesses. The densifying agent in this method may either be a variation of the conventional acid/water mixture, in that a different acid may be added, less acid may be added, or more water may be added. T he densifying agent may also be added during the dilution step.
More specifically, a reaction mixture including silane reactants, for example HTEOS, or TEOS and MTEOS, or, TMOS and MTMOS; or, alternatively, tetrachlorosilane and methyltrichlorosilane, at least one densifying agent, such as APTEOS-N or APTEOS; a solvent or combination of solvents; and an acid/water mixture, is formed in a reaction vessel. Appropriate solvents include acetone, 2-propanol, and other simple alcohols, ketones and esters such as 1 -propanol, MEBK, propoxypropanol, and propyl acetate. The acid/water mixture is, for example nitric acid and water. Other protic acids or acid anhydrides, such as acetic acid, fon c acid, phosphoric acid, hydrochloric acid or acetic anhydride are alternatively used in the acid mixture. The resulting mixture is refluxed for between approximately 1 and 24 hours to produce the absorbing composition. As mentioned earlier, the densifying agent may be added during or after the refluxing step, depending on the resist material chosen. Also, as mentioned earlier, the acid concentration and/or strength and the water concentration in the acid/water mixture may be varied in order to become a densifying agent, depending on the resist material chosen for the specific 1 ayered m aterial, e lectronic component or semiconductor component application.
The density-tuned coating solutions (which may or may not be absorbing coating solutions) are applied to various substrates to form layered materials, layers used in semiconductor processing, or layers used in electronic components, depending on the specific fabrication process, typically by conventional spin-on deposition techniques. These techniques include a dispense spin, a thiclcness spin, and thermal bake steps, to produce suitable coating. Typical processes include a thiclcness spin of between 1000 and 4000 rpm for about 20 seconds and two or three bake steps at temperatures between 80°C and 300°C for about one minute each. The density-tuned coatings, according to the present invention exhibit refractive indices between about 1.3 and about 2.0 and extinction coefficients greater than approximately 0.07. It should also be noted that the density-tuned coatings contemplated herein have increased refractive index measurements relative to their non-density-tuned counterparts.
CAPPING AGENT
At least one capping agent may also be added to the absorbing composition as a material modification agent. As used herein, the term "capping agent" means a terminating monomer that effectively controls the molecular weight of a particular polymer by "capping" the end of the polymer. In contemplated embodiments, the capping agent comprises a silane monomer with only one reactive group, such as an ethoxy reactive group, with the rest of the monomer being methyl groups. Furthermore, the silane monomer is added late in the parent reaction that forms the absorbing composition. Any suitable terminating monomer may be used in this application, including silane compositions such as TMEOS.
The capping agent may also serve a dual purpose or multi-stage purpose. The capping agent may be specifically chosen for a p articular absorbing c omposition b ased o n p olarity and/or functional groups other than those characteristics needed by the capping agent in order to cap all or part of the constituents of the absorbing composition. By controlling molecular weight and the polarity of the functional groups present, the capping agent may also function to adjust solubility parameter of the matrix material which improves it's solubility compatibility throughout the process. Once the capping agent is incorporated into the composition it will act effectively as a "magnet" to attract the stripping and/or etching solution to the capping agent or the compound comprising the capping agent by utilizing a difference in polarity between the capping agent or by utilizing the functional groups on the capping agent. This attraction effect by the capping agent can be activated in several ways. For example, there may be an exothermic reaction that takes place when the capping agent is incorporated into the absorbing composition at room temperature, there may be an external energy and/or heat that needs to be added to "activate" the capping agent, there may not need to b e any energy added to the composition depending on the chemical composition of the capping agent, or there may be a pressure differential applied to the absorbing composition that will "activate" the capping agent. However, whether energy is added or not once the capping agent is added, it should be understood that, in this embodiment, the capping agent is not heated or activated to the point where it is chemically modified or broken down until the lithography step is completed. Once the lithography step is completed, the absorbing composition comprising the capping agent may be left unheated or further heated so that the capping agent's chemical composition can change to make it further compatible with the composition. At this point, the coating or film can be further processed, as described in the earlier embodiments.
LEVELING AGENT
At least one leveling agent may also be added to the absorbing composition as a material modification agent. As contemplated herein, the at least one leveling agent is added to the absorbing composition to improve the via fill bias in the material. Contemplated leveling agents comprise polyacrylates - both ionic and non-ionic, such as BYK 380 and
BYK 381.
The leveling agent may also serve a dual purpose or multi-stage purpose. The leveling agent may be specifically chosen for a p articular absorbing c omposition b ased on p olarity and/or functional groups other than those characteristics needed by the leveling agent in order to help level or planarize all or part of the absorbing composition. Once the leveling agent is incorporated into the composition it will act effectively as a "magnet" to attract the stripping and/or etching solution to the leveling agent or the compound comprising the leveling agent by utilizing a difference in polarity between the leveling agent or by utilizing the functional groups on the leveling agent. This attraction effect by the leveling agent can be activated in several ways. For example, there may be an exothermic reaction that takes place when the leveling agent is incorporated into the absorbing composition at room temperature, there may be an external energy and/or heat that needs to be added to "activate" the leveling agent, there may not need to be any energy added to the composition depending on the chemical composition of the leveling agent, or there may be a pressure differential applied to the absorbing composition that will "activate" the leveling agent. However, whether energy is added or not once the leveling agent is added, it should be understood that, in this embodiment, the leveling agent is not heated or activated to the point where it is chemically modified or broken down until the lithography step is completed. Once the lithography step is completed, the absorbing composition comprising the leveling agent may be left unheated or further heated so that the leveling agent's c hemical c omposition can change to make it further compatible with the composition. At this point, the coating or film can be further processed, as described in the earlier embodiments.
METHODS OF PRODUCTION
According to another aspect o f the s ubject, methods for synthesizing the absorbing compositions described herein are provided. Absorbing materials are typically synthesized from a variety of silane reactants including, for example, triethoxysilane (HTEOS), tetraethoxysilane (TEOS), methyltriethoxysilane (MTEOS), dimethyldiethoxysilane, tetramethoxysilane (TMOS), dimethyldiethoxysilane, methyltrimethoxysilane (MTMOS), trimethoxysilane, dimethyldimethoxysilane, trimethylethoxysilane (TMEOS), phenyltriethoxysilane (PTEOS), phenyltrimethoxysilane (PTMOS), diphenyldiethoxysilane, diphenyldimethoxysilane, tetracetoxysilane (TAS), methyltriacetoxysilane (MTAS), phenyltriacetoxysilane (PTAS), dimethyldiacetoxysilane, and, diphenyldiacetoxysilane.
However, gallium, arsenic, germanium, boron and similar atoms and materials may also be used in conjunction with silicon atoms or as the sole atomic material to produce an absorbing material.
Halosilanes, including chlorosilanes, such as trichlorosilane, methyltrichlorosilane, ethyltrichlorosilane, phenyltrichlorosilane, tetrachlorosilane, dichlorosilane, methyldichlorosilane, dimethyldichlorosilane, chlorotriethoxysilane, chlorotrimethoxysilane, chloromethyltriethoxysilane, chloroethyltriethoxysilane, chlorophenyltriethoxysilane, chloromethyltrimethoxysilane, chloroethyltrimethoxysilane, and chlorophenyltrimethoxysilane are also used as silane reactants.
h general, to produce the absorbing compositions, the absorbing compounds, such as absorbing compounds 1 - 41, or combinations thereof, are combined with the silane reactants during the synthesis of the absorbing materials. The material modification agent, such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof may also be combined with the silane reactants during the synthesis of the absorbing materials or once the synthesis is complete, as in the case of the at least one capping agent.
One contemplated method of making an absorbing composition includes combining at least one inorganic-based composition, at least one incorporatable absorbing compound, at least one material modification agent, such as the at least one porogen, the at least one high- boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof, an acid/water mixture, such as a nitric acid/water mixture, and at least one solvent to form a reaction mixture; and heating to a temperature about or above 40°C or refluxing the reaction mixture to form the absorbing composition. The absorbing composition formed is then diluted with at least one solvent to provide coating solutions that produce films of various thicknesses. The material modification agent, such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof may also and alternatively be added during the refluxing step or after the refluxing / heating step.
In another contemplated method of making an absorbing composition, at least one inorganic-based c omposition, at least one incorporatable absorbing compound, at least one material modification agent, such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof, and at 1 east o ne a dditional s olvent c an b e c ombined t o form a r eaction m ixture. The reaction mixture is then heating to a temperature about or above 40°C or refluxed to form the absorbing composition. The absorbing composition formed is diluted with at least one solvent to provide coating solutions that produce films of various thicknesses. The pH tuning agent in this method may either be a variation of the conventional acid/water mixture, in that a different acid may be added, less acid may be added, or more water may be added. Regardless of the pH tuning agent chosen, however, the basic principal still remains - which is that not only the pH is influenced by the pH tuning agent, but the chemical, mechanical and physical properties of the ARC are also influenced resulting in a more compatible resist/ ARC couple.
More specifically, a reaction mixture including silane reactants, for example HTEOS, or TEOS and MTEOS, TMEOS or TMOS and MTMOS; or, alternatively, tetrachlorosilane and methyltrichlorosilane, at least one absorbing compound, such as absorbing compounds 1 - 41; at least one pH tuning agent, such as APTF; a solvent or combination of solvents; and an acid/water mixture, is formed in a reaction vessel. Appropriate solvents include acetone, 2- propanol, and other simple alcohols, ketones and esters such as 1 -propanol, MIBK, propoxypropanol, and propyl acetate. The acid/water mixture is, for example nitric acid and water. Other protic acids or acid anhydrides, such as acetic acid, l actic acid, oxalic acid, formic acid, phosphoric acid, hydrochloric acid or acetic anhydride are alternatively used in the acid mixture. The resulting mixture is heated to a temperature about or above 40°C or refluxed for between approximately 1 and 24 hours to produce the absorbing solution. As mentioned earlier, the material modification agent, such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof may be added during or after the heating / refluxing step, depending on the resist material chosen. Also, as mentioned earlier, the acid concentration and/or strength and the water concentration in the acid/water mixture may be varied in order to become a pH tuning agent, depending on the resist material chosen for the specific layered material, electronic component or semiconductor component application.
The absorbing material c an b e d iluted w ith appropriate s olvents t o a chieve c oating solutions that produce films of various thicknesses. Suitable dilutant solvents include acetone, 2-propanol, ethanol, butanol, methanol, propylacetate, ethyl lactate, propylene glycol methyl ether acetate, and propylene glycol propyl ether, refened to commercially as Propasol- P. Dilutant solvents with high boiling points such as ethyl lactate and propylene glycol propyl ether have been found beneficial. It is believed high boiling point solvents decrease the probability of formation of bubble film defects. In contrast, lower boiling point solvents may become entrapped below a crosslinked top layer of a film and subsequently produce voids when driven off during a baking process step. Additional solvents useful in the invention include ethylene glycol dimethyl ether, alternatively termed glyme, anisole, dibutyl ether, dipropyl ether, propylene glycol methyl ether acetate, and pentanol. Optionally, surfactants, can be utilized and data/observations for these optional surfactants are presented below in the Examples section; however, these data and observations are not exhaustive of the studies conducted and do not represent all of the surfactants tested. For example, the following classes of surfactants were tested: polyacrylates (both ionic and non-ionic) (BYK brand surfactants, specifically BYK 306, 307, 308, 380 and 381), functional polydimethylsiloxanes (Tagopren brand surfactants), silicones, fluorinated alkylesters (FC brand surfactants, specifically FC4430, FC430 or FC4432 provided by 3M (Minneapolis, MN)), polyether and aliphatic hydrocarbon chain surfactants (Brij surfactants), the product Megaface R08 (provided by DIC (Japan)), or generally the class of fluorocarbon surfactants, are also added to the coating solution. Furthermore, several viscosity agents may be added to the coating solution to effect the viscosity of the coating solution, such as BYK 410 and BYK 420. The coating solution is typically between about 0.5 and 20 % polymer by weight. Prior to use, the coating solution is filtered by standard filtration techniques.
According to a second method of forming absorbing materials, a reaction mixture including at least one silane reactant, at least one absorbing compound, such as absorbing compounds 1 - 41, at least one material modification agent, including the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof, and an additional solvent or combination of solvents is formed in a reaction vessel. The reaction mixture is heated to about or above a temperature of 40°C and held at the desired temperature for between approximately 1 and 24 hours. The silane reactants and solvents are as described in the first method above. An acid/water mixture, as described above, i s a dded t o t he r eaction m ixture w hile s tirring. T he r esulting m ixture i s heated to about of above a temperature of 40°C and held at the desired temperature for between approximately 1 and 24 hours to produce the absorbing material. T he absorbing material is diluted and filtered as described above to form a coating solution. Again, as mentioned earlier, the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof may be added during or after the first heating step.
A method of forming an absorbing organohydridosiloxane material includes forming a mixture of a dual phase solvent which includes both a non-polar solvent and a polar solvent and a phase transfer catalyst; adding at least one organotrihalosilane, hydridotrihalosilane; adding at least one material modification agent, such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof; and at least one absorbing compound, such as absorbing compounds 1 - 41, to provide a dual phase reaction mixture; and reacting the dual phase reaction mixture for between 1 and 24 hours to produce the absorbing organohydridosiloxane polymer. The phase transfer catalyst includes but is not limited to tetrabutylammonium chloride and benzyltrimethylammonium chloride. Exemplary non-polar solvents include, but are not limited to, pentane, hexane, heptane, cyclohexane, benzene, toluene, xylene, halogenated solvents such as carbon tetrachloride and mixtures thereof. Useful polar solvents include water, alcohols, and alcohol and water mixtures. The absorbing polymer solution is diluted and filtered as described above to form a coating solution.
In another embodiment, the catalyst may reside on a solid phase, with the polymerization proceeding on the surface. The support materials may include typical silica- based ion-exchange resins which have an attached acid group such as sulfonic acid, or any other acid-containing polymer (such as polylactide, polyacrylic acid, polyvinylsulfonic acid) which is insoluble in the solvent conditions used.
In other embodiments, the water content of the composition during and after the synthesis and/or preparation of the absorbing coating composition can be controlled in order to control the molecular weight growth of the polymer. Controlling the water content has a similar effect as the capping agent to the polymer molecular weight growth.
Alternatively, yet another method of making an absorbing anti-reflective composition, requires at least one inorganic-based monomer to be added after the polymerization reaction has started in a "staged silane addition" manner. Any remaining silane monomers, the incorporatable absorbing compound that absorbs light at wavelengths less than about 375 nm, and the at least one material modification agent, such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof is then added to the reaction mixture.
APPLICATIONS
The absorbing coating solutions are applied to various substrates to form layered materials, layers used in semiconductor processing, or layers used in electronic components, depending on the specific fabrication process, typically by conventional spin-on deposition techniques. These techniques include a dispense spin, a thiclcness spin, and thermal b alee steps, to produce an absorbing anti-reflective coating. Typical processes include a thickness spin of between 1000 and 4000 rpm for about 20 seconds and one to three bake steps at temperatures between 80°C and 300°C for about one minute each. The absorbing anti- reflective coatings, according to the present invention exhibit refractive indices between about 1.3 and about 2.0 and extinction coefficients greater than approximately 0.07.
Substrates contemplated herein may comprise any desirable substantially solid material. Particularly desirable substrate layers would comprise films, glass, ceramic, plastic, metal or coated metal, or composite material. In prefened embodiments, the substrate comprises a silicon or germanium arsenide die or wafer surface, a packaging surface such as found in a copper, silver, nickel or gold plated leadframe, a copper surface such as found in a circuit board or package interconnect trace, a via-wall or stiffener interface ("copper" includes considerations of bare copper and its oxides), a polymer-based packaging or board interface such as found in a polyimide-based flex package, lead or other metal alloy solder ball surface, glass and polymers such as polyimide. In more prefened embodiments, the substrate comprises a material common in the packaging and circuit board industries such as silicon, copper, glass, and another polymer. Contemplated coating materials, coating solutions and films can be utilized are useful in the fabrication of a variety of electronic devices, micro-electronic devices, particularly semiconductor integrated circuits and various layered materials for electronic and semiconductor components, including hardmask layers, dielectric layers, etch stop layers and buried etch stop layers. These coating materials, coating solutions and films are quite compatible with other materials that might be used for layered materials and devices, such as adamantane-based compounds, diamantane-based compounds, silicon-core compounds, organic dielectrics, and nanoporous dielectrics. Compounds that are considerably compatible with the coating materials, coating solutions and films contemplated herein are disclosed in PCT Application PCT/US01/32569 filed October 17, 2001; PCT Application PCT/US01/50812 filed December 31, 2001; US Application Serial No. 09/538276; US Application Serial No. 09/544504; US Application Serial No. 09/587851; US Patent 6,214,746; US Patent 6,171,687; US Patent 6,172,128; US Patent 6,156,812, US Application Serial No. 60/350187 filed January 15, 2002; and US 60/347195 filed January 8, 2002, which are all incorporated herein by reference in their entirety.
A general method of using an absorbing material according to the present invention as an anti-reflective coating in a photolithographic process is illustrated in Figures 2a-2h. As shown in Fig. 2a, a dielectric layer 22 is deposited on a silicon substrate 20. Dielectric layer 22 can be composed of a variety of dielectric materials including, for example, a silicon dioxide layer derived from TEOS, a silane b ased silicon dioxide l ayer, a thermally grown oxide, or a chemical-vapor-deposition-produced methylhydridosiloxane, OSG, FSG, NANOGLASS™-type of materials or silicon dioxide incorporating other elements or compounds. Dielectric layer 22 is typically an optically transparent medium, but it does not have to be an optically transparent medium. An absorbing anti-reflective coating layer 24 is applied above dielectric layer 22 (Fig. 2b) that is covered by a photoresist layer 26, of a conventional positive photoresist, to produce the stack shown in Fig. 2c. The stack of Fig. 2c is exposed to ultraviolet radiation 32 through mask 30, as shown in Fig. 2d. During the exposure, the absorbing ARC layer 24 absorbs UN light 32 transmitted through the photoresist. Because the dielectric layer 22 is generally and usually transparent in the UV wavelength range, if absorbing ARC layer 24 were not present, the UV light 32 would reflect off the underlying silicon layer 20, or other reflective surfaces such as poly silicon, copper, and high refractive index surfaces such as silicon nitride, etc., degrading a critical dimension, for example critical dimension 27 of the exposed photoresist. I n this e ample, a p ositive photoresist, which provides direct image transfer, is assumed. It should be appreciated, however, that some organic dielectrics are not optically transparent.
The exposed stack is developed to produce the stack of Fig. 2e. The absorbing ARC layer 24 is resistant to conventional photoresist developer solutions such as a 2.3% solution of tetramethylammoniumhydroxide (TMAH). In contrast, ARC layers, which have some of the chemical characteristics of the photoresist materials, are more sensitive to photoresist developers. Furthermore, it is anticipated that absorbing ARC layers are resistant to photoresist stripping processes, whereas organic ARC's are not resistant. Thus, use of absorbing layers may facilitate photoresist rework, without the need to reapply the ARC layer.
Next, a pattern is etched in the absorbing ARC layer 24 through the opening in photoresist layer 26 to produce the etched stack of Fig. 2f. A fluorocarbon etch, which has a high selectivity to photoresist, is used to etch the absorbing spin-on ARC layer 24. The response of the absorbing layer to a fluorocarbon etch provides an additional advantage of the absorbing layer over organic ARC layers, which require an oxygen plasma etch [RIE based plasma]. An oxygen plasma etch can degrade the critical dimension of the developed photoresist because the photoresist, being organic based, is also etched by an oxygen plasma. A fluorocarbon plasma consumes 1 ess p hotoresist than an o xygen p lasma. A t s horter U V wavelengths, depth of focus requirements will limit the thiclcness of photoresist layer 26 at the exposure step shown in Fig. 2d. For example, it is estimated that at 193 nm, the thiclcness of photoresist layer should be approximately 300 nm, and in several comtemplated embodiments, thicknesses of about 250 nm are being used. Thus, as these short wavelengths start to be employed, it will be important to have an ARC layer that can be etched selectively with respect to the photoresist. Note the plasma etch rate selectivity of organic ARCs to photoresist rarely exceeds 2:1. Si-O based ARCs can show etch rate selectivities in excess of 6:1.
The fluorocarbon etch is continued through the dielectric layer 22 to produce the stack of Fig. 2g. Photoresist layer 26 is partially consumed during the continued etch process.
Finally, the photoresist layer 26 is stripped using a suitable energy source, such as an oxygen plasma in some cases or a hydrogen reducing chemistry or via a wet chemistry and the ARC layer 24 is stripped using either a buffered oxide etch, for example a standard hydrofluoric acid/water mixture, non, partially or complete aqueous fluoride chemistry, or an aqueous or non-aqueous organoamine. Advantageously, the ARC layer can be stripped with solutions that show a good selectivity with respect to the underlying dielectric layer. Thus, the general photolithographic method shown in Figs. 2a-2h illustrates the process advantages of absorbing materials as anti-reflective coating layers and as sacrificial anti-reflective coating layers.
T he compounds, coatings, films, materials and the like described herein may be used to become a part of, form part of or form an electronic component and/or semiconductor component. As used herein, the term "electronic component" also means any device or part that can be used in a circuit to obtain some desired electrical action. Electronic components contemplated herein may be classified in many different ways, including classification into active components and passive components. Active components are electronic components capable of some dynamic function, such as amplification, oscillation, or signal control, which usually requires a power source for its operation. Examples are bipolar transistors, field- effect transistors, and integrated circuits. Passive components are electronic components that are static in operation, i.e., are ordinarily incapable of amplification or oscillation, and usually require no power for their characteristic operation. Examples are conventional resistors, capacitors, inductors, diodes, rectifiers and fuses.
Electronic components contemplated herein may also be classified as conductors, semiconductors, or insulators. Here, conductors are components that allow charge caniers (such as electrons) to move with ease among atoms as in an electric cunent. Examples of conductor components are circuit traces and vias comprising metals. Insulators are components where the function is substantially related to the ability of a material to be extremely resistant to conduction of cunent, such as a material employed to electrically separate other components, while semiconductors are components having a function that is substantially related to the ability of a material to conduct cunent with a natural resistivity between conductors and insulators. Examples of semiconductor components are transistors, diodes, some lasers, rectifiers, thyristors and photosensors.
Electronic components contemplated herein may also be classified as power sources or power consumers. Power source components are typically used to power other components, and include batteries, capacitors, coils, and fuel cells. Power consuming components include resistors, transistors, integrated circuits (ICs), sensors, and the like.
Still further, electronic components contemplated herein may also be classified as discreet o r i ntegrated. D iscreet c omponents are devices that o ffer one particular electrical property concentrated at one place in a circuit. Examples are resistors, capacitors, diodes, and transistors. Integrated components are combinations of components that that can provide multiple e lectrical p roperties at one place in a circuit. Examples are integrated circuits in which multiple components and connecting traces are combined to perform multiple or complex functions such as logic.
EXAMPLES
ANALYTICAL TEST METHODS:
Shrinkage: Film shrinkage is measured by determining the film thiclcness before and after the process. Shrinkage is expressed in percent of the original film thickness. Shrinkage is positive if the film thiclcness decreased. The actual thiclcness measurements' are performed optically using a n&lc Analyzer spectrophotometer.
Refractive Index: The refractive index (n) measurements are p erformed t ogether with the thiclcness measurements using a n&lc Analyzer spectrophotometer.
Absoption coefficent: The absorption coeficent (lc) is measurmetns are performed together with the thiclcness measurments using a n&k Analyzer spectrophotometer.
Molecular Weight: The molecular weight (Mw) measurements are performed by using Gas Phase Chromatography.
Blanket Film Thickness: The blanket film thiclcness measurements are performed using an N&K Analyzer.
% Via Fill: The % Via Fill measurements are performed using a via-fill wafer along with a Scanning Electron Microscope.
Film Quality: The film quality measurements are performed using visual inspection along with a microscope.
The methods of synthesizing the absorbing materials comprising at least one inorganic-based material or composition and at least one material modification agent that includes at least one porogen, at least one high-boiling solvent, at least one capping agent, at least one leveling agent, at least one catalyst, at least one replacement solvent, at least one adhesion p romoter, at least one pH tuning agent, and/or a combination thereof in order to couple with and improve the compatibility of a resist material, increase etch selectivity and/or stripping selectivity and increase planarizationability, while decreasing voiding are illustrated in the following examples. The solutions and coatings prepared in the following examples are tuned and/or prepared in order to be compatible with several photoresist materials, including those that absorb around 157 nm, 193 nm, 248 nm, and 375 nm. An example of the 193 nm resist material is an acrylate resist material.
EXAMPLE 1
hi a 22-liter flask 6497.86 grams solvent, 2633.78 grams TEOS, 1639.78 grams
MTEOS, 958.97 grams 9-anthracene carboxy-methyl triethoxysilane, 119.24 grams 0.1 M nitric acid and 1425.58 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 932.80 grams of butanol and 20650.0 g of ethyl lactate was added. The acetone alone or the 2-propanol/acetone mixture that would have normally been used in the reaction is replaced with at least one replacement solvent. In one embodiment, a 2:1 mixture of ethanol/PGMEA is used as the at least one replacement solvent, h another embodiment, a 2:1 mixture of PGMEA/ethanol is used as the at least one replacement solvent. It is important to note that any of the compounds and/or mixtures found in Examples 6-21 can be substituted for the above-referenced compound. It is also important to note that even though Examples 6-21 deal with absorbing compounds and pH tuning agent addition, the pH tuning agent may be included or excluded along with the acetone-free situation described in this Example, in order to produce an absorbing composition such as those described herein.
Table 1 shows a preliminary aging study using the acetone-free and acetone/IP A-free mixtures. I n Table 1 , "POR" stands for the control, which used both acetone and IPA as solvents.
Figures 3-14 show the graphical representations of the preliminary aging data found in Table 1, including molecular weights, thiclcness, polydispersity and pH stability over a 7 day period of time.
Table 2 shows the reflux time, temperature, gas chromotography infonnation, thickness, optical properties, ratios of water, ethanol, butanol and PGMEA, density, pH and total percent solids for 6 "runs" of the above-referenced material. Table 3 shows the heat ramp data for those 6 runs (each run having a specific "Run ID" meaning a particular reflux time v. reflux temperature), and Figure 15 shows the graphical representations of the time v. temperature for those 6 runs. The heat ramp experiments are designed to show how well the materials respond to applied heat. The temperature of the material is measured at specific time intervals as a constant heat is applied.
EXAMPLE 2
hi a 22-liter flask 6331.20 grams 2-propanol, 3166.66 grams solvent, such as acetone,
2633.78 grams TEOS, 1639.78 grams MTEOS, 958.97 grams 9-anthracene carboxy-methyl triethoxysilane, 119.24 grams 0.1 M nitric acid and 1425.58 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 932.80 grams of butanol and 20650.0 g of ethyl lactate was added. The material modification agent added for this set of examples was the at least one high boiling solvent, which was in this case glycerol. For this set of experimental -data, 1% glycerol was added to the mixture to eliminate voiding and to improve the % via fill in the absorbing composition coating material. It is important to note that any of the compounds and/or mixtures found in Examples 6-21 can be substituted for the above-referenced compound. It is also important to note that even though Examples 6-21 deal with absorbing compounds and pH tuning agent addition, the pH tuning agent may be included or excluded along with the high boiling point solvent situation described in this Example, in order to produce an absorbing composition such as those described herein.
Table 4 (parts 1 and 2) shows the summary of the via fill versus the thickness and pitch for control materials at different pH measurements versus the control materials plus the high boiling point solvents at different pH measurements. Figures 16-27 show the graphical representation of the data and resulting trends regarding blanket film thiclcness and % fill in Table 4 (parts 1 and 2). Figure 28 shows the actual SEM data for a wafer with absorbing composition and a wafer with absorbing composition that further comprises a high boiling point solvent.
EXAMPLE 3
hi a 22-liter flask 6331.20 grams 2-propanol, 3166.66 grams acetone, 2633.78 grams
TEOS, 1639.78 grams MTEOS, 958.97 grams 9-anthracene carboxy-methyl triethoxysilane, 119.24 grams 0.1 M nitric acid and 1 425.58 grams deionized water were combined. T he flask was refluxed and/or heated for 1 to 12 hours. The heating/refluxing time was divided into four relatively equal time intervals, and at each time interval TMEOS was added in 0.2g, 0.5g, lg and 5g amounts respectively. To the solution, 20650.0 g of ethyl lactate was added. Also, in some of the embodiments 932.80 grams of butanol was added after the TMEOS addition was completed. The material modification agent added for this set of examples was the at least one capping agent, which was in this case TMEOS. It is important to note that any of the compounds and/or mixtures found in Examples 6 -21 c an be substituted for the above-referenced compound. It is also important to note that even though Examples 6-21 deal with absorbing compounds and pH tuning agent addition, the pH tuning agent may be included or excluded along with the high boiling point solvent situation described in this Example, in order to produce an absorbing composition such as those described herein.
The parents were aged for one week at 40°C. For the aged parent, time of addition of TMEOS was not significant to polymer molecular weight growth. The polymer molecular weight growth was smallest for the three aged parents where 5g of TMEOS were added. For the three parents where 5g of TMEOS were added (3 hrs into reflux, before b utanol, after butanol), the GC showed a peak for TMEOS, except for the parent where TMEOS was added three hours. into reflux. F ive samples with the smallest polymer molecular weight growth slope were chosen as possible candidates. The parents chosen were all three samples with 5 g of TMEOS added, one sample with lg of TMEOS added 3 hrs into reflux, and one sample with lg of TMEOS added instead of butanol. The five parents were diluted to fonn the absorbing coating composition and aged for 1 week at 40°C. For the aged child, the polymer molecular weight growth was smallest for the three children where 5 g of TMEOS were added.
The polymer molecular weight growth may also be controlled and/or stopped by controlling the water content of the parent formulation, since a majority of the polymer molecular weight growth occurs upon dilution, regardless of the parent molecular weight. In some embodiments, the water was reduced by about 20%. Table 5 and Table 6 show the Parent and Child QC results from the water controlling experiments. F igures 29 and 30 show a graphical representation of the water controlling experiment data based on molecular weight versus time (hours). EXAMPLE 4
In a 22-liter flask 6331.20 grams 2-propanol, 3166.66 grams solvent, such as acetone, 2633.78 grams TEOS, 1639.78 grams MTEOS, 958.97 grams 9-anthracene carboxy-methyl triethoxysilane, 119.24 grams 0.1 M nitric acid and 1425.58 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 20650.0 g of ethyl lactate and 932.80 grams of butanol was added. The material modification agent added for this set of examples was either one specific agent, such as a replacement solvent or a combination of several agents, such as at least one leveling agent and at least one replacement solvent. It is important to note that any of the compounds and/or mixtures found in Examples 6-21 can be substituted for the above-referenced compound. It is also important to note that even though Examples 6-21 deal with absorbing compounds and pH tuning agent addition, the pH tuning agent may be included or excluded along with the high boiling point solvent s ituation described in this Example, in order to produce an absorbing composition such as those described herein. Table 7 shows the data collected for this example and Figure 31 shows a graphical representation of some of this data - the delta thiclcness (isolated- dense).
In another related example, the viscosity of the absorbing composition was modified by the following procedure. The above-prepared absorbing composition that comprised a replacement solvent and no acetone was spun-on to a substrate at 2000RPM a thiclcness of 3000A with BYK 306 surfactant present. About 2 grams of leveling and viscosity agents BYK 410, 420, 380 and/or 381 may be added. The surfactant may or may not be present in additional examples when the leveling and/or viscosity agents are added. The additive may be added to a child (already diluted like in the example above), they may be diluted further after the additive is added or not. Or the additive may be added to a parent and then either diluted or not. There may be only one additive added or we might combine the additives using more than one on a sample to get the combined effects. A range of dilution factors were used for 410, 420, 380, and 381 to test the effect on the properties. The main effect that we were 1 oolcing for w as v ia fill, a s t hese s amples w ere s pun u p o n p atterned w afers a nd looked at under the SEM.
For the data related to surfactants, the following summary applies: SURFACTANTS TESTED
FC-4430 (3M)
FC-4432 (3M)
L-18459 (3M)
Brij-30 (Aldrich)
Brij-35 (Aldrich)
Brij-58 (Aldrich)
Brij-72 (Aldrich)
Brij-76 (Aldrich)
Brij-78 (Aldrich)
Brij-98 (Aldrich)
Brij-700 (Aldrich)
PolycarboMethylSilane (Aldrich)
Tergitol TMN -6 (Dow)
Tergitol minifoam 2x (Dow)
Tagopren-6870D (Goldschmit)
Tagopren-5843 (Goldschmit)
Tagopren-5852 (Goldschmit)
Tagopren-6871 (Goldschmit)
Tagopren-7008 (Goldschmit)
Tagopren-5884 (Goldschmit)
Tagopren-5863 (Goldschmit)
Tagopren-5851 (Goldschmit)
Tagopren-5857 (Goldschmit)
Tagopren-5040 (Goldschmit)
Clarian surfactant (Clarian)
BYK 306 (BYK Chemie)
BYK 307 (BYK Chemie)
BYK 320 (BYK Chemie)
BYK 333 (BYK Chemie)
At this time, several of the above-listed surfactants are successfully being utilized to eliminate striations at various loading points. Data and observations for several of the surfactants utilized are presented below; however, these data and observations are not exhaustive of the studies conducted and do not represent all of the surfactants tested. For example, the following classes of surfactants were tested: polyacrylates (both ionic and non- ionic) (BYK brand surfactants), functional polydimethylsiloxanes (Tagopren brand surfactants), silicones, fluorinated alkylesters (FC brand surfactants), polyether and aliphatic hydrocarbon chain surfactants (Brij surfactants).
FC-4430
Five 200mm samples were made with the loading range of 0.007 to 0.116 of FC-4430, and all were tested before and after filtration and the highest three loading were sent to Sunnyvale for testing on 8" wafers. All loadings had striations before and after filtration.
FC-4430 was then tested on 300mm material loading range of 0.004 to 0.019. The striations were seen to decrease in severity as the loading increased with some wafers of loading 0.019 having no visible striations and other having visible striations.
FC-4430 300mm samples with surfactant loading 0.015, 0.019, 0.023 at multiple spin speeds. There were striations seen on 0.015 and 0.019 loading wafers and some of the 0.023 loading wafers had visible striations and others didn't.
FC-4432
FC-4432 in 200mm Sample loading 0.004 to 0.2, sample loading 0.08 and 0.1 had no striations visible so samples were sent to Sunnyvale along with std 200mm material to be spun on 8" wafers and similarly no striations were visible, dewetting was noticeable on 0.1 loading sample. No filtration was done to eliminate and filtration interactions.
FC-4432 was tested in 200mm and 300mm in loadings from 0.004 to 0.11 in small increments to determine the lowest concentration that the FC-4432 worked to eliminate striations. The loading was found to be 0.005 in 300mm and 0.04 in 200mm. No filtration was done to eliminate filtration interactions.
FC-4432 the samples loadings that worked in the previous samples were repeated with larger sample sizes and half was filtered and half was unfiltered. 200mm material had loading 0.03 to 0.06. 300mm had loadings of 0.04 to 0.06. The filtered samples required a slightly higher surfactant loading than the unfiltered to eliminate striations.
Tagopren 5884
Tagopren 5 884 was tested in 300mm with loadings of 0.006 to 0.11. None of the wafers had striations however there was a large thiclcness variation around the edge of the wafer.
Tagopren 5884 was mixed with other surfactants that did not have a large edge thickness variation, Tagopren 5851 and 5857 and FC-4430, to determine if mixing could create no striations and no edge thiclcness variation. The edge thiclcness variation was not eliminated.
Tagopren 5884 was used in the same loading that worked in earlier experiments (Loading 0.004, 0.006, and 0.008). The samples were made and filtered, none had striations.
Tagopren 5863
Tagopren 5863 was tested in 300mm with loadings of 0.006 to 0.11. Samples with a loading of 0.015 or higher did not show striations, samples with a loading lower than 0.015 did have striations. There was a large thickness variation around the edge of the wafer.
Tagopren 5863 was mixed with other surfactants that did not have a large edge thickness variation, Tagopren 5851 and 5857, to determine if mixing could create no striations and no edge thickness variation. The edge thiclcness variation was not eliminated.
Tagopren 5863 was used in the same loading that worked in earlier experiments (Loading 0.015, 0.017, and 0.019). The sample with loading 0.019 did not have striations, the 0.015 and 0.017 had a few striations however did not show the striation pattern. Tagopren 5851, and 5857
Tagopren 5851 and 5857 were tested in 300mm with loadings of 0.006 to 0.11. All wafers spun had visible striations. There was not a large thiclcness variation around the edge of the wafer. Tagopren 5851 and 5857 were mixed with other surfactants that did not have striations to see if these surfactants would eliminate the edge thiclcness variation seen in other Tagopren s amples to determine if mixing could create no striations and no edge thiclcness variation. Edge thickness variation was visible on the mixed surfactant samples.
L-18459
This sample was tested in a 10% solution of EL and tested at loadings of 0.003 to 0.02 and wafers at loadings of 0.005 and above showed no striations.
EXAMPLE 5
One of the best ways to improve the etching selectivity and/or stripping selectivity of the absorbing composition, as mentioned earlier, is increasing the surface area through the addition of a porogen to the material and then creating pores after the application of energy.
In a 22-liter flask 6331.20 grams 2-propanol, 3166.66 grams acetone, 2633.78 grams
TEOS, 1639.78 grams MTEOS, 958.97 grams 9-anthracene carboxy-methyl triethoxysilane, 119.24 grams 0.1 M nitric acid and 1425.58 grams d eionized w ater ere c ombined. T he flask was refluxed and/or heated for 1 to 12 hours. To the solution, 20650.0 g of ethyl lactate and 932.80 grams of butanol was added. The material modification agent added for this set of examples was at least one porogen, such as poly(ethylene oxide) (PEO) or poly(ρropylene oxide) (PPO). It is important to note that any of the compounds and/or mixtures found in Examples 6-21 can be substituted for the above-referenced compound. It is also important to note that even though Examples 6-21 deal with absorbing compounds and pH tuning agent addition, the pH tuning agent may be included or excluded along with the high boiling point solvent situation described in this Example, in order to produce an absorbing composition such as those described herein. Table 8 shows a s et o f experiments c onducted using the absorbing compound in this example. Again, it should be noted that any one of the absorbing compositions can be substituted in these experiments. In Table 8, the following abbreviations are used: PEO = poly(ethylene oxide); TMAA = tetramethylammonium acetate; TMAH = etching solution at 2.3% and 23°C.
Table 8:
Figure imgf000062_0001
Figure imgf000063_0001
EXAMPLE 6
Synthesis of an absorbing material containing 9-anthracene carboxy-methyl triethoxysilane and a pH tuning agent h a 22-liter flask 6331.20 grams 2-propanol, 3166.66 grams acetone, 2633.78 grams TEOS, 1639.78 grams MTEOS, 958.97 grams 9-anthracene carboxy-methyl triethoxysilane, 119.24 grams 0.1 M nitric acid and 1425.58 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 932.80 grams of butanol and 20650.0 g of ethyl lactate was added. The solution was filtered to be used in the pH tuning experiments. A pH tuning agent, 0.1 M nitric acid, was added to 2 separate solutions of 650 g of the spin-on material that has a starting pH of about 1.5. The nitric acid was added in the following amounts and gave the following pH: a) 2.794 g (pH = 0.7); b) 0.293 g (pH = 0.75). APTEOS was added to two additional and separate solutions of 650 g of the same spin-on material in the following amounts giving the following pH values: a) 0.053 g (pH = 4.13); b) 0.151 g (pH = 5.47). The solutions were then dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thiclcness was 1402.17 A. At 248 nm, the refractive index (n) was 1.47 and the extinction coefficient (k) was 0.429. The same spin and bake process parameters and measurement technique was used in all of the following examples.
Synthesis of another absorbing material containing
9-anthracene carboxy-methyl triethoxysilane and pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245
grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thiclcness was 1635 A. At 248 nm, the refractive index (n) was 1.373 and the extinction coefficient (k) was 0.268. It should be appreciated, however, that the refractive index and extinction coefficient data for this example and all of the following and contemplated examples could change depending on the purity of the initial reactants and starting compounds. The same spin and bake process parameters and measurement technique was used in all of the following examples.
Synthesis of absorbing material containing 9-anthracene carboxy-methyl triethoxysilane and pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.01 M nitric acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thiclcness was 1635 A. At 248 nm, the refractive index (n) was 1.373 and the extinction coefficient (lc) was 0.268.
Synthesis of absorbing material containing 9-anthracene carboxy-methyl triethoxysilane and pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 1.0 M nitric acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thiclcness was 1635 A.
Synthesis of absorbing material containing 9-anthracene carboxy-methyl triethoxysilane and pH tuning agent
hi a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 100 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thickness was 1635 A.
Synthesis of absorbing material containing 9-anthracene carboxy-methyl triethoxysilane and pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 130 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol,- 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thickness was 1635 A.
Synthesis of absorbing material containing
9-anthracene carboxy-methyl triethoxysilane and pH tuning agent
hi a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 77 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. 1 g of APTEOS was added to the solution during refluxing. After refluxing, to the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thiclcness was 1635 A. At 248 nm, the refractive index (n) was 1.373 and the extinction coefficient (lc) was 0.268.
Synthesis of absorbing material containing
9-anthracene carboxy-methyl triethoxysilane and pH tuning agent
hi a 1-liter flas 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M nitric acid and 77 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. 1 g of APTEOS was added to the solution after refluxing. Also after refluxing, to the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. The film thiclcness was 1635 A.
Synthesis of absorbing material containing 9-anthracene carboxy-ethyl triethoxysilane and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-ethyl triethoxysilane, 0.6 grams 10 M acetic •acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10%> FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbing material containing 9-anthracene carboxy-ethyl triethoxysilane and a pH tuning agent
h a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-ethyl triethoxysilane, 0.6 grams 1.0 M acetic acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Synthesis of absorbing material containing 9-anthracene carboxy-ethyl triethoxysilane and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-ethyl triethoxysilane, 0.6 grams pure acetic acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized. water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbing material containing 9-anthracene carboxy-ethyl triethoxysilane and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-ethyl triethoxysilane, 0.6 grams 10 M acetic acid and 100 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Synthesis of absorbing material containing 9-anthracene carboxy-ethyl triethoxysilane and a pH tuning agent
hi a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-ethyl triethoxysilane, 0.6 grams 1.0 M acetic acid and 130 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbing material containing 9-anthracene carboxy-ethyl triethoxysilane and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-ethyl triethoxysilane, 0.6 grams pure acetic acid and 72 grams deionized water were combined. 1.0 g of potassium hydroxide was added before refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Synthesis of absorbing material containing 9-anthracene carboxy-ethyl triethoxysilane and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-ethyl triethoxysilane, 0.6 grams 10 M acetic acid and 72 grams deionized water were combined. 1.0 g of potassium hydroxide was added during refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbing material containing 9-anthracene carboxy-ethyl triethoxysilane and a pH tuning agent
h a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 60 grams 9-anthracene carboxy-ethyl triethoxysilane, 0.6 grams 1.0 M acetic acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. 1.0 g of potassium hydroxide was added before refluxing. Also, to the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Synthesis of absorbing material containing 9-anthracene carboxy-propyl triethoxysilane and a pH tuning agent
In a 1-liter flask 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams pure lactic acid and 40 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 26 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and , 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Thiclcness is 1487.1 Angstroms; lc = 0.4315; n=1.4986.
Synthesis of absorbing material containing 9-anthracene carboxy-propyl triethoxysilane and a pH tuning agent
In a 1-liter flask 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 10 M lactic acid and 40 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 26 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Synthesis of absorbing material containing 9-anthracene carboxy-propyl triethoxysilane and a pH tuning agent
In a 1-liter flask 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams pure lactic acid and 40 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 26 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbing material containing 9-anthracene carboxy-propyl triethoxysilane and a pH tuning agent
h a 1-liter flask 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 1.0 M lactic acid and 70 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 26 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Synthesis of absorbing material containing 9-anthracene carboxy-propyl triethoxysilane and a pH tuning agent
In a 1-liter flask 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 10 M lactic acid and 90 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 26 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbing material containing 9-anthracene carboxy-propyl triethoxysilane and a pH tuning agent
hi a 1-liter flask 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 10 M lactic acid and 40 grams deionized water were combined. 1.5 g of TMAH was added to the solution before refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 26 grams o f butanol, 488 grams 2 -propanol, 245 grams o f acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10%> FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Synthesis of absorbing material containing 9-anthracene carboxy-propyl triethoxysilane and a pH tuning agent
In a 1-liter flask 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 10 M lactic acid and 40 grams deionized water were combined. 1.5 g of TMAH was added to the solution during refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 26 grams o fbutanol, 488 grams 2 -propanol, 245 grams o f acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer.
Synthesis of absorbing material containing 9-anthracene carboxy-propyl triethoxysilane and a pH tuning agent
In a 1 -liter flask 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 10 M lactic acid and 40 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. 1.5 g of TMAH was added to the solution after refluxing. Also after refluxing, to the solution, 26 grams ofbutanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Synthesis of absorbing material containing 9-anthracene carboxy-propyl triethoxysilane and a pH tuning agent
In a 1 -liter flask 178 grams 2-propanol, 89 grams acetone, 52 grams TEOS, 59 grams MTEOS, 29 grams 9-anthracene carboxy-propyl triethoxysilane, 3.3 grams 10 M lactic acid and 40 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 26 grams ofbutanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) were added. The solution was filtered. The solution was dispensed, followed by a 3000 rpm thiclcness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Thiclcness is 1487.1 Angstroms; k = 0.4315; n=1.4986.
EXAMPLE 7
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and a pH tuning agent
h a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 25 grams 9-anthracene methanol, 10 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 111 grams of butanol, 459 grams 2-propanol, 230 grams of acetone, 309 grams of ethanol, 50 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thiclcness= 1436 A, n= 1.479, lc = 0.1255.
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 25 grams 9-anthracene methanol, 10 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.6 grains 0.01 M nitric acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 111 grams of butanol, 459 grams 2-propanol, 230 grams of acetone, 309 grams of ethanol, 50 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 25 grams 9-anthracene methanol, 10 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.6 grams 1.0 M nitric acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 111 grams of butanol, 459 grams 2-propanol, 230 grams of acetone, 309 grams of ethanol, 50 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysiIypropoxy)-diphenylketone, rosolic acid, and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 25 grams 9-anthracene methanol, 10 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.6 grams 0.1 M nitric acid and 95 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 111 grams of butanol, 459 grams 2-propanol, 230 grams of acetone, 309 grams of ethanol, 50 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol,
2-hydroxy-4-(3-triethoxysilypropoxy)-diphenyIketone, rosolic acid, and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 25 grams 9-anthracene methanol, 10 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.6 grams 0.1 M nitric acid and 110 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 111 grams of butanol, 459 grams 2-propanol, 230 grams of acetone, 309 grams of ethanol, 50 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 25 grams 9-anthracene methanol, 10 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. 1.2 g of APTEOS was added to the solution before refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 111 grams ofbutanol, 459 grams 2-propanol, 230 grams of acetone, 309 grams of ethanol, 50 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and a pH tuning agent
h a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 25 grams 9-anthracene methanol, 10 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. 1.2 g of APTEOS was added to the solution during refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 111 grams ofbutanol, 459 grams 2-propanol, 230 grams of acetone, 309 grams of ethanol, 50 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and a pH tuning agent
hi a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 25 grams 9-anthracene methanol, 10 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. 1.2 g of APTEOS was added to the solution after refluxing. Also, after refluxing, to the solution, 111 grams of butanol, 459 grams 2-propanol, 230 grams of acetone, 309 grams of ethanol, 50 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
EXAMPLE 8
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 93 grams TEOS, 77 grams
MTEOS, 20 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)- diphenylketone, 5 grams rosolic acid, 0.5599 grams 10 M acetic acid and 71.90 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 93 grams TEOS, 77 grams
MTEOS, 20 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)- diphenylketone, 5 grams rosolic acid, 0.5599 grams 1.0 M acetic acid and 71.90 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 93 grams TEOS, 77 grams MTEOS, 20 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)- diphenylketone, 5 grams rosolic acid, 0.5599 grams pure acetic acid and 71.90 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing SOG containing 9-anthracene methanol,
2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and a pH tuning agent
h a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 93 grams TEOS, 77 grams MTEOS, 20 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)- diphenylketone, 5 grams rosolic acid, 0.5599 grams 10 M acetic acid and 95 grams deionized water were combined. The flask was refluxed and/of heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenyIketone, rosolic acid, and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 93 grams TEOS, 77 grams
MTEOS, 20 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)- diphenylketone, 5 grams rosolic acid, 0.5599 grams 10 M acetic acid and 120 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and a pH tuning agent
h a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 93 grams TEOS, 77 grams MTEOS, 20 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)- diphenylketone, 5 grams rosolic acid, 0.5599 grams 10 M acetic acid and 71.90 grams deionized w ater w ere c ombined. 2 .2 g o f p otassium hydroxide w as a dded t o the solution before refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams ofbutanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 93 grams TEOS, 77 grams
MTEOS, 20 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)- diphenylketone, 5 grams rosolic acid, 0.5599 grams 10 M acetic acid and 71.90 grams deionized w ater w ere c ombined. 2 .2 g o f p otassium hydroxide w as added t o the solution during refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and a pH tuning agent
hi a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 93 grams TEOS, 77 grams MTEOS, 20 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)- diphenylketone, 5 grams rosolic acid, 0.5599 grams 1075M acetic acid and 71.90 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. 2.2 g of potassium hydroxide was added to the solution after refluxing. Also, after refluxing, to the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%o FC 430 (3M, Minneapolis, MN) were added.
EXAMPLE 9
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and pH tuning agent
h a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 108 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.5599 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thiclcness^ 4275 A, n= 1.529, lc = 0.124.
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and pH tuning agent
hi a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 108 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.5599 grams 0.01 M nitric acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thiclcness= 4275 A, n= 1.529, lc = 0.124. Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and pH tuning agent
h a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 108 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.5599 grams 1.0 M nitric acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thickness= 4275 A, n= 1.529, lc = 0.124.
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and pH tuning agent
hi a 1-liter flask 297 grains 2-propanol, 148 grams acetone, 108 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.5599 grams 0.1 M nitric acid and 95 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grains of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thiclcness= 4275 A, n= 1.529, lc = 0.124.
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and pH tuning agent
h a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 108 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.5599 grams 0.1 M nitric acid and 125 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thickness= 4275 A, n= 1.529, lc = 0.124.
Synthesis of absorbing SOG containing 9-anthracene methanol,
2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and pH tuning agent
h a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 108 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.5599 grams 0.1 M nitric acid and 72 grams deionized water were combined. 3 g of APTEOS was added to the solution before refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thiclcness= 4275 A, n= 1.529, lc = 0.124.
Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, and pH tuning agent
h a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 108 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.5599 grams 0.1 M nitric acid and 72 grams deionized water were combined. 3 g of APTEOS was added to the solution during refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams ofbutanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thickness= 4275 A, n= 1.529, k = 0.124. Synthesis of absorbing SOG containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysiIypropoxy)-diphenylketone, rosolic acid, and pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 108 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3- triethoxysilypropoxy)-diphenylketone, 5 grams rosolic acid, 0.5599 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. 3 g of APTEOS was added to the solution after refluxing. Also, after refluxing, to the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%> FC 430 (3M, Minneapolis, MN) were added. Thiclcness= 4275 A, n= 1.529, lc = 0.124.
EXAMPLE 10
Synthesis of absorbing material containing
2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone and a pH tuning agent
h a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenyllcetone, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grains of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thiclcness= 3592 A, n= 1.563, lc = 0.067.
Synthesis of absorbing material containing
2-hydroxy-4-(3-triethoxysiIypropoxy)-diphenylketone and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 0.6 grams 0.01 M nitric acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams ofbutanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing
2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone and a pH tuning agent
hi a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 0.6 grams 1.0 M nitric acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing
2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone and a pH tuning agent
hi a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 0.6 grams 0.1 M nitric acid and 90 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Synthesis of absorbing material containing 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 0.6 grams 0.1 M nitric acid and 125 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%o FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone and a pH tuning agent
h a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. 0.26 g of APTEOS was added to the solution before refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. 0.26 g of APTEOS was added to the solution during the refluxing step. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone and a pH tuning agent
h a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 0.6 grams 0.1 M nitric acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. 0.26 g of APTEOS was added to the solution after refluxing. Also, after refluxing, 57 grams o fbutanol, 8 8 grams 2 -propanol, 44 grams o f acetone, 5 9 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added to the solution.
Synthesis of absorbing material containing
2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone and a pH tuning agent
h a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone, 0.6 grams 10 M lactic acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Synthesis of absorbing material containing 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grains TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone, 0.6 grams 1.0 M lactic acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%> FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grains acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone, 0.6 grams 1.0 M lactic acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%> FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 75 grams 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenyllcetone, 0.6 grams 10 M lactic acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%) FC 430 (3M, Minneapolis, MN) were added. Synthesis of absorbing material containing 2-hydroxy-4-(3-trimethoxysiIypropoxy)-diphenylketone and a pH tuning agent
hi a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone, 0.6 grams 10 M 1 actic a cid a nd 1 15 g rams d eionized w ater w ere c ombined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone, 0.6 grams 10 M lactic a cid a nd 72 g rams d eionized w ater w ere c ombined. 0 .06 g o f A PTEOS w as added to the solution before refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams ofbutanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone, 0.6 grams 10 M lactic acid and 72 grams d eionized w ater w ere c ombined. 0 .06 g o f APTEOS w as added to the solution during refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams ofbutanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%> FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenyIketone and a pH tuning agent
h a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51 grams MTEOS, 60 grams 2-hydroxy-4-(3-trimethoxysilypropoxy)-diphenylketone, 0.6 grams 10 M lactic acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. 0.06 g of APTEOS was added to the solution after refluxing. After refluxing, 57 grams ofbutanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were also added to the solution.
EXAMPLE 11
Synthesis of absorbing material containing 9-anthracene methanol and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 0.6 grams 0.1 M hydrochloric acid and 72 grams deionized w ater w ere c ombined. T he flask w as r efluxed and/or h eated for 1 t o 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%> FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 0.6 grams 0.01 M hydrochloric acid and 72 grams deionized w ater w ere c ombined. T he flask w as r efluxed and/or h eated for 1 t o 1 2 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%o FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9 anthracene methanol and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 0.6 grams 1.0 M hydrochloric acid and 72 grams deionized w ater w ere c ombined. T he flask w as r efluxed and/or h eated for 1 t o 1 2 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol and a pH tuning agent
hi a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 0.6 grams 0.1 M hydrochloric acid and 100 grams deionized w ater w ere c ombined. T he flask w as r efluxed and/or h eated for 1 t o 1 2 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%> FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol and a pH tuning agent
hi a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 0.6 grams 0.1 M hydrochloric acid and 130 grams deionized w ater w ere c ombined. T he flask w as r efluxed and/or h eated for 1 t o 1 2 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%> FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 0.6 grams 0.1 M hydrochloric acid and 72 grams" deionized water were combined. 1.2 g of potassium hydroxide was added to the solution before refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 . grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%> FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol and a pH tuning agent
hi a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 0.6 grams 0.1 M hydrochloric acid and 72 grams deionized water were combined. 1.2 g of potassium hydroxide was added to the solution during refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, .88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 10 grams 9-anthracene methanol, 0.6 grams 0.1 M hydrochloric acid and 72 grams deionized w ater w ere c ombined. T he flask w as r efluxed a nd/or h eated for 1 t o 1 2 hours. 1.2 g of potassium hydroxide was added to the solution after refluxing. Also after refluxing, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added to the solution.
EXAMPLE 12
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid and a pH tuning agent
hi a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 20 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 0.6 grams 1.0 M acetic acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thickness= 3503 A, n= 1.475, lc = 0.193.
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid and a pH tuning agent
h a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 20 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 0.6 grams 10 M acetic acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid and a pH tuning agent
hi a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 20 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene m ethanol, and 5 grams o f rosolic acid, 0.6 grams pure M acetic acid and 72 grams deionized w ater w ere c ombined. T he flask w as r efluxed and/or h eated for 1 t o 1 2 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%> FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 20 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 0.6 grams 10 M acetic acid and 98 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%o FC 430 (3M, Minneapolis, MN) were added. Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid and a pH tuning agent
h a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 20 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 0.6 grams 10 M acetic acid and 120 grams deionized w ater w ere c ombined. T he flask w as r efluxed a nd/or h eated for 1 t o 1 2 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%> FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 20 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 0.6 grams 10 M acetic acid and 72 grams deionized water were combined. 1.5 g of TMAH was added to the solution before refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams ofbutanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid and a pH tuning agent
h a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 20 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 0.6 grams 10 M acetic acid and 72 grams deionized water were combined. 1.5 g of TMAH was added to the solution during refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams ofbutanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid and a pH tuning agent
hi a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 20 grams 2-hydroxy-4-(3-triethoxysilypropbxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 0.6 grams 10 M acetic acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. 1.5 g of TMAH was added to the solution after refluxing. Also after refluxing, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%> FC 430 (3M, Minneapolis, MN) were added to the solution.
EXAMPLE 13
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenyIketone, rosolic acid and a pH tuning agent
hi a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 5 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 0.6 grams 10 M lactic acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added. Thiclcness= 3119 A, n= 1.454, lc = 0.175.
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid and a pH tuning agent
hi a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 5 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 0.6 grams 1.0 M lactic acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%> FC 430 (3M, Minneapolis, MN) were added. Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 5 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenyllcetone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 0.6 grams pure lactic acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 5 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 0.6 grams 10 M lactic acid and 100 grams deionized w ater w ere c ombined. T he flask w as r efluxed a nd/or h eated for 1 t o 1 2 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid and a pH tuning agent
hi a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 5 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 0.6 grams 10 M lactic acid and 130 grams deionized w ater w ere c ombined. T he flask w as r efluxed and/or h eated for 1 t o 1 2 hours. To' the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deiomzed water and 3.75 grams of 10%> FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 5 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 0.6 grams 10 M lactic acid and 72 grams deionized water were combined. 0.1 g of APTEOS was added to the solution before refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid and a pH tuning agent
h a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 5 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenyllcetone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 0.6 grams 10 M lactic acid and 72 grams deionized water were combined. 0.1 g of APTEOS was added to the solution during refluxing. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%> FC 430 (3M, Minneapolis, MN) were added.
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 5 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 0.6 grams 10 M lactic acid and 72 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. 0.1 g of APTEOS was added to the solution after refluxing. Also after refluxing, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10%) FC 430 (3M, Minneapolis, MN) were added to the solution.
EXAMPLE 14
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, quinizarin, alizarin and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 20 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, and 5 grams of rosolic acid, 2 grams of quinizarin, 2 grams alizarin, 0.6 grams 0.1 M nitric acid, 1.0 M nitric acid and 0.01 M nitric acid (added to tliree separate mixtures) and 72 grams deionized water were combined. In two other solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams ofbutanol, 88 grams 2- propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 1 0% F C 430 ( 3M, M inneapolis, MN) w ere a dded. Thickness= 3554 A, n= 1.489, k = 0.193.
In three additional solutions, 1.1 g of potassium hydroxide was added. In each solution, the potassium hydroxide was added before, during and after the refluxing step, respectively.
EXAMPLE 15
Synthesis of absorbing material containing 9-anthracene methanol, 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, rosolic acid, alizarin and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 51.5 grams MTEOS, 5 grams 2-hydroxy-4-(3-triethoxysilypropoxy)-diphenylketone, 25 grams of 9-anthracene methanol, 5 grams of rosolic acid, and 2 grams alizarin, 0.5599 grams 1.0 M, 10 M and pure acetic acid (added to three separate mixtures, respectively) and 71.90 grams deionized water were combined. In two other solutions containing 10 M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 56.68 grams ofbutanol, 87.99 grams 2-propanol, 44.10 grams of acetone, 59.31 grams of ethanol, 9.55 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
In three additional s olutions, 0.25 g o f APTEOS w as added. I n e ach s olution, the APTEOS was added before, during and after the refluxing step, respectively.
EXAMPLE 16
Synthesis of absorbing material containing 9-anthracene carboxy-methyl triethoxysilane and a pH tuning agent
hi a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 0.1 M, 0.01 M and 1.0 M nitric acid (added to three separate solutions, respectively) and 72 grams deionized water were combined, hi two other solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10 % FC 430 (3M, Minneapolis, MN) were added.
In three additional solutions, 0.25 g of HCl was added, hi each solution, the HCl was added before, during and after the refluxing step, respectively. Synthesis of absorbing material containing 9-anthracene carboxy-ethyl triethoxysilane and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams 9-anthracene carboxy-ethyl triethoxysilane, 0.6 grams 1.0 M, 10 M and pure lactic acid (added to three separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 10 M lactic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10%> FC 430 (3M, Minneapolis, MN) were added.
hi three additional solutions, 1.2 g of APTEOS was added, h each solution, the APTEOS was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing 9-anthracene carboxy-propyl triethoxysilane and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams 9-anthracene carboxy-propyl triethoxysilane, 0.6 grams 1.0 M, 10 M and pure lactic acid (added to tliree separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 10 M lactic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
In three additional solutions, 0.2 g of APTEOS was added, h each solution, the APTEOS was added before, during and after the refluxing step, respectively. Synthesis of absorbing material containing 9-anthracene carboxy-pentyl triethoxysilane and a pH tuning agent
hi a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams 9-anthracene carboxy-pentyl triethoxysilane, 0.6 grams 0.1 M, 0.01 M and 1.0 M nitric acid (added to tliree separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10%ι FC 430 (3M, Minneapolis, MN) were added.
h tliree additional solutions, 1.0 g of potassium hydroxide was added. In each solution, the potassium hydroxide was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing 9-anthracene carboxy-methyl trimethoxysilane and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams 9-anthracene carboxy-methyl trimethoxysilane, 0.6 grams 1.0 M, 10 M and pure acetic acid (added to tliree separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 10 M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 5-9 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10%) FC 430 (3M, Minneapolis, MN) were added.
In three additional solutions, 2.4 g of TMAH was added. In each solution, the TMAH was added before, during and after the refluxing step, respectively. Synthesis of absorbing material containing 9-anthracene carboxy-ethyl trimethoxysilane and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams 9-anthracene carboxy-ethyl trimethoxysilane, 0.6 grams 1.0 M, 10 M and pure lactic acid (added to three separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 10 M lactic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10%> FC 430 (3M, Minneapolis, MN) were added.
In three additional solutions, 1.2 g of APTEOS was added, hi each solution, the APTEOS was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing 9-anthracene carboxy-propyl trimethoxysilane and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, 30 grams 9-anthracene carboxy-propyl trimethoxysilane, 0.6 grams 0.1 M, 0.01 M and 1.0 M hydrochloric acid (added to tliree separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 0.1 M hydrochloric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
tliree additional solutions, 1.4 g of APTEOS was added. In each solution, the APTEOS was added before, during and after the refluxing step, respectively. EXAMPLE 17
Synthesis of absorbing material containing 9-anthracene methanol
and a pH tuning agent
h a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, and 10 grams 9-anthracene methanol are combined. The solution is refluxed for 6 hours. A mixture of 0.6 grams 0.1 M, 0.01 M and 1.0 M hydrochloric acid (added to three separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 0.1 M hydrochloric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10%) FC 430 (3M, Minneapolis, MN) were added.
hi tliree additional solutions, 1.4 g of APTEOS was added, hi each solution, the APTEOS was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing 9-anthracene ethanol
and a pH tuning agent
hi a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, and 10 grams 9-anthracene ethanol are combined. The solution is refluxed for 6 hours. A mixture of 0.6 grams 0.1 M, 0.01 M and 1.0 M nitric acid (added to three separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams ofbutanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deiomzed water and 3.7 grams of 10%> FC 430 (3M, Minneapolis, MN) were added. In three additional solutions, 0.4 g of APTEOS was added. In each solution, the APTEOS was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing 9-anthracene propanol
and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 123 grams TEOS, 77 grams MTEOS, and 10 grams 9-anthracene propanol are combined. The solution is refluxed for 6 hours. A mixture of 0.6 grams 1.0 M, 10 M and pure acetic acid (added to three separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 10 M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.7 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
In tliree additional solutions, 1.25 g of TMAH was added, hi each solution, the
TMAH was added before, during and after the refluxing step, respectively.
EXAMPLE 18
Synthesis of absorbing material containing 9-anthracene carboxy-methyl triethoxysilane and a pH tuning agent
h a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams 9-anthracene carboxy-methyl triethoxysilane, 0.6 grams 1.0 M, 10 M and pure acetic acid (added to tliree separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 10 M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask is refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) are added.
In three additional solutions, 1.25 g of TMAH was added. In each solution, the TMAH was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing 9-anthracene carboxy-ethyl triethoxysilane and a pH tuning agent
h a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams 9-anthracene carboxy-ethyl triethoxysilane, 0.6 grams 0.1 M, 0.01 M and 1.0 M nitric acid (added to three separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask is refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) are added.
h tliree additional solutions, 0.25 g of TMAH was added. In each solution, the TMAH was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing 9-anthracene carboxy-methyl trimethoxysilane and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams 9-anthracene carboxy-methyl trimethoxysilane, 0.6 grams 1.0 M, 10 M and pure lactic acid (added to tliree separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 10 M lactic acid, 90 g and 110 g of deionized water were added, respectively. The flask is refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10%o FC 430 (3M, Minneapolis, MN) are added.
hi tliree additional solutions, 1.5 g of potassium hydroxide was added. In each solution, the potassium hydroxide was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing 9-anthracene carboxy-propyl triethoxysilane and a pH tuning agent
hi a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grains 9-anthracene carboxy-propyl triethoxysilane, 0.6 grams 0.1 M, 0.01 M and 1.0 M nitric acid (added to three separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask is refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) are added.
In three additional solutions, 0.5 g of TMAH was added, hi each solution, the TMAH was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing 9-anthracene carboxy-methyl tripropoxysilane and a pH tuning agent
In a 1 -liter flask 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams 9-anthracene carboxy-methyl tripropoxysilane, 0.6 grams 1.0 M, 10 M and pure acetic acid (added to three separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 10 M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask is refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of
ill acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) are added.
In three additional solutions, 0.75 g of TMAH was added, h each solution, the TMAH was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing 9-anthracene carboxy-ethyl tributoxysilane and a pH tuning agent
In a 1-liter flask 297 grams 2-propanol, 148 grams acetone, 90 grams TMOS, 59 grams MTMOS, 60 grams 9-anthracene carboxy-ethyl tributoxysilane, 0.6 grams 1.0 M, 10 M and pure acetic acid (added to tliree separate solutions, respectively) and 72 grams deionized water were combined, hi two other solutions containing 10 M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask is refluxed and/or heated for 1 to 12 hours. To the solution, 115 grams of butanol, 488 grams 2-propanol, 245 grams of acetone, 329 grams of ethanol, 53 grams deionized water and 3.8 grams of 10% FC 430 (3M, Minneapolis, MN) are added.
In tliree additional solutions, 1.00 g of TMAH was added. In each solution, the TMAH was added before, during and after the refluxing step, respectively.
EXAMPLE 19
Synthesis of absorbing material containing phenyltriethoxysilane and a pH tuning agent
hi a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 104 grams (0.432 moles) phenyltriethoxysilane, 0.6 grams 1.0 M, 10 M and pure acetic acid (added to three separate solutions, respectively) and 72 g rams d eionized w ater w ere c ombined. I n t wo o ther s olutions c ontaining 10 M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams ( 1.227 moles) o f ethanol, 9.5 grams (0.528 moles) deiomzed water were added. Thickness=1727 A, n= 1.957, k = 0.384.
hi three additional solutions, 1.00 g of TMAH was added. In each solution, the
TMAH was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing phenyltrimethoxysilane and a pH tuning agent
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 104 grams (0.432 moles) phenyltriethoxysilane, 0.6 grams 0.1 M, 0.01 M and 1.0 M nitric acid (added to tliree separate solutions, respectively) and 72 grams deiomzed water were combined. In two other solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) .2-propanol, 44 grams (0.758 moles) of acetone, 59 grams ( 1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
In tliree additional s olutions, 1 .00 g o f APTEOS w as added. I n e ach s olution, the APTEOS was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing phenyltripropoxysilane and a pH tuning agent
h a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 104 grams (0.432 moles) phenyltriethoxysilane, 0.6 grams 1.0 M, 10 M and pure lactic acid (added to three separate solutions, respectively) and 72 grams deionized water were combined, hi two other solutions containing 10 M lactic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
h tliree additional s olutions, 0.75 g o f APTEOS w as added. I n e ach s olution, the APTEOS was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing phenyltributoxysilane and a pH tuning agent
hi a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 104 grams (0.432 moles) phenyltriethoxysilane, 0.6 grams 1.0 M, 10 M and pure acetic acid (added to three separate solutions, respectively) and 72 g rams d eionized w ater w ere c ombined. I n t wo o ther s olutions c ontaining 10 M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams (0.769 moles) of Butanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams ( 1.227 moles) o f ethanol, 9.5 grams (0.528 moles) deionized water were added.
rn three additional solutions, 0.50 g of APTEOS was added. I n e ach s olution, the APTEOS was added before, during and after the refluxing step, respectively.
EXAMPLE 20
Synthesis of absorbing material containing 4-ethoxyphenyIazobenzene-4-carboxy-methyl triethoxysilane and a pH tuning agent
hi a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 44.5 grams (0.13 moles) 4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane, 0.6 grams 1.0 M, 10 M and pure acetic acid (added to three separate solutions, respectively) and 72 grams deionized water were combined, hi two other solutions containing 10 M acetic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams (0.769 moles) of butanol, 88 grams (1.422 moles) 2- propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
In tliree additional s olutions, 0.50 g o f APTEOS w as added. I n e ach s olution, the APTEOS was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing 4-ethoxyphenylazobenzene-4-carboxy-ethyl triethoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 44.5 grams (0.13 moles) 4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane, 0.6 grams 1.0 M, 10 M and pure lactic acid (added to three separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 10 M lactic acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams (0.769 moles) of butanol, 88 grams (1.422 moles) 2- propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deiomzed water were added. hi three additional s olutions, 0.25 g o f APTEOS w as added. I n e ach s olution, the APTEOS was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing 4-methoxyphenylazobenzene-4-carboxy-propyl triethoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 44.5 grams (0.13 moles) 4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane, 0.6 grams 0.1 M, 0.01 M and 1.0 M nitric acid (added to tliree separate solutions, respectively) and 72 grams deionized water were combined, ha two other solutions containing 0.1 M nitric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams (0.769 moles) ofbutanol, 88 grams (1.422 moles) 2- propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added.
hi t hree a dditional s olutions, 0.10 g o f A PTEOS w as a dded. I n e ach s olution, the APTEOS was added before, during and after the refluxing step, respectively.
Synthesis of absorbing material containing 4-methoxyphenylazobenzene-4-carboxy-propyl trimethoxysilane
In a 1-liter flask 297 grams (4.798 moles) 2-propanol, 148 grams (2.558 moles) acetone, 123 grams (0.593 moles) TEOS, 77 grams (0.432 moles) MTEOS, 44.5 grams (0.13 moles) 4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane, 0.6 grams 0.1 M, 0.01 M and 1.0 M hydrochloric acid (added to tliree separate solutions, respectively) and 72 grams deionized water were combined. In two other solutions containing 0.1 M hydrochloric acid, 90 g and 110 g of deionized water were added, respectively. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 57 grams (0.769 moles) ofbutanol, 88 grams (1.422 moles) 2-propanol, 44 grams (0.758 moles) of acetone, 59 grams (1.227 moles) of ethanol, 9.5 grams (0.528 moles) deionized water were added. n= 1.499, lc = 0.162 at 365 nm.
h three additional solutions, 0.50 g of TMAH was added. In each solution, the TMAH was added before, during and after the refluxing step, respectively.
EXAMPLE 21
Synthesis of an absorbing material containing
PGMEA and a pH tuning agent
In a 1 -liter flask, 504.829 g o f P GMEA, 1 23.6 grams TEOS, 76.9 grams MTEOS, 5.608 grams 0.1 M nitric acid and 66.869 grams deionized water were combined. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, 43.777 grams of butanol was added. The solution was filtered to be used in the pH tuning experiments.
In another 1-liter flask, 297 grams 2-propanol, 148.560 grams acetone, 139.902 grams
TEOS, 19.10 grams MTEOS, 51.7 g PTEOS, 5.624 grams 0.1 M nitric acid and 66.827 grams deiomzed w ater w ere c ombined. T he flask w as r efluxed and/or h eated for 1 t o 1 2 hours. To the solution, 43.93 grams of butanol was added. The solution was filtered to be used in the pH tuning experiments.
The two s olutions p repared w ere combined, and a pH tuning agent, APTEOS, was added to 6 s eparate s olutions of 650 g of the combined material that has a starting pH of about 1.7. The APTEOS was added in the following amounts and gave the following pH: a) 1.49 g (pH = 8.07); b) 0.26 g (pH = 7.12); c) 0.1 g (pH = 6.29); d) 0.06 (pH = 5.50); e) 0.03 g (pH = 2.49); f) 0 grams (pH= 1.76). The solutions were then dispensed, followed by a 3000 rpm thickness spin for 20 s econds, and b aked at 80°C and at 1 80°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Optical properties follow for each of the A-F solutions shown above:
a) Thiclcness = 1686 Angstroms; k = 0.297; n •= 1.802; etch ratio(er) = 9.33 b) Thiclcness = 1332 Angstroms; lc = 0.295; n = 1.802; etch ratio(er) = 8.5
c) Thiclcness = 1298 Angstroms; lc = 0.294; n = 1.802; etch ratio(er) = 8.316
d) Thickness = 1292 Angstroms; lc = 0.293; n = 1.802; etch ratio(er) = 8.17
e) Thiclcness = 1304.9 Angstroms; k = 0.292; n = 1.802; etch ratio(er) = 8.01
f) Thickness = 1263.9 Angstroms; k = 0.289; n = 1.802; etch ratio(er) = 7.83
EXAMPLES 22 - 45
The following runs were made according to the matrix:
Figure imgf000120_0001
In a 1-liter flask, [356 to 89] gramsof PGMEA, [356 to 89] grams of EtOH, 5.608 grams 0.1 M nitric acid and 66.869 grams deionized water were combined.[140 to 0] grams TEOS, [19 to 0] grams MTEOS and [52 to 0] grams PTEOS were then added. The flask was refluxed and/or heated for 1 to 12 hours. To the solution, . [140 to 0] grams TEOS, [52 to 0] grams MTEOS and [52 to 0] grams PTEOS were added. The flask was refluxed and / or heated for 1 to 12 hours. The solutions were diluted to a desired thiclcness then analyzed.
Figure imgf000121_0001
EXAMPLE 46
Via first copper dual damascene patterning through ultra low lc dielectrics (dielectric constant less than about 3) is very difficult. The main problem is the selective removal of the sacrificial fill material from these ultra-low lc dielectric materials. Previous work has shown that Si-O fill material (either UN, absorbing or transparent fill material) is the optimum material platform if the dielectric layer is Si-O based. To improve the removal selectivity, the fill material is made chemically weaker through the addition of a material modification agent, such as those described herein. However, the Si-O fill material needs to be balanced so that both resistance to 2.5%o TMAH based photoresist developer, resistance to the solvents comprising the photoresist or if the Si-O fill material is transparent, the absorbing composition, and at the same time showing a highly selective strip to the ultra-low lc dielectric materials, coatings and/or films.
To solve this problem the photoresist can be patterned directly on the Si-O material. In order to be pattemable, the fill material should be resistant to 2.5% photoresist developer and to the solvents that comprise ArF, KrF and other type of photoresists. An absorbing composition layer must then be applied on top of the chemically weak Si-O based fill material. Surprisingly, resistance can be maintained to non-aqueous solvents (in this case PGMEA) while at the same time allowing for complete removal by a standard photoresist developer (2.5% TMAH in water) system. Such a contrast in chemical resistance means that an organic absorbing composition layer should be coated onto an extremely weak Si-O film. Photoresist patterning would then occur on the organic absorbing composition layer and not on the Si-O layer. Since the Si-O can be rapidly removed in mild 2.5% TMAH solutions, it can also be rapidly removed in other fluoride and more agressive alkaline chemistries. Such an increase in strip rate provides the selectivity to ultra low k films that is needed.
To a Si-O based organo-siloxane solution (in this case we used a 248 nm absorbing composition), we add polyethylene oxide porogen (in the study conducted 2.2% of polyethylene oxide was used). The films are cast onto wafers containing vias (via first copper dual damascene pattern), and then baked to a temperature between about 250 and 275°C. Our data shows that the "porous" 248 nm absorbing compositon film is resistant to PGMEA at this bake temperature. It is also 75°C above the bake temperature required by the absorbing composition/anti-reflective process (anywhfere between 170 and 220°C). Next an organic absorbing composition/anti-reflective film is coated and baked on top of the Si-O layer. A thinner organic absorbing composition anti-reflective layer can be used as the via fill is handled by the weak Si-O based layer. A thinner organic absorbing composition/anti- reflective layer facilitates the p attern transfer of photoresist tlirough and into the Si-O and ultra- low k layer. Also, since the Si-O layer is now so weak it can be coated to sufficient thiclcness to improve planarization. Also the Si-O layer can be made absorbing at the patterning wavelength thus no longer placing a thiclcness constraint on the organic absorbing composition/anti-reflective. The organic absorbing composition/anti-reflective layer can be made sufficiently thin to act simply as a cap to the weak Si-O film. Making the organic absorbing composition/anti-reflective so thin further improves the ability to accurately transfer through the use of plasma etch the imaged pattern in the resist into the dielectric below.
Photoresist is then deposited and then trench patterned onto the organic absorbing composition anti-reflective layer. The trench pattern is then etched through the organic absorbing composition anti-reflective layer, the Si-O layer and into the ultra-low k layer: Once the etch is complete the remaining photoresist is removed and organic absorbing composition/anti-reflective is removed, followed by the removal of the remaining Si-O layer. Now the trench- via pattern is defined and ready for metalization.
In summary, the porous film is baked to a temperature too low to drive out the porogen. The porogen conduits are left behind within the Si-O anti-reflective coating film that when exposed to 2.3% TMAH is completely removed. However when exposed to PGMEA nothing occurs. Thus, specific embodiments and applications of compositions and methods to produce inorganic-based m aterials, spin-on materials, spin-on inorganic materials and spin-on glass materials comprising absorbing compounds and that comprise at least one material modification agent, such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof have been disclosed. It should be apparent, however, to those skilled in the art that many more modifications besides those already described a re p ossible without departing from the inventive concepts herein. The inventive subject matter, therefore, is not to be restricted except in the spirit of the appended claims. Moreover, in interpreting both the specification and the claims, all terms should be interpreted in the broadest possible manner consistent with the context, hi particular, the terms "comprises" and "comprising" should be interpreted as refe ing to elements, components, or steps in a non-exclusive manner, indicating that the referenced elements, components, or steps may be present, or utilized, or combined with other elements, components, or steps that are not expressly referenced.
Days @
Description Condition 40C 6PC Thickness (A) at 2000RPMz pH
Description Condition Days Mn Mw Mp Mz Mz+1 Polydispersity T avg T stdev T min T max % Fit pH
Figure imgf000124_0001
Table 1 Reflux
Time Temp Lo (hrs) (C) GPC Species Thickness (A) Optical Properties Normalized GC Density pH Solids
Figure imgf000125_0001
Table 2
Heat Ramp (1 Flask Temperature, °C)
Table 3
Figure imgf000125_0002
Table 4 Part 1
Figure imgf000126_0001
Table 4 Part 1 (cont'd)
Figure imgf000127_0001
Table 4 Part 2
Figure imgf000128_0001
Table 4 Part 2 (cont'd)
Figure imgf000129_0001
PARENT QC RESULTS x = 66.86 g Spin Conditions: 1500 rpm (20s) Bake Conditions: 150/250 C. n2 (50s)
Figure imgf000130_0001
Table 5
Table 6
CHILD QC RESULTS oe Spin Conditions: 1500 rpm (20s) Bake Conditions: 150/250 C. n2 (50s)
Parent Dilution Time of GPC Prep % Fit Sample Water Content Base Factor Dilution and Spin Time Lag Gpc Thickness (A) on 4" Wafers
Figure imgf000130_0002
A. material film thickness (SEM - no via) (A) no acetone 248 nm 3100 via spacing (nm) film thickness between vias (A) thickness difference (isolated - dense) (A)
120 1815 1285 *
160 2000 1100
300 2550 550
B. material film thickness (SEM - no via) (A)
1:1 High/Low MW 3050 via spacing (nm) film thickness between vias (A) thickness difference (isolated - dense) (A)
120 1500 1550
160 1800 1250
300 2350 700
C. material film thickness (SEM - no via) (A) no acetone 248 nm w/ 0.05% BYK381 3200 via spacing (nm) film thickness between vias (A) thickness difference (isolated - dense) (A)
120 1950 1250
160
300 2550 650
D. material film thickness (SEM - no via) (A) no acetone 248 nm w/ 0.4% BYK381 3100 via spacing (nm) film thickness between vias (A) thickness difference (isolated - dense) (A)
120 2200 900
160 2400 700
300 2800 300
E. material film thickness (SEM - no via) (A)
. no acetone 248 nm w/ 0.4% BYK380 3200 via spacing (nm) film thickness between vias (A) thickness difference (isolated - dense) (A) 120 1800 1400 160 2000 1200 300 2600 600
F. material film thickness (SEM - no via) (A)
193 nm made with DMTEOS 3850 via spacing (nm) film thickness between vias (A) thickness difference (isolated - dense) (A)
120 2120 1730
160 2600 1250
300 3000 850
G. material film thickness (SEM - no via) (A)
248 nm w/ 2% CRJ-406 3150 via spacing (nm) film thickness between vias (A) thickness difference (isolated - dense) (A)
120 1700 1450
160 1600 1550
300 2560 590
H. material film thickness (SEM - no via) (A) no acetone 248 nm w/ 0.2% BYK381 3200 via spacing (nm) film thickness between vias (A) thickness difference (isolated - dense) (A)
120 1900 1300
160 1900 1300
300 2650 550
I. material film thickness (SEM - no via) (A) no acetone 248 nm w. 0.6% BYK381 3300 via spacing (nm) film thickness between vias (A) thickness difference (isolated - dense) (A) 120 1900 1400
160 ~ 1900 1400
300 3000 300
J. material film thickness (SEM - no via) (A) no acetone 248 nm w/ 0.8% BYK380 3100 via spacing (nm) film thickness between vias (A) thickness difference (isolated - dense) (A)
120 1800 1300
160
300 ' 2400 700
K. material film thickness (SEM - no via) (A)
193 nm made with DMTEOS 3600 via spacing (nm) film thickness between vias (A) thickness difference (isolated - dense) (A)
120 2000 1600
160
300 2850 750
L. material film thickness (SEM - no via) (A) no acetone 248 nm w/ 1.5% BYK380 3000 via spacing (nm) film thickness between vias (A) thickness difference (isolated - dense) (A) 120 1400 1600 160 1600 1400 300 2200 800
M. material film thickness (SEM - no via) (A) no acet.248 nm (1:1 Hi/Lo MW) w/ 0.3% BYK381 3200 via spacing (nm) film thickness between vias (A) thickness difference (isolated - dense) (A)
120 1970 1230
160 2000 1200
300 2400 800
Table 7

Claims

CLAIMSWe claim:
1. An absorbing composition comprising at least one inorganic-based compound, at least one incorporatable absorbing compound, and at least one material modification agent.
2. The absorbing composition of claim 1 , wherein the at least one material modification agent comprises the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one densifying agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent, and/or a combination thereof.
3. The composition of claim 2, wherein the incorporatable absorbing compound is an organic-based compound.
4. The composition of claim 2, wherein the absorbing compound strongly absorbs light over at least an approximately 0.5 nm wide wavelength range at wavelengths less than 375 nm.
5. The composition of claim 2, wherein the absorbing compound strongly absorbs light over at least an approximately 10 nm wide wavelength range at wavelengths less than 375 nm.
6. The composition of claim 4, wherein the range comprises wavelengths less than about 260 nm.
7. The composition of claim 2, wherein the absorbing compound comprises at least one benzene ring and a reactive group selected from the group comprising hydroxyl groups, amine groups, carboxylic acid groups and substituted silyl groups.
8. The composition of claim 7, wherein the absorbing compound comprises two or more benzene rings.
9. The composition of claim 8, wherein the two or more benzene rings are fused.
10. The composition of claim 7, wherein the organic absorbing compound comprises an absorbing compound comprising anthraflavic acid, 9-anthracene carboxylic acid, 9-anthracene methanol, alizarin, quinizarin, primuline, 2-hydroxy-4(3-triethoxysilylpropoxy)-diphenylketone, rosolic acid, triethoxysilylpropyl-l,8-naphthalimide, 9-anthracene carboxy-alkyl triethoxysilane, phenyltriethoxysilane, 10-phenanthrene carboxy-methyl triethoxysilane, 4- phenylazophenol, 4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane, 4- methoxyphenylazobenzene-4-carboxy-methyl triethoxysilane or mixtures thereof.
11. The composition of claim 2, wherein the inorganic-based compound comprises a silicon-based compound.
12. The composition of claim 11, wherein the silicon-based compound comprises a polymer.
13. The composition of claim 12, wherein the polymer comprises an organosiloxane compound, such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, acrylic siloxane polymers, methylphenylsiloxane, methylphenylsilsesquioxane, silicate polymers, silazane polymers or mixtures thereof.
14. The composition of claim 12, wherein the polymer comprises hydrogensiloxane, hydrogensilsesquioxane, organohydridosiloxane, silsesquioxane-based compounds, derivatives of silici acid and organhydridosilsesquioxane polymers; copolymers of hydrogensilsesquioxane and an alkoxyhydridosiloxane, hydroxyhydridosiloxane, derivatives of silici acid or mixtures thereof.
15. The composition of claim 12, wherein the polymer is of a general formula comprising (Ho-i.oSiOi.5-2.o)x, where x is greater than about 4, and
(Ho-ι.oSiOι.5-2.o)n(Ro-ι.oSiOι.5-2.o)m, where m is greater than 0, the sum of n and m is from about 4 to about 5000 and R is a C1-C20 alkyl group or a C6-Cπ aryl group.
16. The composition of claim 2, wherein the at least one pH tuning agent comprises water.
17. The composition of claim 2, wherein the at least one pH tuning agent comprises a base.
18. The composition of claim 17, wherein the at least one base comprises an amine.
19. The composition of claim 18, wherein the amine comprises an amine-based oligomer.
20. The composition of claim 2, wherein the at least one pH tuning agent comprises an acid.
21. The composition of claim 2, wherein the at least one high-boiling solvent comprises glycerol.
22. The composition of claim 2, wherein the at least one high boiling solvent comprises carbitol.
23. The composition of claim 2, wherein the at least one high boiling solvent comprises dipropylene glycol (DPG).
24. The composition of claim 2, wherein the at least one replacement solvent comprises isopropylalcohol, ethanol, PGMEA or 2-heptanone.
25. The composition of claim 2, wherein the at least one catalyst comprises a weak acid.
26. The composition of claim 2, wherein the at least one adhesion promoter comprises a resin-based material.
27. The composition of claim 26, wherein the resin-based material comprises at least one of a phenolic-containing resin, a novolac resin, an organic acrylate resin or a styrene resin.
28. The composition of claim 2, wherein the adhesion promoter comprises a polydimethylsiloxane-based material, an ethoxy or hydroxy-containing silane monomer, a vinyl-containing silane monomer, an acrylated silane monomer or a silyl hydride compound.
29. The composition of claim 2, wherein the at least one capping agent comprises a terminating monomer.
30. The composition of claim 29, wherein the terminating monomer comprises a silane compound.
31. The composition of claim 2, wherein the at least one porogen comprises an organic compound.
32. The composition of claim 31, wherein the organic compound comprises a polymer.
33. The composition of claim 32, wherein the polymer comprises poly(ethylene oxide).
34. The composition of claim 2, wherein the at least one porogen further comprises a catalyst.
35. The composition of claim 34, wherein the catalyst comprises TMAA.
36. A coating solution comprising the composition of claim 2 and an additional solvent or a solvent mixture.
37. The coating solution of claim 36, wherein the solution is between about 0.5% and about 20% by weight absorbing material.
38. The composition of claim 2, wherein the at least one densifying agent comprises an amine-based compound, water, an oxide, an alkoxide, a hydrogen halide, an acid,
TMAH, an acetate-based compound, a nitrate-based compound, or combinations thereof.
39. The composition of claim 38, wherein the at least one densifying agent comprises γ- aminoalkyltrialkoxysilanes, γ-aminopropyltriethoxysilanes (APTEOS), APTEOS-N, APTEOS-acetate, ammonium acetate or combinations thereof.
40. The composition of claim 38, wherein the at least one densifying agent comprises TMAH, tetra-methyl ammonium acetate (TMAA), ammonium nitrate, tetra-methyl ammonium nitrate (TMAN) or combinations thereof.
41. The composition of claim 2, wherein the at least one material modification agent comprises a leveling agent.
42. The composition of claim 41 , wherein the leveling agent comprises a polyacrylate compound.
43. The composition of claim 42, wherein the polyacrylate compound is ionic.
44. The composition of claim 42, wherein the polyacrylate compound is non-ionic.
45. A method of making an absorbing composition comprising: combining at least one inorganic-based compound, at least one incorporatable absorbing compound, at least one material modification agent, an acid/water mixture, and one or more solvents to form a reaction mixture; and
allowing the reaction mixture to form the absorbing composition at room temperature.
46. The method of claim 45, wherein the material modification agent comprises at least one porogen, at least one high-boiling solvent, at least one densifying agent, at least one catalyst, at least one replacement solvent, at least one capping agent, at least one leveling agent, at least one adhesion promoter, at least one pH tuning agent or a combination thereof.
47. A method of making an absorbing composition comprising:
combining at least one inorganic-based compound, at least one incorporatable absorbing compound, at least one material modification agent, an acid/water mixture, and one or more solvents to form a reaction mixture; and
heating the reaction mixture to form the absorbing composition.
48. The method of claim 41 , wherein the material modification agent comprises at least one porogen, at least one high-boiling solvent, at least one densifying agent, at least one catalyst, at least one replacement solvent, at least one capping agent, at least one leveling agent, at least one adhesion promoter, at least one pH tuning agent or a combination thereof.
49. A method of making an absorbing composition comprising:
combining at least one inorganic-based compound, at least one incorporatable absorbing compound, at least one material modification agent, and one or more solvents to form a reaction mixture, wherein the at least one material modification agent comprises at least one acid and water; and
heating the reaction mixture to form a absorbing material, a coating or a film.
50. The method of claim 49, wherein the material modification agent comprises at least one porogen, at least one high-boiling solvent, at least one densifying agent, at least one catalyst, at least one replacement solvent, at least one capping agent, at least one leveling agent, at least one adhesion promoter, at least one pH tuning agent or a combination thereof
51. A method of making an absorbing composition comprising:
combining at least one inorganic-based compound, at least one incorporatable absorbing compound, at least one material modification agent, and one or more solvents to ibrm a reaction mixture, wherein the at least one material modification agent comprises at least one acid and water; and
allowing the reaction mixture to form a absorbing material, a coating or a film.
52. The method of claim 51, wherein the material modification agent comprises at least one porogen, at least one high-boiling solvent, at least one densifying agent, at least one catalyst, at least one replacement solvent, at least one capping agent, at least one leveling agent, at least one adhesion promoter, at least one pH tuning agent or a combination thereof.
53. The method of one of claims 45, 47, 49 or 51 , wherein the at least one absorbing compound comprises at least one benzene ring and a reactive group comprising a hydroxyl group, an amine group, a carboxylic acid group, or a substituted silyl group, and wherein a silicon atom is bonded to at least one substituent on the absorbing compound that comprises an alkoxy group, an acetoxy group or a halogen atom.
54. The method of one of claims 45, 47, 49 or 51, wherein the at least one absorbing compound comprises anthraflavic acid, 9-anthracene carboxylic acid, 9-anthracene methanol, alizarin, quinizarin, primuline,
2-hydroxy-4(3-triethoxysilylpropoxy)-diphenylketone, rosolic acid, triethoxysilylpropyl-l,8-naphthalimide, 9-anthracene carboxy-alkyl triethoxysilane, phenyltriethoxysilane, 10-phenanthrene carboxy-methyl triethoxysilane, 4- phenylazophenol, 4-ethoxyphenylazobenzene-4-carboxy-methyl triethoxysilane, 4- methoxyphenylazobenzene-4-carboxy-methyl triethoxysilane or mixtures thereof.
55. The method of one of claims 45, 47, 49 or 51, wherein the at least one inorganic-based compound comprises triethoxysilane, tetraethoxysilane, methyltriethoxysilane, dimethyldiethoxysilane, tetramethoxysilane, methyltrimethoxysilane, trimethoxysilane, dimethyldimethoxysilane, phenyltriethoxysilane, phenyltrimethoxysilane, diphenyldiethoxysilane, diphenyldimethoxysilane, trichlorosilane, methyltrichlorosilane, ethyltrichlorosilane, phenyltrichlorosilane, tetrachlorosilane, chlorotriethoxysilane, chlorotrimethoxysilane, chloromethyltriethoxysilane, chloroethyltriethoxysilane, chlorophenyltriethoxysilane, chloromethyltrimethoxysilane, chloroethyltrimethoxysilane, chlorophenyltrimethoxysilane, tetraacetoxysilane, methyltriacetoxysilane, phneyltriacetoxysilane, diphenyldiacetoxysilane or dimethyldiacetoxysilane.
56. The method of one of claims 46, 48, 50 or 52, wherein the at least one pH tuning agent comprises water.
57. The method of one of claims 46, 48, 50 or 52, wherein the at least one pH tuning agent comprises a base.
58. The method of claim 57, wherein the at least one base comprises an amine.
59. The method of claim 58, wherein the amine comprises an amine-based oligomer.
60. The method of one of claims 46, 48, 50 or 52, wherein the at least one pH tuning agent comprises an acid.
61. The method of one of claims 46, 48, 50 or 52, wherein the at least one high-boiling solvent comprises glycerol.
62. The method of one of claims 46, 48, 50 or 52, wherein the at least one high boiling solvent comprises carbitol.
63. The method of one of claims 46, 48, 50 or 52, wherein the at least one high boiling solvent comprises dipropylene glycol (DPG).
64. The method of one of claims 46, 48, 50 or 52, wherein the at least one replacement solvent comprises isopropylalcohol, ethanol, PGMEA or 2-heptanone.
65. The method of one of claims 46, 48, 50 or 52, wherein the at least one catalyst comprises a weak acid.
66. The method of one of claims 46, 48, 50 or 52, wherein the at least one adhesion promoter comprises a novolac resin.
67. The method of one of claims 46, 48, 50 or 52, wherein the at least one adhesion promoter comprises a resin-based material.
68. The method of claim 67, wherein the resin-based material comprises at least one of a phenolic-containing resin, a novolac resin, an organic acrylate resin or a styrene resin.
69. The method of one of claims 46, 48, 50 or 52, wherein the adhesion promoter comprises a polydimethylsiloxane-based material, an ethoxy, methoxy, acetoxy, or hydroxy-containing silane monomer, a vinyl-containing silane monomer, an acrylated silane monomer or a silyl hydride compound.
70. The method of one of claims 46, 48, 50 or 52, wherein the at least one porogen comprises an organic compound.
71. The method of claim 70, wherein the organic compound comprises a polymer.
72. The method of claim 71 , wherein the polymer comprises poly(ethylene oxide) .
73. The method of one of claims 46, 48, 50 or 52, wherein the at least one porogen further comprises a catalyst.
74. The method of claim 73, wherein the catalyst comprises TMAA.
75. The method of one of claims 46, 48, 50 or 52, wherein the at least one densifying agent comprises an amine-based compound, water, an oxide, an alkoxide, a hydrogen halide, an acid, TMAH, an acetate-based compound, a nitrate-based compound, or combinations thereof.
76. The method of claim 75, wherein the at least one densifying agent comprises γ- aminoalkyltrialkoxysilanes, ammonium acetate, γ-aminopropyltriethoxysilanes (APTEOS), APTEOS-N, APTEOS-acetate or combinations thereof.
77. The method of claim 75, wherein the at least one densifying agent comprises TMAH, tetra-methyl ammonium acetate (TMAA), ammonium nitrate, tetra-methyl ammonium nitrate (TMAN) or combinations thereof.
78. The method of one of claims 46, 48, 50 or 52, wherein the at least one material modification agent comprises a leveling agent.
79. The method of claim 78, wherein the leveling agent comprises a polyacrylate compound.
80. The method of claim 78, wherein the polyacrylate compound is ionic.
81. The method of claim 78, wherein the polyacrylate compound is non-ionic.
82. A method of making a coating solution containing an absorving material comprising:
combining at least one alkoxysilanae or halosilane or acetoxysilane, at least one incorporatable absorbing compound, at least one densifying agent, at least one porogen, at least one high boiling solvent, at least one catalyst, at least one replacement sovent, at least on capping agent, at least one adhesion promoter, at least one pH tuning agent or a combinations thereof; an acid and water mixture; and one or more solvents to form a reaction mixture; and
allowing the mixture to react at about room temperature in an exothermic fashion to form a coating solution.
83. A method of making a coating solution containing an absorbing material comprising:
combining at least one alkoxysilane, halosilane or acetoxysilane at least one incorporatable absorbing compounds; at least one porogen, at least one densifying agent, at least one high-boiling solvent, at least one catalyst, at least one replacement solvent, at least one capping agent, at least one leveling agent, at least one adhesion promoter, at least one pH tuning agent or a combination thereof; an acid/water mixture; and one or more solvents to form a reaction mixture; and
heating the reaction mixture to form a coating solution.
84. The method of either claim 82 or 83, wherein the at least one pH tuning agent comprises water.
85. The method of either claim 82 or 83, wherein the at least one pH tuning agent comprises a base.
86. The method of claim 85, wherein the at least one base comprises an amine.
87. The method of claim 86, wherein the amine comprises an amine-based oligomer.
88. The method of either claim 82 or 83, wherein the at least one pH tuning agent comprises an acid.
89. The method of either claim 82 or 83, wherein the at least one high-boiling solvent comprises glycerol.
90. The method of either claim 82 or 83, wherein the at least one high boiling solvent comprises carbitol.
91. The method of either claim 82 or 83, wherein the at least one high boiling solvent comprises dipropylene glycol (DPG).
92. The method of either claim 82 or 83, wherein the at least one replacement solvent comprises isopropylalcohol, ethanol, PGMEA or 2-heptanone.
93. The method of either claim 82 or 83, wherein the at least one catalyst comprises a weak acid.
94. The method of either claim 82 or 83, wherein the at least one adhesion promoter comprises a resin-based material.
95. The method of claim 94, wherein the resin-based material comprises at least one of a phenolic-containing resin, a novolac resin, an organic acrylate resin or a styrene resin.
96. The method of either claim 82 or 83, wherein the adhesion promoter comprises a polydimethylsiloxane-based material, an ethoxy or hydroxy-containing silane monomer, a vinyl-containing silane monomer, an acrylated silane monomer or a silyl hydride compound.
97. The method of either claim 82 or 83, wherein the at least one capping agent comprises a terminating monomer.
98. The method of claim 97, wherein the terminating monomer comprises a silane compound.
99. The method of either claim 82 or 83, wherein the at least one porogen comprises an organic compound.
100. The method of claim 99, wherein the organic compound comprises a polymer.
101. The method of claim 100, wherein the polymer comprises poly(ethylene oxide).
102. The method of either claim 82 or 83, wherein the at least one porogen further comprises a catalyst.
103. The method of claim 102, wherein the catalyst comprises TMAA.
104. The method of either claim 82 or 83, further comprising adding one or more dilutant solvents to the absorbing composition to produce a coating solution.
105. The method of one of claims 82 or 83, wherein the at least one densifying agent comprises an amine-based compound, water, an oxide, an alkoxide, a hydrogen halide, an acid, TMAH, an acetate-based compound, a nitrate-based compound, or combinations thereof.
106. The method of claim 105, wherein the at least one densifying agent comprises γ- aminoalkyltrialkoxysilanes, ammonium acetate, γ-aminopropyltriethoxysilanes (APTEOS), APTEOS-N, APTEOS -acetate, or combinations thereof.
107. The method of claim 105, wherein the at least one densifying agent comprises TMAH, tetra-methyl ammonium acetate (TMAA), ammonium nitrate, tetra-methyl ammonium nitrate (TMAN) or combinations thereof.
108. The method of one of claims 82 or 83, wherein the at least one material modification agent comprises a leveling agent.
109. The method of claim 108, wherein the leveling agent comprises a polyacrylate compound.
110. The method of claim 108, wherein the polyacrylate compound is ionic.
111. The method of claim 108, wherein the polyacrylate compound is non-ionic.
112. A layered material comprising the absorbing composition of claim 2 coupled to a resist material.
113. A semiconductor component comprising the absorbing composition of claim 2.
114. A film comprising the absorbing composition of claim 2.
115. An electronic component comprising the absorbing composition of claim 2.
116. The composition of claim 2, wherein the absorbing composition is designed to be at least partially removed.
117. The composition of claim 112, wherein the resist material absorbs light over a wavelength range that comprises 157 nm, 193 nm, 248 nm and 365 nm.
118. * The absorbing composition of claim 2, wherein the at least one porogen comprises polyethylene oxide, poly(propylene glycol) dipropylene glycol.
119. The absorbing composition of claim 2, wherein the at least one porogen comprises a molecular weight and wherein the inorganic-based compound forms a matrix.
120. The absorbing composition of claim 119, wherein the molecular weight is compatible with the solubility of the matrix.
PCT/US2003/036354 2002-11-12 2003-11-12 Anti-reflective coatings for photolithography and methods of preparation thereof WO2004044025A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003295517A AU2003295517A1 (en) 2002-11-12 2003-11-12 Anti-reflective coatings for photolithography and methods of preparation thereof

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US44469702P 2002-11-12 2002-11-12
USPCT/US02/36327 2002-11-12
US60/444,697 2002-11-12
PCT/US2002/036327 WO2003044078A1 (en) 2001-11-15 2002-11-12 Anti-reflective coatings for photolithography and methods of preparation thereof
US50919903P 2003-10-07 2003-10-07
US60/509,199 2003-10-07

Publications (3)

Publication Number Publication Date
WO2004044025A2 true WO2004044025A2 (en) 2004-05-27
WO2004044025A3 WO2004044025A3 (en) 2004-07-15
WO2004044025A8 WO2004044025A8 (en) 2004-10-07

Family

ID=32685877

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/036354 WO2004044025A2 (en) 2002-11-12 2003-11-12 Anti-reflective coatings for photolithography and methods of preparation thereof

Country Status (2)

Country Link
AU (1) AU2003295517A1 (en)
WO (1) WO2004044025A2 (en)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007094848A2 (en) 2006-02-13 2007-08-23 Dow Corning Corporation Antireflective coating material
WO2007144452A1 (en) * 2006-06-13 2007-12-21 Braggone Oy Hybrid inorganic-organic polymer compositions for anti-reflective coatings
WO2007148221A1 (en) * 2006-06-22 2007-12-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
US7368173B2 (en) 2003-05-23 2008-05-06 Dow Corning Corporation Siloxane resin-based anti-reflective coating composition having high wet etch rate
NO325797B1 (en) * 2005-10-14 2008-07-21 Nor X Ind As Light preservative based on organic / inorganic hybrid polymer, process for preparation and use of same
US7550249B2 (en) 2006-03-10 2009-06-23 Az Electronic Materials Usa Corp. Base soluble polymers for photoresist compositions
US7678462B2 (en) 1999-06-10 2010-03-16 Honeywell International, Inc. Spin-on-glass anti-reflective coatings for photolithography
US7759046B2 (en) 2006-12-20 2010-07-20 Az Electronic Materials Usa Corp. Antireflective coating compositions
US7833696B2 (en) 2004-12-17 2010-11-16 Dow Corning Corporation Method for forming anti-reflective coating
US7838615B2 (en) 2004-12-17 2010-11-23 Dow Corning Corporation Siloxane resin coating
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US8168740B2 (en) * 2007-02-27 2012-05-01 Braggone Oy Process for producing an organsiloxane polymer
US8241707B2 (en) 2008-03-05 2012-08-14 Dow Corning Corporation Silsesquioxane resins
US8304161B2 (en) 2008-03-04 2012-11-06 Dow Corning Corporation Silsesquioxane resins
US8318258B2 (en) 2008-01-08 2012-11-27 Dow Corning Toray Co., Ltd. Silsesquioxane resins
US8524441B2 (en) 2007-02-27 2013-09-03 Az Electronic Materials Usa Corp. Silicon-based antireflective coating compositions
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US9023433B2 (en) 2008-01-15 2015-05-05 Dow Corning Corporation Silsesquioxane resins and method of using them to form an antireflective coating
CN104769058A (en) * 2012-08-31 2015-07-08 霍尼韦尔国际公司 Highly durable anti-reflective coatings
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110096063A (en) 2008-12-10 2011-08-26 다우 코닝 코포레이션 Silsesquioxane resins
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6368400B1 (en) * 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6368400B1 (en) * 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7678462B2 (en) 1999-06-10 2010-03-16 Honeywell International, Inc. Spin-on-glass anti-reflective coatings for photolithography
US7368173B2 (en) 2003-05-23 2008-05-06 Dow Corning Corporation Siloxane resin-based anti-reflective coating composition having high wet etch rate
US8129491B2 (en) 2004-12-17 2012-03-06 Dow Corning Corporation Siloxane resin coating
US7838615B2 (en) 2004-12-17 2010-11-23 Dow Corning Corporation Siloxane resin coating
US7833696B2 (en) 2004-12-17 2010-11-16 Dow Corning Corporation Method for forming anti-reflective coating
NO325797B1 (en) * 2005-10-14 2008-07-21 Nor X Ind As Light preservative based on organic / inorganic hybrid polymer, process for preparation and use of same
WO2007094848A2 (en) 2006-02-13 2007-08-23 Dow Corning Corporation Antireflective coating material
US8263312B2 (en) 2006-02-13 2012-09-11 Dow Corning Corporation Antireflective coating material
WO2007094848A3 (en) * 2006-02-13 2007-12-06 Dow Corning Antireflective coating material
CN101371196B (en) * 2006-02-13 2012-07-04 陶氏康宁公司 Antireflective coating material
US7550249B2 (en) 2006-03-10 2009-06-23 Az Electronic Materials Usa Corp. Base soluble polymers for photoresist compositions
WO2007144452A1 (en) * 2006-06-13 2007-12-21 Braggone Oy Hybrid inorganic-organic polymer compositions for anti-reflective coatings
US9284455B2 (en) 2006-06-13 2016-03-15 Braggone Oy Hybrid inorganic-organic polymer compositions for anti-reflective coatings
US7704670B2 (en) 2006-06-22 2010-04-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
WO2007148221A1 (en) * 2006-06-22 2007-12-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
US7759046B2 (en) 2006-12-20 2010-07-20 Az Electronic Materials Usa Corp. Antireflective coating compositions
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US8524441B2 (en) 2007-02-27 2013-09-03 Az Electronic Materials Usa Corp. Silicon-based antireflective coating compositions
US8168740B2 (en) * 2007-02-27 2012-05-01 Braggone Oy Process for producing an organsiloxane polymer
EP2115037B1 (en) * 2007-02-27 2016-04-20 Optitune Oy Process for producing an organosiloxane polymer
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US8318258B2 (en) 2008-01-08 2012-11-27 Dow Corning Toray Co., Ltd. Silsesquioxane resins
US9023433B2 (en) 2008-01-15 2015-05-05 Dow Corning Corporation Silsesquioxane resins and method of using them to form an antireflective coating
US8304161B2 (en) 2008-03-04 2012-11-06 Dow Corning Corporation Silsesquioxane resins
US8241707B2 (en) 2008-03-05 2012-08-14 Dow Corning Corporation Silsesquioxane resins
CN104769058A (en) * 2012-08-31 2015-07-08 霍尼韦尔国际公司 Highly durable anti-reflective coatings
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications

Also Published As

Publication number Publication date
WO2004044025A3 (en) 2004-07-15
AU2003295517A1 (en) 2004-06-03
WO2004044025A8 (en) 2004-10-07
AU2003295517A8 (en) 2004-06-03

Similar Documents

Publication Publication Date Title
US9069133B2 (en) Anti-reflective coating for photolithography and methods of preparation thereof
EP1695142B1 (en) Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
EP1478682A1 (en) Anti-reflective coatings for photolithography and methods of preparation thereof
WO2004044025A2 (en) Anti-reflective coatings for photolithography and methods of preparation thereof
US7867331B2 (en) Coating composition optimization for via fill and photolithography applications and methods of preparation thereof
US8642246B2 (en) Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
KR101339763B1 (en) Antireflective hard mask compositions
US7955782B2 (en) Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
JP2010519584A (en) Anti-reflective coating composition based on silicon polymer
JP5702837B2 (en) Processable inorganic and organic polymer blends, methods for their production and use
WO2003044600A1 (en) Spin-on anti-reflective coatings for photolithography
US20090275694A1 (en) Spin-on-Glass Anti-Reflective Coatings for Photolithography
EP2278611A1 (en) Organosilicate resin formulation for use in microelectronic devices
WO2008104874A1 (en) Process for making siloxane polymers
KR20050044501A (en) Anti-reflective coatings for photolithography and methods of preparation thereof

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
CFP Corrected version of a pamphlet front page
CR1 Correction of entry in section i

Free format text: IN PCT GAZETTE 22/2004 UNDER (30) REPLACE "06 OCTOBER 2003 (06.10.2003)" BY "07 OCTOBER 2003 (07.10.2003)"

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase in:

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP