JP6211673B2 - トリゲート・デバイス及び製造方法 - Google Patents
トリゲート・デバイス及び製造方法 Download PDFInfo
- Publication number
- JP6211673B2 JP6211673B2 JP2016226687A JP2016226687A JP6211673B2 JP 6211673 B2 JP6211673 B2 JP 6211673B2 JP 2016226687 A JP2016226687 A JP 2016226687A JP 2016226687 A JP2016226687 A JP 2016226687A JP 6211673 B2 JP6211673 B2 JP 6211673B2
- Authority
- JP
- Japan
- Prior art keywords
- silicon
- transistor
- gate electrode
- gate
- less
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000004519 manufacturing process Methods 0.000 title claims description 27
- 229910052710 silicon Inorganic materials 0.000 claims description 132
- 239000010703 silicon Substances 0.000 claims description 132
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 131
- 239000000758 substrate Substances 0.000 claims description 69
- 238000000034 method Methods 0.000 claims description 67
- 229910021332 silicide Inorganic materials 0.000 claims description 22
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims description 22
- 229910021421 monocrystalline silicon Inorganic materials 0.000 claims description 20
- 229910052751 metal Inorganic materials 0.000 claims description 19
- 239000002184 metal Substances 0.000 claims description 19
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 13
- 230000004888 barrier function Effects 0.000 claims description 8
- 238000000151 deposition Methods 0.000 claims description 8
- 239000000463 material Substances 0.000 claims description 8
- 229910052721 tungsten Inorganic materials 0.000 claims description 7
- 239000010937 tungsten Substances 0.000 claims description 7
- 150000004767 nitrides Chemical class 0.000 claims description 6
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 5
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 5
- 239000012212 insulator Substances 0.000 claims description 5
- 238000000059 patterning Methods 0.000 claims description 5
- 229910052719 titanium Inorganic materials 0.000 claims description 5
- 239000010936 titanium Substances 0.000 claims description 5
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 5
- 229910044991 metal oxide Inorganic materials 0.000 claims description 4
- 150000004706 metal oxides Chemical class 0.000 claims description 4
- 229910052715 tantalum Inorganic materials 0.000 claims description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 4
- 125000001475 halogen functional group Chemical group 0.000 claims 5
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims 2
- -1 tungsten nitride Chemical class 0.000 claims 2
- 239000010408 film Substances 0.000 description 125
- 239000004065 semiconductor Substances 0.000 description 123
- 125000006850 spacer group Chemical group 0.000 description 19
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 13
- 238000005468 ion implantation Methods 0.000 description 12
- 229920002120 photoresistant polymer Polymers 0.000 description 10
- 239000007772 electrode material Substances 0.000 description 9
- 238000005530 etching Methods 0.000 description 9
- 125000004429 atom Chemical group 0.000 description 8
- 239000010409 thin film Substances 0.000 description 8
- 229910052581 Si3N4 Inorganic materials 0.000 description 7
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 7
- 238000010586 diagram Methods 0.000 description 6
- 239000003870 refractory metal Substances 0.000 description 6
- 229910021419 crystalline silicon Inorganic materials 0.000 description 5
- 125000005843 halogen group Chemical group 0.000 description 5
- 238000001459 lithography Methods 0.000 description 5
- 229910052814 silicon oxide Inorganic materials 0.000 description 5
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- 239000013078 crystal Substances 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000000206 photolithography Methods 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 229910005542 GaSb Inorganic materials 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- 229910000676 Si alloy Inorganic materials 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- 239000002041 carbon nanotube Substances 0.000 description 2
- 229910021393 carbon nanotube Inorganic materials 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- VTGARNNDLOTBET-UHFFFAOYSA-N gallium antimonide Chemical compound [Sb]#[Ga] VTGARNNDLOTBET-UHFFFAOYSA-N 0.000 description 2
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 238000009499 grossing Methods 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 238000002513 implantation Methods 0.000 description 2
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 229910000927 Ge alloy Inorganic materials 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 239000000969 carrier Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 1
- 229910021334 nickel silicide Inorganic materials 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 150000003376 silicon Chemical class 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 229910021341 titanium silicide Inorganic materials 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 238000009279 wet oxidation reaction Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
- H01L29/0673—Nanowires or nanotubes oriented parallel to a substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/10—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/1025—Channel region of field-effect devices
- H01L29/1029—Channel region of field-effect devices of field-effect transistors
- H01L29/1033—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41791—Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4908—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78696—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10K—ORGANIC ELECTRIC SOLID-STATE DEVICES
- H10K10/00—Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
- H10K10/40—Organic transistors
- H10K10/46—Field-effect transistors, e.g. organic thin-film transistors [OTFT]
- H10K10/462—Insulated gate field-effect transistors [IGFETs]
- H10K10/481—Insulated gate field-effect transistors [IGFETs] characterised by the gate conductors
- H10K10/482—Insulated gate field-effect transistors [IGFETs] characterised by the gate conductors the IGFET comprising multiple separately-addressable gate electrodes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10K—ORGANIC ELECTRIC SOLID-STATE DEVICES
- H10K85/00—Organic materials used in the body or electrodes of devices covered by this subclass
- H10K85/20—Carbon compounds, e.g. carbon nanotubes or fullerenes
- H10K85/221—Carbon nanotubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/7853—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
- H01L29/7854—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78645—Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S977/00—Nanotechnology
- Y10S977/70—Nanostructure
- Y10S977/734—Fullerenes, i.e. graphene-based structures, such as nanohorns, nanococoons, nanoscrolls or fullerene-like structures, e.g. WS2 or MoS2 chalcogenide nanotubes, planar C3N4, etc.
- Y10S977/742—Carbon nanotubes, CNTs
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S977/00—Nanotechnology
- Y10S977/84—Manufacture, treatment, or detection of nanostructure
- Y10S977/842—Manufacture, treatment, or detection of nanostructure for carbon nanotubes or fullerenes
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S977/00—Nanotechnology
- Y10S977/902—Specified use of nanostructure
- Y10S977/932—Specified use of nanostructure for electronic or optoelectronic application
- Y10S977/936—Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
- Y10S977/938—Field effect transistors, FETS, with nanowire- or nanotube-channel region
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Ceramic Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Chemical & Material Sciences (AREA)
- Nanotechnology (AREA)
- Materials Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Manufacturing & Machinery (AREA)
- Mathematical Physics (AREA)
- Theoretical Computer Science (AREA)
- Thin Film Transistor (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Electrodes Of Semiconductors (AREA)
- Carbon And Carbon Compounds (AREA)
Description
平面状の上面及び一対の対向する側壁を有する半導体ボディを基板上に形成する工程;
前記半導体ボディの前記上面及び前記一対の対向する側壁の上にゲート誘電体を形成する工程;
前記ゲート誘電体上に、及び前記半導体ボディの前記対向する側壁上の前記ゲート誘電体に隣接して、ゲート電極を形成する工程であり、該ゲート電極の下で前記半導体ボディは第1導電型を有する、ゲート電極を形成する工程;
前記ゲート電極の下の前記半導体ボディ内に第1導電型のハロ領域を形成する工程;及び
前記ゲート電極を挟んで対向するように前記半導体ボディ内にソース領域及びドレイン領域を形成する工程;
を有する。
Claims (28)
- トランジスタを製造する方法であって、
シリコン・オン・インシュレータ(SOI)基板を用意する工程であり、前記SOI基板は、基板上の埋込酸化物層上にシリコン膜を有する、工程と、
前記SOI基板の前記シリコン膜をパターニングして、前記基板上の前記埋込酸化物層上にシリコンボディを形成する工程であり、前記シリコンボディは、頂面と、対向する側壁とを有し、前記側壁はボディ高さと前記側壁間のボディ幅とを定め、前記ボディ高さ及び前記ボディ幅は30nm未満であり、前記シリコンボディは、N型ドープされたシリコンボディである、工程と、
前記シリコンボディの前記頂面の上及び前記シリコンボディの前記対向する側壁の上にゲート誘電体層を形成する工程と、
前記シリコンボディを覆って及び前記埋込酸化物層を覆ってゲート材料を堆積する工程と、
前記ゲート材料をパターニングして、前記シリコンボディの前記頂面上の前記ゲート誘電体層の上に、及び前記シリコンボディの前記対向する側壁上の前記ゲート誘電体層に隣接して、ゲート電極を形成する工程であり、前記ゲート電極は、前記シリコンボディの前記対向する側壁に垂直に走る対向する側壁を有し、前記ゲート電極は30nm未満のゲート長を有する、工程と、
前記ゲート電極の前記対向する側壁を挟んで対向するように前記シリコンボディ内に一対のソース/ドレイン領域を形成する工程であり、前記ゲート電極の下方且つ前記一対のソース/ドレイン領域間の前記シリコンボディ内にチャネル領域が形成され、前記チャネル領域は、N型ドープされたチャネル領域である、工程と、
前記シリコンボディの前記チャネル領域内にハロ領域を形成する工程であり、前記ハロ領域は、N型ドープされたハロ領域である、工程と、
前記ゲート電極を挟んで対向するように前記シリコンボディの前記頂面の上に単結晶シリコンゲルマニウム層を形成して、隆起したソース及びドレイン領域を形成する工程であり、前記単結晶シリコンゲルマニウム層は、P型ドープされた単結晶シリコンゲルマニウム層である、工程と
を有し、
前記ボディ高さ、前記ボディ幅及び前記ゲート長の寸法は、100mV/V未満のドレイン誘起障壁低下(DIBL)と80mV/decade未満のサブスレッショルド勾配とを有する完全空乏トランジスタを形成するのに適したものである、
方法。 - 前記シリコン膜は単結晶シリコンである、請求項1に記載の方法。
- 前記ゲート電極は金属を有する、請求項1に記載の方法。
- 前記金属は、タングステン、タンタル、及びチタンからなる群から選択される、請求項3に記載の方法。
- 前記ゲート電極は金属窒化物を有する、請求項1に記載の方法。
- 前記金属窒化物は、窒化タングステン、窒化タンタル、及び窒化チタンからなる群から選択される、請求項5に記載の方法。
- 前記隆起したソース及びドレイン領域の前記単結晶シリコンゲルマニウム層上にシリサイドを形成する工程、を更に有する請求項1に記載の方法。
- 前記ゲート誘電体層はhigh−kゲート誘電体層を有する、請求項1に記載の方法。
- 前記high−kゲート誘電体層は金属酸化物層を有する、請求項8に記載の方法。
- 前記ボディ高さ及び前記ボディ幅は20nm未満である、請求項1に記載の方法。
- 前記完全空乏トランジスタは、60mV/decade未満のサブスレッショルド勾配を有する、請求項1に記載の方法。
- 前記完全空乏トランジスタは、40mV/V未満のドレイン誘起障壁低下と60mV/decade未満のサブスレッショルド勾配とを有する、請求項1に記載の方法。
- 前記ゲート電極は、20nm未満のゲート長を有する、請求項1に記載の方法。
- 前記完全空乏トランジスタは、40mV/V未満のドレイン誘起障壁低下と60mV/decade未満のサブスレッショルド勾配とを有し、前記ゲート電極は、20nm未満のゲート長を有し、前記ボディ高さ及び前記ボディ幅は20nm未満である、請求項1に記載の方法。
- 基板上の埋込酸化物層上のシリコンボディであり、前記シリコンボディは、頂面と、対向する側壁とを有し、前記側壁はボディ高さと前記側壁間のボディ幅とを定め、前記ボディ高さ及び前記ボディ幅は30nm未満であり、前記シリコンボディは、N型ドープされたシリコンボディである、シリコンボディと、
前記シリコンボディの前記頂面の上及び前記シリコンボディの前記対向する側壁の上のゲート誘電体層と、
前記シリコンボディの前記頂面上の前記ゲート誘電体層の上の及び前記シリコンボディの前記対向する側壁上の前記ゲート誘電体層に隣接したゲート電極であり、前記ゲート電極は、前記シリコンボディの前記対向する側壁に垂直に走る対向する側壁を有し、前記ゲート電極は30nm未満のゲート長を有する、ゲート電極と、
前記ゲート電極の前記対向する側壁を挟んで対向する前記シリコンボディ内の一対のソース/ドレイン領域であり、前記ゲート電極の下方且つ前記一対のソース/ドレイン領域間の前記シリコンボディ内にチャネル領域が形成されており、前記チャネル領域は、N型ドープされたチャネル領域である、一対のソース/ドレイン領域と、
前記シリコンボディの前記チャネル領域内のハロ領域であり、N型ドープされたハロ領域と、
隆起したソース及びドレイン領域を提供する、前記ゲート電極を挟んで対向する前記シリコンボディの前記頂面の上の単結晶シリコンゲルマニウム層であり、P型ドープされた単結晶シリコンゲルマニウム層である単結晶シリコンゲルマニウム層と
を有し、
前記ボディ高さ、前記ボディ幅及び前記ゲート長の寸法は、100mV/V未満のドレイン誘起障壁低下(DIBL)と80mV/decade未満のサブスレッショルド勾配とを有する完全空乏トランジスタを形成するのに適したものである、
トランジスタ。 - 前記シリコンボディは単結晶シリコンである、請求項15に記載のトランジスタ。
- 前記ゲート電極は金属を有する、請求項15に記載のトランジスタ。
- 前記金属は、タングステン、タンタル、及びチタンからなる群から選択されている、請求項17に記載のトランジスタ。
- 前記ゲート電極は金属窒化物を有する、請求項15に記載のトランジスタ。
- 前記金属窒化物は、窒化タングステン、窒化タンタル、及び窒化チタンからなる群から選択されている、請求項19に記載のトランジスタ。
- 前記隆起したソース及びドレイン領域の前記単結晶シリコンゲルマニウム層上のシリサイド、を更に有する請求項15に記載のトランジスタ。
- 前記ゲート誘電体層はhigh−kゲート誘電体層を有する、請求項15に記載のトランジスタ。
- 前記high−kゲート誘電体層は金属酸化物層を有する、請求項22に記載のトランジスタ。
- 前記ボディ高さ及び前記ボディ幅は20nm未満である、請求項15に記載のトランジスタ。
- 前記完全空乏トランジスタは、60mV/decade未満のサブスレッショルド勾配を有する、請求項15に記載のトランジスタ。
- 前記完全空乏トランジスタは、40mV/V未満のドレイン誘起障壁低下と60mV/decade未満のサブスレッショルド勾配とを有する、請求項15に記載のトランジスタ。
- 前記ゲート電極は、20nm未満のゲート長を有する、請求項15に記載のトランジスタ。
- 前記完全空乏トランジスタは、40mV/V未満のドレイン誘起障壁低下と60mV/decade未満のサブスレッショルド勾配とを有し、前記ゲート電極は、20nm未満のゲート長を有し、前記ボディ高さ及び前記ボディ幅は20nm未満である、請求項15に記載のトランジスタ。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/227,068 US7358121B2 (en) | 2002-08-23 | 2002-08-23 | Tri-gate devices and methods of fabrication |
US10/227,068 | 2002-08-23 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2015239417A Division JP6141395B2 (ja) | 2002-08-23 | 2015-12-08 | トリゲート・デバイス及び製造方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2017041656A JP2017041656A (ja) | 2017-02-23 |
JP6211673B2 true JP6211673B2 (ja) | 2017-10-11 |
Family
ID=31887388
Family Applications (5)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2004529802A Pending JP2005528810A (ja) | 2002-08-23 | 2003-08-22 | トリゲート・デバイス及び製造方法 |
JP2009122283A Pending JP2009182360A (ja) | 2002-08-23 | 2009-05-20 | トリゲート・デバイス及び製造方法 |
JP2014080409A Expired - Lifetime JP6189245B2 (ja) | 2002-08-23 | 2014-04-09 | トリゲート・デバイス及び製造方法 |
JP2015239417A Expired - Lifetime JP6141395B2 (ja) | 2002-08-23 | 2015-12-08 | トリゲート・デバイス及び製造方法 |
JP2016226687A Expired - Lifetime JP6211673B2 (ja) | 2002-08-23 | 2016-11-22 | トリゲート・デバイス及び製造方法 |
Family Applications Before (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2004529802A Pending JP2005528810A (ja) | 2002-08-23 | 2003-08-22 | トリゲート・デバイス及び製造方法 |
JP2009122283A Pending JP2009182360A (ja) | 2002-08-23 | 2009-05-20 | トリゲート・デバイス及び製造方法 |
JP2014080409A Expired - Lifetime JP6189245B2 (ja) | 2002-08-23 | 2014-04-09 | トリゲート・デバイス及び製造方法 |
JP2015239417A Expired - Lifetime JP6141395B2 (ja) | 2002-08-23 | 2015-12-08 | トリゲート・デバイス及び製造方法 |
Country Status (8)
Country | Link |
---|---|
US (10) | US7358121B2 (ja) |
EP (1) | EP1425801A1 (ja) |
JP (5) | JP2005528810A (ja) |
KR (2) | KR100816941B1 (ja) |
CN (3) | CN1822338A (ja) |
AU (1) | AU2003262770A1 (ja) |
TW (1) | TWI292954B (ja) |
WO (1) | WO2004019414A1 (ja) |
Families Citing this family (759)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6537891B1 (en) * | 2000-08-29 | 2003-03-25 | Micron Technology, Inc. | Silicon on insulator DRAM process utilizing both fully and partially depleted devices |
US6872645B2 (en) * | 2002-04-02 | 2005-03-29 | Nanosys, Inc. | Methods of positioning and/or orienting nanostructures |
US20040034177A1 (en) * | 2002-05-02 | 2004-02-19 | Jian Chen | Polymer and method for using the polymer for solubilizing nanotubes |
US7358121B2 (en) * | 2002-08-23 | 2008-04-15 | Intel Corporation | Tri-gate devices and methods of fabrication |
US7163851B2 (en) * | 2002-08-26 | 2007-01-16 | International Business Machines Corporation | Concurrent Fin-FET and thick-body device fabrication |
US7067867B2 (en) * | 2002-09-30 | 2006-06-27 | Nanosys, Inc. | Large-area nonenabled macroelectronic substrates and uses therefor |
US7619562B2 (en) * | 2002-09-30 | 2009-11-17 | Nanosys, Inc. | Phased array systems |
US7051945B2 (en) * | 2002-09-30 | 2006-05-30 | Nanosys, Inc | Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites |
US7135728B2 (en) * | 2002-09-30 | 2006-11-14 | Nanosys, Inc. | Large-area nanoenabled macroelectronic substrates and uses therefor |
KR101191632B1 (ko) | 2002-09-30 | 2012-10-17 | 나노시스, 인크. | 대형 나노 인에이블 매크로전자 기판 및 그 사용 |
CA2499944A1 (en) * | 2002-09-30 | 2004-04-15 | Nanosys, Inc. | Integrated displays using nanowire transistors |
JP2004146622A (ja) * | 2002-10-25 | 2004-05-20 | Oki Electric Ind Co Ltd | 半導体装置及びその製造方法 |
US6709982B1 (en) | 2002-11-26 | 2004-03-23 | Advanced Micro Devices, Inc. | Double spacer FinFET formation |
US6825506B2 (en) * | 2002-11-27 | 2004-11-30 | Intel Corporation | Field effect transistor and method of fabrication |
US7728360B2 (en) * | 2002-12-06 | 2010-06-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multiple-gate transistor structure |
US7214991B2 (en) * | 2002-12-06 | 2007-05-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | CMOS inverters configured using multiple-gate transistors |
KR100483425B1 (ko) * | 2003-03-17 | 2005-04-14 | 삼성전자주식회사 | 반도체소자 및 그 제조 방법 |
US6762448B1 (en) | 2003-04-03 | 2004-07-13 | Advanced Micro Devices, Inc. | FinFET device with multiple fin structures |
US7074656B2 (en) * | 2003-04-29 | 2006-07-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Doping of semiconductor fin devices |
US20060170053A1 (en) * | 2003-05-09 | 2006-08-03 | Yee-Chia Yeo | Accumulation mode multiple gate transistor |
WO2004106420A2 (en) * | 2003-05-22 | 2004-12-09 | Zyvex Corporation | Nanocomposites and method for production |
US7045401B2 (en) * | 2003-06-23 | 2006-05-16 | Sharp Laboratories Of America, Inc. | Strained silicon finFET device |
US6911383B2 (en) * | 2003-06-26 | 2005-06-28 | International Business Machines Corporation | Hybrid planar and finFET CMOS devices |
US7456476B2 (en) * | 2003-06-27 | 2008-11-25 | Intel Corporation | Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication |
US6909151B2 (en) * | 2003-06-27 | 2005-06-21 | Intel Corporation | Nonplanar device with stress incorporation layer and method of fabrication |
US6716686B1 (en) * | 2003-07-08 | 2004-04-06 | Advanced Micro Devices, Inc. | Method for forming channels in a finfet device |
US6921982B2 (en) * | 2003-07-21 | 2005-07-26 | International Business Machines Corporation | FET channel having a strained lattice structure along multiple surfaces |
US20050156157A1 (en) * | 2003-07-21 | 2005-07-21 | Parsons Gregory N. | Hierarchical assembly of interconnects for molecular electronics |
US7335934B2 (en) * | 2003-07-22 | 2008-02-26 | Innovative Silicon S.A. | Integrated circuit device, and method of fabricating same |
JP2005051140A (ja) * | 2003-07-31 | 2005-02-24 | Toshiba Corp | 半導体装置およびその製造方法 |
US6855583B1 (en) * | 2003-08-05 | 2005-02-15 | Advanced Micro Devices, Inc. | Method for forming tri-gate FinFET with mesa isolation |
TWI239071B (en) * | 2003-08-20 | 2005-09-01 | Ind Tech Res Inst | Manufacturing method of carbon nano-tube transistor |
JP4669213B2 (ja) | 2003-08-29 | 2011-04-13 | 独立行政法人科学技術振興機構 | 電界効果トランジスタ及び単一電子トランジスタ並びにそれを用いたセンサ |
US8008136B2 (en) * | 2003-09-03 | 2011-08-30 | Advanced Micro Devices, Inc. | Fully silicided gate structure for FinFET devices |
JP2005086024A (ja) * | 2003-09-09 | 2005-03-31 | Toshiba Corp | 半導体装置及びその製造方法 |
US7714384B2 (en) * | 2003-09-15 | 2010-05-11 | Seliskar John J | Castellated gate MOSFET device capable of fully-depleted operation |
KR100555518B1 (ko) * | 2003-09-16 | 2006-03-03 | 삼성전자주식회사 | 이중 게이트 전계 효과 트랜지스터 및 그 제조방법 |
US20050062088A1 (en) * | 2003-09-22 | 2005-03-24 | Texas Instruments Incorporated | Multi-gate one-transistor dynamic random access memory |
US6970373B2 (en) * | 2003-10-02 | 2005-11-29 | Intel Corporation | Method and apparatus for improving stability of a 6T CMOS SRAM cell |
US6855588B1 (en) * | 2003-10-07 | 2005-02-15 | United Microelectronics Corp. | Method of fabricating a double gate MOSFET device |
US20050077574A1 (en) * | 2003-10-08 | 2005-04-14 | Chandra Mouli | 1T/0C RAM cell with a wrapped-around gate device structure |
WO2005038931A1 (ja) * | 2003-10-20 | 2005-04-28 | Nec Corporation | 半導体装置及び半導体装置の製造方法 |
US6927106B2 (en) * | 2003-10-29 | 2005-08-09 | Texas Instruments Incorporated | Methods for fabricating a triple-gate MOSFET transistor |
US6946377B2 (en) * | 2003-10-29 | 2005-09-20 | Texas Instruments Incorporated | Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same |
KR100585111B1 (ko) * | 2003-11-24 | 2006-06-01 | 삼성전자주식회사 | 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법 |
US7498225B1 (en) | 2003-12-04 | 2009-03-03 | Advanced Micro Devices, Inc. | Systems and methods for forming multiple fin structures using metal-induced-crystallization |
US6949482B2 (en) | 2003-12-08 | 2005-09-27 | Intel Corporation | Method for improving transistor performance through reducing the salicide interface resistance |
US6933183B2 (en) * | 2003-12-09 | 2005-08-23 | International Business Machines Corporation | Selfaligned source/drain FinFET process flow |
US7569882B2 (en) * | 2003-12-23 | 2009-08-04 | Interuniversitair Microelektronica Centrum (Imec) | Non-volatile multibit memory cell and method of manufacturing thereof |
US7101761B2 (en) * | 2003-12-23 | 2006-09-05 | Intel Corporation | Method of fabricating semiconductor devices with replacement, coaxial gate structure |
US7105390B2 (en) * | 2003-12-30 | 2006-09-12 | Intel Corporation | Nonplanar transistors with metal gate electrodes |
US7624192B2 (en) * | 2003-12-30 | 2009-11-24 | Microsoft Corporation | Framework for user interaction with multiple network devices |
KR100552058B1 (ko) * | 2004-01-06 | 2006-02-20 | 삼성전자주식회사 | 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 제조 방법 |
US7268058B2 (en) * | 2004-01-16 | 2007-09-11 | Intel Corporation | Tri-gate transistors and methods to fabricate same |
US6936518B2 (en) * | 2004-01-21 | 2005-08-30 | Intel Corporation | Creating shallow junction transistors |
US8025960B2 (en) | 2004-02-02 | 2011-09-27 | Nanosys, Inc. | Porous substrates, articles, systems and compositions comprising nanofibers and methods of their use and production |
US7553371B2 (en) * | 2004-02-02 | 2009-06-30 | Nanosys, Inc. | Porous substrates, articles, systems and compositions comprising nanofibers and methods of their use and production |
US20110039690A1 (en) * | 2004-02-02 | 2011-02-17 | Nanosys, Inc. | Porous substrates, articles, systems and compositions comprising nanofibers and methods of their use and production |
KR100574971B1 (ko) * | 2004-02-17 | 2006-05-02 | 삼성전자주식회사 | 멀티-게이트 구조의 반도체 소자 및 그 제조 방법 |
US7115947B2 (en) * | 2004-03-18 | 2006-10-03 | International Business Machines Corporation | Multiple dielectric finfet structure and method |
US7115971B2 (en) * | 2004-03-23 | 2006-10-03 | Nanosys, Inc. | Nanowire varactor diode and methods of making same |
JP2005285822A (ja) * | 2004-03-26 | 2005-10-13 | Fujitsu Ltd | 半導体装置および半導体センサ |
US7154118B2 (en) * | 2004-03-31 | 2006-12-26 | Intel Corporation | Bulk non-planar transistor having strained enhanced mobility and methods of fabrication |
JP5254608B2 (ja) * | 2004-04-13 | 2013-08-07 | ザイベックス パフォーマンス マテリアルズ、インク. | モジュール式ポリ(フェニレンエチレニン)の合成方法及びナノマテリアルを機能化するためにその電子特性を微調整する方法 |
DE102004020593A1 (de) * | 2004-04-27 | 2005-11-24 | Infineon Technologies Ag | Fin-Feldeffekttransistor-Anordnung und Verfahren zum Herstellen einer Fin-Feldeffektransistor-Anordnung |
US20050279274A1 (en) * | 2004-04-30 | 2005-12-22 | Chunming Niu | Systems and methods for nanowire growth and manufacturing |
CN101010780B (zh) * | 2004-04-30 | 2012-07-25 | 纳米系统公司 | 纳米线生长和获取的体系和方法 |
US7122412B2 (en) * | 2004-04-30 | 2006-10-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating a necked FINFET device |
US7785922B2 (en) | 2004-04-30 | 2010-08-31 | Nanosys, Inc. | Methods for oriented growth of nanowires on patterned substrates |
JP3964885B2 (ja) | 2004-05-19 | 2007-08-22 | 株式会社東芝 | 半導体装置及びその製造方法 |
KR100625175B1 (ko) * | 2004-05-25 | 2006-09-20 | 삼성전자주식회사 | 채널층을 갖는 반도체 장치 및 이를 제조하는 방법 |
US7579280B2 (en) * | 2004-06-01 | 2009-08-25 | Intel Corporation | Method of patterning a film |
US7452778B2 (en) * | 2004-06-10 | 2008-11-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor nano-wire devices and methods of fabrication |
JP4675585B2 (ja) * | 2004-06-22 | 2011-04-27 | シャープ株式会社 | 電界効果トランジスタ |
KR100541657B1 (ko) * | 2004-06-29 | 2006-01-11 | 삼성전자주식회사 | 멀티 게이트 트랜지스터의 제조방법 및 이에 의해 제조된멀티 게이트 트랜지스터 |
US7098507B2 (en) * | 2004-06-30 | 2006-08-29 | Intel Corporation | Floating-body dynamic random access memory and method of fabrication in tri-gate technology |
US7042009B2 (en) * | 2004-06-30 | 2006-05-09 | Intel Corporation | High mobility tri-gate devices and methods of fabrication |
US8669145B2 (en) * | 2004-06-30 | 2014-03-11 | International Business Machines Corporation | Method and structure for strained FinFET devices |
WO2006078281A2 (en) * | 2004-07-07 | 2006-07-27 | Nanosys, Inc. | Systems and methods for harvesting and integrating nanowires |
US7115955B2 (en) * | 2004-07-30 | 2006-10-03 | International Business Machines Corporation | Semiconductor device having a strained raised source/drain |
US7348284B2 (en) * | 2004-08-10 | 2008-03-25 | Intel Corporation | Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow |
US7296576B2 (en) * | 2004-08-18 | 2007-11-20 | Zyvex Performance Materials, Llc | Polymers for enhanced solubility of nanomaterials, compositions and methods therefor |
US6969644B1 (en) * | 2004-08-31 | 2005-11-29 | Texas Instruments Incorporated | Versatile system for triple-gated transistors with engineered corners |
KR100555573B1 (ko) * | 2004-09-10 | 2006-03-03 | 삼성전자주식회사 | Seg막에 의해 확장된 접합영역을 갖는 반도체 소자 및그의 제조방법 |
KR101025846B1 (ko) * | 2004-09-13 | 2011-03-30 | 삼성전자주식회사 | 탄소나노튜브 채널을 포함하는 반도체 장치의 트랜지스터 |
US7071064B2 (en) * | 2004-09-23 | 2006-07-04 | Intel Corporation | U-gate transistors and methods of fabrication |
US7332439B2 (en) * | 2004-09-29 | 2008-02-19 | Intel Corporation | Metal gate transistors with epitaxial source and drain regions |
US7422946B2 (en) | 2004-09-29 | 2008-09-09 | Intel Corporation | Independently accessed double-gate and tri-gate transistors in same process flow |
US7361958B2 (en) * | 2004-09-30 | 2008-04-22 | Intel Corporation | Nonplanar transistors with metal gate electrodes |
KR100585161B1 (ko) * | 2004-10-02 | 2006-05-30 | 삼성전자주식회사 | 다중채널 트랜지스터 소자 제조 방법 및 이에 의한 소자 |
DE102004049453A1 (de) * | 2004-10-11 | 2006-04-20 | Infineon Technologies Ag | Elektrischer Schaltkreis mit einer Nanostruktur und Verfahren zum Herstellen einer Kontaktierung einer Nanostruktur |
JP2008515654A (ja) * | 2004-10-12 | 2008-05-15 | ナノシス・インク. | 導電性ポリマー及び半導体ナノワイヤに基づいてプラスチック電子部品を製造するための完全に集積化された有機層プロセス |
US7473943B2 (en) * | 2004-10-15 | 2009-01-06 | Nanosys, Inc. | Gate configuration for nanowire electronic devices |
US7244640B2 (en) * | 2004-10-19 | 2007-07-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for fabricating a body contact in a Finfet structure and a device including the same |
US7611943B2 (en) * | 2004-10-20 | 2009-11-03 | Texas Instruments Incorporated | Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation |
US20060086977A1 (en) * | 2004-10-25 | 2006-04-27 | Uday Shah | Nonplanar device with thinned lower body portion and method of fabrication |
US7569503B2 (en) | 2004-11-24 | 2009-08-04 | Nanosys, Inc. | Contact doping and annealing systems and processes for nanowire thin films |
US7298004B2 (en) * | 2004-11-30 | 2007-11-20 | Infineon Technologies Ag | Charge-trapping memory cell and method for production |
US7560366B1 (en) | 2004-12-02 | 2009-07-14 | Nanosys, Inc. | Nanowire horizontal growth and substrate removal |
US7473589B2 (en) * | 2005-12-09 | 2009-01-06 | Macronix International Co., Ltd. | Stacked thin film transistor, non-volatile memory devices and methods for fabricating the same |
US8482052B2 (en) | 2005-01-03 | 2013-07-09 | Macronix International Co., Ltd. | Silicon on insulator and thin film transistor bandgap engineered split gate memory |
US7315474B2 (en) | 2005-01-03 | 2008-01-01 | Macronix International Co., Ltd | Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays |
US7598516B2 (en) * | 2005-01-07 | 2009-10-06 | International Business Machines Corporation | Self-aligned process for nanotube/nanowire FETs |
US8362525B2 (en) * | 2005-01-14 | 2013-01-29 | Nantero Inc. | Field effect device having a channel of nanofabric and methods of making same |
JP4527552B2 (ja) * | 2005-01-17 | 2010-08-18 | 富士通セミコンダクター株式会社 | 半導体装置とその製造方法 |
JP2006196821A (ja) * | 2005-01-17 | 2006-07-27 | Fujitsu Ltd | 半導体装置とその製造方法 |
US7193279B2 (en) * | 2005-01-18 | 2007-03-20 | Intel Corporation | Non-planar MOS structure with a strained channel region |
US7094650B2 (en) * | 2005-01-20 | 2006-08-22 | Infineon Technologies Ag | Gate electrode for FinFET device |
US7518196B2 (en) * | 2005-02-23 | 2009-04-14 | Intel Corporation | Field effect transistor with narrow bandgap source and drain regions and method of fabrication |
US20100127241A1 (en) * | 2005-02-25 | 2010-05-27 | The Regents Of The University Of California | Electronic Devices with Carbon Nanotube Components |
US20060197129A1 (en) * | 2005-03-03 | 2006-09-07 | Triquint Semiconductor, Inc. | Buried and bulk channel finFET and method of making the same |
US20060202266A1 (en) * | 2005-03-14 | 2006-09-14 | Marko Radosavljevic | Field effect transistor with metal source/drain regions |
KR100594327B1 (ko) * | 2005-03-24 | 2006-06-30 | 삼성전자주식회사 | 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법 |
US7563701B2 (en) * | 2005-03-31 | 2009-07-21 | Intel Corporation | Self-aligned contacts for transistors |
KR100608377B1 (ko) * | 2005-05-02 | 2006-08-08 | 주식회사 하이닉스반도체 | 메모리 소자의 셀 트랜지스터 제조방법 |
US7141727B1 (en) * | 2005-05-16 | 2006-11-28 | International Business Machines Corporation | Method and apparatus for fabricating a carbon nanotube transistor having unipolar characteristics |
DE102005022763B4 (de) * | 2005-05-18 | 2018-02-01 | Infineon Technologies Ag | Elektronische Schaltkreis-Anordnung und Verfahren zum Herstellen eines elektronischen Schaltkreises |
EP1941554A2 (en) * | 2005-06-02 | 2008-07-09 | Nanosys, Inc. | Light emitting nanowires for macroelectronics |
KR100755367B1 (ko) * | 2005-06-08 | 2007-09-04 | 삼성전자주식회사 | 실린더형 게이트를 갖는 나노-라인 반도체 소자 및 그제조방법 |
US8033501B2 (en) * | 2005-06-10 | 2011-10-11 | The Boeing Company | Method and apparatus for attaching electrically powered seat track cover to through hole seat track design |
JP4718908B2 (ja) * | 2005-06-14 | 2011-07-06 | 株式会社東芝 | 半導体装置および半導体装置の製造方法 |
US7858481B2 (en) | 2005-06-15 | 2010-12-28 | Intel Corporation | Method for fabricating transistor with thinned channel |
KR100644019B1 (ko) * | 2005-06-17 | 2006-11-10 | 매그나칩 반도체 유한회사 | 씨모스 이미지센서 및 그 제조 방법 |
US7411252B2 (en) * | 2005-06-21 | 2008-08-12 | International Business Machines Corporation | Substrate backgate for trigate FET |
US7547637B2 (en) | 2005-06-21 | 2009-06-16 | Intel Corporation | Methods for patterning a semiconductor film |
US20060286759A1 (en) * | 2005-06-21 | 2006-12-21 | Texas Instruments, Inc. | Metal oxide semiconductor (MOS) device having both an accumulation and a enhancement mode transistor device on a similar substrate and a method of manufacture therefor |
US7279375B2 (en) * | 2005-06-30 | 2007-10-09 | Intel Corporation | Block contact architectures for nanoscale channel transistors |
US7427547B2 (en) * | 2005-07-13 | 2008-09-23 | Magnachip Semiconductor, Ltd. | Three-dimensional high voltage transistor and method for manufacturing the same |
US7381649B2 (en) | 2005-07-29 | 2008-06-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure for a multiple-gate FET device and a method for its fabrication |
JP5105721B2 (ja) * | 2005-08-02 | 2012-12-26 | インターナショナル・ビジネス・マシーンズ・コーポレーション | FinFETのシリコンフィンをエッチングするために用いられる最終ハードマスクを構築するための3つのマスクによる方法 |
US20070031318A1 (en) * | 2005-08-03 | 2007-02-08 | Jie Liu | Methods of chemically treating an electrically conductive layer having nanotubes therein with diazonium reagent |
US7763927B2 (en) * | 2005-12-15 | 2010-07-27 | Macronix International Co., Ltd. | Non-volatile memory device having a nitride-oxide dielectric layer |
US7402875B2 (en) * | 2005-08-17 | 2008-07-22 | Intel Corporation | Lateral undercut of metal gate in SOI device |
DE102005039365B4 (de) * | 2005-08-19 | 2022-02-10 | Infineon Technologies Ag | Gate-gesteuertes Fin-Widerstandselement, welches als pinch - resistor arbeitet, zur Verwendung als ESD-Schutzelement in einem elektrischen Schaltkreis und Einrichtung zum Schutz vor elektrostatischen Entladungen in einem elektrischen Schaltkreis |
US7352034B2 (en) * | 2005-08-25 | 2008-04-01 | International Business Machines Corporation | Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures |
JP2007081185A (ja) * | 2005-09-15 | 2007-03-29 | Fujifilm Corp | 光検出素子 |
JP2009513368A (ja) * | 2005-09-23 | 2009-04-02 | ナノシス・インコーポレイテッド | ナノ構造体のドーピング方法 |
KR100696197B1 (ko) * | 2005-09-27 | 2007-03-20 | 한국전자통신연구원 | 실리콘 기판을 이용한 다중 게이트 모스 트랜지스터 및 그제조 방법 |
US20070090416A1 (en) * | 2005-09-28 | 2007-04-26 | Doyle Brian S | CMOS devices with a single work function gate electrode and method of fabrication |
US7479421B2 (en) * | 2005-09-28 | 2009-01-20 | Intel Corporation | Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby |
US20070090408A1 (en) * | 2005-09-29 | 2007-04-26 | Amlan Majumdar | Narrow-body multiple-gate FET with dominant body transistor for high performance |
US7492015B2 (en) * | 2005-11-10 | 2009-02-17 | International Business Machines Corporation | Complementary carbon nanotube triple gate technology |
US7341916B2 (en) * | 2005-11-10 | 2008-03-11 | Atmel Corporation | Self-aligned nanometer-level transistor defined without lithography |
US7326976B2 (en) * | 2005-11-15 | 2008-02-05 | International Business Machines Corporation | Corner dominated trigate field effect transistor |
US7452759B2 (en) * | 2005-11-29 | 2008-11-18 | Micron Technology, Inc. | Carbon nanotube field effect transistor and methods for making same |
US7485503B2 (en) | 2005-11-30 | 2009-02-03 | Intel Corporation | Dielectric interface for group III-V semiconductor device |
US7495290B2 (en) * | 2005-12-14 | 2009-02-24 | Infineon Technologies Ag | Semiconductor devices and methods of manufacture thereof |
JP2007165772A (ja) * | 2005-12-16 | 2007-06-28 | Toshiba Corp | 半導体装置および半導体装置の製造方法 |
US7531423B2 (en) * | 2005-12-22 | 2009-05-12 | International Business Machines Corporation | Reduced-resistance finFETs by sidewall silicidation and methods of manufacturing the same |
US7525160B2 (en) * | 2005-12-27 | 2009-04-28 | Intel Corporation | Multigate device with recessed strain regions |
US7396711B2 (en) * | 2005-12-27 | 2008-07-08 | Intel Corporation | Method of fabricating a multi-cornered film |
US20070148926A1 (en) * | 2005-12-28 | 2007-06-28 | Intel Corporation | Dual halo implant for improving short channel effect in three-dimensional tri-gate transistors |
JP2007180362A (ja) * | 2005-12-28 | 2007-07-12 | Toshiba Corp | 半導体装置 |
US7741197B1 (en) | 2005-12-29 | 2010-06-22 | Nanosys, Inc. | Systems and methods for harvesting and reducing contamination in nanowires |
JP2009522197A (ja) * | 2005-12-29 | 2009-06-11 | ナノシス・インコーポレイテッド | パターン形成された基板上のナノワイヤの配向した成長のための方法 |
US20070152266A1 (en) | 2005-12-29 | 2007-07-05 | Intel Corporation | Method and structure for reducing the external resistance of a three-dimensional transistor through use of epitaxial layers |
US20070158702A1 (en) * | 2005-12-30 | 2007-07-12 | Doczy Mark L | Transistor including flatband voltage control through interface dipole engineering |
KR100712543B1 (ko) * | 2005-12-31 | 2007-04-30 | 삼성전자주식회사 | 다중채널을 갖는 반도체소자 및 그 제조방법 |
US7623264B2 (en) * | 2006-02-17 | 2009-11-24 | Case Robert M | Method for colorizing a digital halftone |
US7439594B2 (en) | 2006-03-16 | 2008-10-21 | Micron Technology, Inc. | Stacked non-volatile memory with silicon carbide-based amorphous silicon thin film transistors |
US7666796B2 (en) * | 2006-03-23 | 2010-02-23 | Intel Corporation | Substrate patterning for multi-gate transistors |
US7449373B2 (en) * | 2006-03-31 | 2008-11-11 | Intel Corporation | Method of ion implanting for tri-gate devices |
EP2062297A1 (en) * | 2006-04-07 | 2009-05-27 | Koninklijke Philips Electronics N.V. | Co-integration of multi-gate fet with other fet devices in cmos technology |
US7566949B2 (en) * | 2006-04-28 | 2009-07-28 | International Business Machines Corporation | High performance 3D FET structures, and methods for forming the same using preferential crystallographic etching |
JP2007299991A (ja) * | 2006-05-01 | 2007-11-15 | Toshiba Corp | 半導体装置及びその製造方法 |
US7838345B2 (en) * | 2006-05-02 | 2010-11-23 | Freescale Semiconductor, Inc. | Electronic device including semiconductor fins and a process for forming the electronic device |
US7907450B2 (en) * | 2006-05-08 | 2011-03-15 | Macronix International Co., Ltd. | Methods and apparatus for implementing bit-by-bit erase of a flash memory device |
US7714386B2 (en) * | 2006-06-09 | 2010-05-11 | Northrop Grumman Systems Corporation | Carbon nanotube field effect transistor |
US7521775B2 (en) * | 2006-06-13 | 2009-04-21 | Intel Corporation | Protection of three dimensional transistor structures during gate stack etch |
US7670928B2 (en) * | 2006-06-14 | 2010-03-02 | Intel Corporation | Ultra-thin oxide bonding for S1 to S1 dual orientation bonding |
US8106382B2 (en) * | 2006-06-21 | 2012-01-31 | Panasonic Corporation | Field effect transistor |
US7544594B2 (en) * | 2006-06-28 | 2009-06-09 | Intel Corporation | Method of forming a transistor having gate protection and transistor formed according to the method |
US20080014689A1 (en) * | 2006-07-07 | 2008-01-17 | Texas Instruments Incorporated | Method for making planar nanowire surround gate mosfet |
US8946811B2 (en) * | 2006-07-10 | 2015-02-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Body-tied, strained-channel multi-gate device and methods of manufacturing same |
US8143646B2 (en) | 2006-08-02 | 2012-03-27 | Intel Corporation | Stacking fault and twin blocking barrier for integrating III-V on Si |
US7667260B2 (en) * | 2006-08-09 | 2010-02-23 | Micron Technology, Inc. | Nanoscale floating gate and methods of formation |
US7582549B2 (en) | 2006-08-25 | 2009-09-01 | Micron Technology, Inc. | Atomic layer deposited barium strontium titanium oxide films |
FR2905800A1 (fr) * | 2006-09-11 | 2008-03-14 | St Microelectronics Crolles 2 | Realisation d'un transistor a effet de champ. |
US7999251B2 (en) * | 2006-09-11 | 2011-08-16 | International Business Machines Corporation | Nanowire MOSFET with doped epitaxial contacts for source and drain |
EP1901354B1 (en) * | 2006-09-15 | 2016-08-24 | Imec | A tunnel field-effect transistor with gated tunnel barrier |
KR100764059B1 (ko) * | 2006-09-22 | 2007-10-09 | 삼성전자주식회사 | 반도체 장치 및 그 형성 방법 |
KR100790571B1 (ko) * | 2006-09-29 | 2008-01-02 | 주식회사 하이닉스반도체 | 트랜지스터 및 그 제조방법 |
US7773493B2 (en) * | 2006-09-29 | 2010-08-10 | Intel Corporation | Probe-based storage device |
US7811890B2 (en) | 2006-10-11 | 2010-10-12 | Macronix International Co., Ltd. | Vertical channel transistor structure and manufacturing method thereof |
US8772858B2 (en) * | 2006-10-11 | 2014-07-08 | Macronix International Co., Ltd. | Vertical channel memory and manufacturing method thereof and operating method using the same |
KR100839351B1 (ko) * | 2006-10-13 | 2008-06-19 | 삼성전자주식회사 | 반도체 메모리 소자 및 이의 제조 방법 |
CN101573778B (zh) | 2006-11-07 | 2013-01-02 | 奈米系统股份有限公司 | 用于纳米线生长的系统与方法 |
US20080111185A1 (en) * | 2006-11-13 | 2008-05-15 | International Business Machines Corporation | Asymmetric multi-gated transistor and method for forming |
US7786024B2 (en) | 2006-11-29 | 2010-08-31 | Nanosys, Inc. | Selective processing of semiconductor nanowires by polarized visible radiation |
JP5380827B2 (ja) | 2006-12-11 | 2014-01-08 | ソニー株式会社 | 半導体装置の製造方法 |
US8004043B2 (en) | 2006-12-19 | 2011-08-23 | Intel Corporation | Logic circuits using carbon nanotube transistors |
US8017463B2 (en) * | 2006-12-29 | 2011-09-13 | Intel Corporation | Expitaxial fabrication of fins for FinFET devices |
US20080157225A1 (en) * | 2006-12-29 | 2008-07-03 | Suman Datta | SRAM and logic transistors with variable height multi-gate transistor architecture |
US9806273B2 (en) * | 2007-01-03 | 2017-10-31 | The United States Of America As Represented By The Secretary Of The Army | Field effect transistor array using single wall carbon nano-tubes |
US7511344B2 (en) * | 2007-01-17 | 2009-03-31 | International Business Machines Corporation | Field effect transistor |
US9455348B2 (en) | 2007-02-01 | 2016-09-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET for device characterization |
US7851784B2 (en) * | 2007-02-13 | 2010-12-14 | Nano-Electronic And Photonic Devices And Circuits, Llc | Nanotube array electronic devices |
GB0702759D0 (en) * | 2007-02-13 | 2007-03-21 | Unversity Of Aveiro | Non aqueous thin film formation |
US8120115B2 (en) * | 2007-03-12 | 2012-02-21 | Imec | Tunnel field-effect transistor with gated tunnel barrier |
US7821061B2 (en) * | 2007-03-29 | 2010-10-26 | Intel Corporation | Silicon germanium and germanium multigate and nanowire structures for logic and multilevel memory applications |
US20080237751A1 (en) * | 2007-03-30 | 2008-10-02 | Uday Shah | CMOS Structure and method of manufacturing same |
US9564200B2 (en) * | 2007-04-10 | 2017-02-07 | Snu R&Db Foundation | Pillar-type field effect transistor having low leakage current |
US7898037B2 (en) | 2007-04-18 | 2011-03-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact scheme for MOSFETs |
JP4473889B2 (ja) * | 2007-04-26 | 2010-06-02 | 株式会社東芝 | 半導体装置 |
US7560785B2 (en) * | 2007-04-27 | 2009-07-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having multiple fin heights |
KR101375833B1 (ko) * | 2007-05-03 | 2014-03-18 | 삼성전자주식회사 | 게르마늄 나노로드를 구비한 전계효과 트랜지스터 및 그제조방법 |
US8258035B2 (en) * | 2007-05-04 | 2012-09-04 | Freescale Semiconductor, Inc. | Method to improve source/drain parasitics in vertical devices |
US8927353B2 (en) * | 2007-05-07 | 2015-01-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor and method of forming the same |
US8237201B2 (en) * | 2007-05-30 | 2012-08-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Layout methods of integrated circuits having unit MOS devices |
US8174073B2 (en) | 2007-05-30 | 2012-05-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit structures with multiple FinFETs |
US7923373B2 (en) | 2007-06-04 | 2011-04-12 | Micron Technology, Inc. | Pitch multiplication using self-assembling materials |
US20080315310A1 (en) * | 2007-06-19 | 2008-12-25 | Willy Rachmady | High k dielectric materials integrated into multi-gate transistor structures |
US7923337B2 (en) * | 2007-06-20 | 2011-04-12 | International Business Machines Corporation | Fin field effect transistor devices with self-aligned source and drain regions |
US7642603B2 (en) * | 2007-06-29 | 2010-01-05 | Intel Corporation | Semiconductor device with reduced fringe capacitance |
US7692254B2 (en) * | 2007-07-16 | 2010-04-06 | International Business Machines Corporation | Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure |
US7851865B2 (en) * | 2007-10-17 | 2010-12-14 | International Business Machines Corporation | Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure |
US20090020792A1 (en) * | 2007-07-18 | 2009-01-22 | Rafael Rios | Isolated tri-gate transistor fabricated on bulk substrate |
US8883597B2 (en) * | 2007-07-31 | 2014-11-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabrication of a FinFET element |
US7858454B2 (en) * | 2007-07-31 | 2010-12-28 | Rf Nano Corporation | Self-aligned T-gate carbon nanotube field effect transistor devices and method for forming the same |
US20090039414A1 (en) * | 2007-08-09 | 2009-02-12 | Macronix International Co., Ltd. | Charge trapping memory cell with high speed erase |
JP4455632B2 (ja) * | 2007-09-10 | 2010-04-21 | 株式会社東芝 | 半導体装置 |
EP2229690B1 (en) * | 2007-09-26 | 2011-04-20 | STMicroelectronics (Crolles 2) SAS | Process for forming a wire portion in an integrated electronic circuit |
US8065634B1 (en) | 2007-09-28 | 2011-11-22 | The Board Of Trustees Of The Leland Stanford Junior University | System and method for analyzing a nanotube logic circuit |
US8288233B2 (en) * | 2007-09-28 | 2012-10-16 | Intel Corporation | Method to introduce uniaxial strain in multigate nanoscale transistors by self aligned SI to SIGE conversion processes and structures formed thereby |
US8043978B2 (en) * | 2007-10-11 | 2011-10-25 | Riken | Electronic device and method for producing electronic device |
US7910994B2 (en) * | 2007-10-15 | 2011-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for source/drain contact processing |
US7939889B2 (en) * | 2007-10-16 | 2011-05-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reducing resistance in source and drain regions of FinFETs |
JP5106041B2 (ja) * | 2007-10-26 | 2012-12-26 | 株式会社東芝 | 半導体装置 |
US8039376B2 (en) * | 2007-11-14 | 2011-10-18 | International Business Machines Corporation | Methods of changing threshold voltages of semiconductor transistors by ion implantation |
US7629643B2 (en) * | 2007-11-30 | 2009-12-08 | Intel Corporation | Independent n-tips for multi-gate transistors |
EP2070533B1 (en) * | 2007-12-11 | 2014-05-07 | Apoteknos Para La Piel, s.l. | Use of a compound derived from P-hydroxyphenyl propionic acid for the treatment of psoriasis |
US7790531B2 (en) | 2007-12-18 | 2010-09-07 | Micron Technology, Inc. | Methods for isolating portions of a loop of pitch-multiplied material and related structures |
EP2073256A1 (en) * | 2007-12-20 | 2009-06-24 | Interuniversitair Microelektronica Centrum vzw ( IMEC) | Method for fabricating a semiconductor device and the semiconductor device made thereof |
US7763943B2 (en) * | 2007-12-26 | 2010-07-27 | Intel Corporation | Reducing external resistance of a multi-gate device by incorporation of a partial metallic fin |
US8030163B2 (en) * | 2007-12-26 | 2011-10-04 | Intel Corporation | Reducing external resistance of a multi-gate device using spacer processing techniques |
US7745270B2 (en) * | 2007-12-28 | 2010-06-29 | Intel Corporation | Tri-gate patterning using dual layer gate stack |
WO2009087623A1 (en) * | 2008-01-07 | 2009-07-16 | Ramot At Tel Aviv University Ltd. | Electric nanodevice and method of manufacturing same |
US8492249B2 (en) * | 2008-01-24 | 2013-07-23 | Nano-Electronic And Photonic Devices And Circuits, Llc | Methods of forming catalytic nanopads |
US8610104B2 (en) * | 2008-01-24 | 2013-12-17 | Nano-Electronic And Photonic Devices And Circuits, Llc | Nanotube array injection lasers |
US8624224B2 (en) * | 2008-01-24 | 2014-01-07 | Nano-Electronic And Photonic Devices And Circuits, Llc | Nanotube array bipolar transistors |
US8610125B2 (en) * | 2008-01-24 | 2013-12-17 | Nano-Electronic And Photonic Devices And Circuits, Llc | Nanotube array light emitting diodes |
US8440994B2 (en) * | 2008-01-24 | 2013-05-14 | Nano-Electronic And Photonic Devices And Circuits, Llc | Nanotube array electronic and opto-electronic devices |
US8264048B2 (en) * | 2008-02-15 | 2012-09-11 | Intel Corporation | Multi-gate device having a T-shaped gate structure |
US20090206404A1 (en) * | 2008-02-15 | 2009-08-20 | Ravi Pillarisetty | Reducing external resistance of a multi-gate device by silicidation |
US8187948B2 (en) | 2008-02-18 | 2012-05-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid gap-fill approach for STI formation |
US7915659B2 (en) * | 2008-03-06 | 2011-03-29 | Micron Technology, Inc. | Devices with cavity-defined gates and methods of making the same |
US7833889B2 (en) * | 2008-03-14 | 2010-11-16 | Intel Corporation | Apparatus and methods for improving multi-gate device performance |
US8030218B2 (en) | 2008-03-21 | 2011-10-04 | Micron Technology, Inc. | Method for selectively modifying spacing between pitch multiplied structures |
US7781274B2 (en) * | 2008-03-27 | 2010-08-24 | Kabushiki Kaisha Toshiba | Multi-gate field effect transistor and method for manufacturing the same |
US8129749B2 (en) * | 2008-03-28 | 2012-03-06 | Intel Corporation | Double quantum well structures for transistors |
US8278687B2 (en) * | 2008-03-28 | 2012-10-02 | Intel Corporation | Semiconductor heterostructures to reduce short channel effects |
US7994612B2 (en) * | 2008-04-21 | 2011-08-09 | International Business Machines Corporation | FinFETs single-sided implant formation |
US8022487B2 (en) * | 2008-04-29 | 2011-09-20 | Intel Corporation | Increasing body dopant uniformity in multi-gate transistor devices |
US8106459B2 (en) * | 2008-05-06 | 2012-01-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs having dielectric punch-through stoppers |
US8048723B2 (en) | 2008-12-05 | 2011-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Germanium FinFETs having dielectric punch-through stoppers |
US7800166B2 (en) * | 2008-05-30 | 2010-09-21 | Intel Corporation | Recessed channel array transistor (RCAT) structures and method of formation |
US8283231B2 (en) | 2008-06-11 | 2012-10-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | finFET drive strength modification |
WO2010005707A1 (en) * | 2008-06-16 | 2010-01-14 | The Board Of Trustees Of The University Of Illinois | Medium scale carbon nanotube thin film integrated circuits on flexible plastic substrates |
US8362566B2 (en) | 2008-06-23 | 2013-01-29 | Intel Corporation | Stress in trigate devices using complimentary gate fill materials |
US7833891B2 (en) * | 2008-07-23 | 2010-11-16 | International Business Machines Corporation | Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer |
US8178787B2 (en) * | 2008-08-26 | 2012-05-15 | Snu R&Db Foundation | Circuit board including aligned nanostructures |
US8153493B2 (en) | 2008-08-28 | 2012-04-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET process compatible native transistor |
KR101491714B1 (ko) | 2008-09-16 | 2015-02-16 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
JP2010098081A (ja) * | 2008-09-16 | 2010-04-30 | Hitachi Ltd | 半導体装置 |
US7608495B1 (en) * | 2008-09-19 | 2009-10-27 | Micron Technology, Inc. | Transistor forming methods |
US7915112B2 (en) * | 2008-09-23 | 2011-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate stress film for mobility enhancement in FinFET device |
KR101511933B1 (ko) * | 2008-10-31 | 2015-04-16 | 삼성전자주식회사 | 핀 전계 효과 트랜지스터의 제조방법 |
US8354291B2 (en) | 2008-11-24 | 2013-01-15 | University Of Southern California | Integrated circuits based on aligned nanotubes |
US8048813B2 (en) * | 2008-12-01 | 2011-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of reducing delamination in the fabrication of small-pitch devices |
KR101539669B1 (ko) * | 2008-12-16 | 2015-07-27 | 삼성전자주식회사 | 코어-쉘 타입 구조물 형성방법 및 이를 이용한 트랜지스터 제조방법 |
US8058692B2 (en) | 2008-12-29 | 2011-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multiple-gate transistors with reverse T-shaped fins |
US8144501B2 (en) * | 2008-12-29 | 2012-03-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Read/write margin improvement in SRAM design using dual-gate transistors |
US20100167506A1 (en) * | 2008-12-31 | 2010-07-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Inductive plasma doping |
US8263462B2 (en) * | 2008-12-31 | 2012-09-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dielectric punch-through stoppers for forming FinFETs having dual fin heights |
US7989355B2 (en) * | 2009-02-12 | 2011-08-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of pitch halving |
US7862962B2 (en) * | 2009-01-20 | 2011-01-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit layout design |
US9159808B2 (en) * | 2009-01-26 | 2015-10-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selective etch-back process for semiconductor devices |
US8258602B2 (en) | 2009-01-28 | 2012-09-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bipolar junction transistors having a fin |
US8400813B2 (en) * | 2009-02-10 | 2013-03-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | One-time programmable fuse with ultra low programming current |
US8331068B2 (en) * | 2009-02-19 | 2012-12-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | ESD protection for FinFETs |
US8115235B2 (en) * | 2009-02-20 | 2012-02-14 | Intel Corporation | Modulation-doped halo in quantum well field-effect transistors, apparatus made therewith, and methods of using same |
US8305829B2 (en) * | 2009-02-23 | 2012-11-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same |
US8293616B2 (en) | 2009-02-24 | 2012-10-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of fabrication of semiconductor devices with low capacitance |
US8305790B2 (en) * | 2009-03-16 | 2012-11-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Electrical anti-fuse and related applications |
US8319311B2 (en) * | 2009-03-16 | 2012-11-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid STI gap-filling approach |
US8004042B2 (en) | 2009-03-20 | 2011-08-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Static random access memory (SRAM) cell and method for forming same |
US8957482B2 (en) * | 2009-03-31 | 2015-02-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Electrical fuse and related applications |
CN101853882B (zh) | 2009-04-01 | 2016-03-23 | 台湾积体电路制造股份有限公司 | 具有改进的开关电流比的高迁移率多面栅晶体管 |
US8816391B2 (en) * | 2009-04-01 | 2014-08-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain engineering of devices with high-mobility channels |
US8395191B2 (en) | 2009-10-12 | 2013-03-12 | Monolithic 3D Inc. | Semiconductor device and structure |
US8378715B2 (en) | 2009-04-14 | 2013-02-19 | Monolithic 3D Inc. | Method to construct systems |
US8669778B1 (en) | 2009-04-14 | 2014-03-11 | Monolithic 3D Inc. | Method for design and manufacturing of a 3D semiconductor device |
US8754533B2 (en) * | 2009-04-14 | 2014-06-17 | Monolithic 3D Inc. | Monolithic three-dimensional semiconductor device and structure |
US8405420B2 (en) | 2009-04-14 | 2013-03-26 | Monolithic 3D Inc. | System comprising a semiconductor device and structure |
US8427200B2 (en) | 2009-04-14 | 2013-04-23 | Monolithic 3D Inc. | 3D semiconductor device |
US9509313B2 (en) | 2009-04-14 | 2016-11-29 | Monolithic 3D Inc. | 3D semiconductor device |
US8362482B2 (en) | 2009-04-14 | 2013-01-29 | Monolithic 3D Inc. | Semiconductor device and structure |
US20110031997A1 (en) * | 2009-04-14 | 2011-02-10 | NuPGA Corporation | Method for fabrication of a semiconductor device and structure |
US8058137B1 (en) | 2009-04-14 | 2011-11-15 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US8384426B2 (en) * | 2009-04-14 | 2013-02-26 | Monolithic 3D Inc. | Semiconductor device and structure |
US8912602B2 (en) * | 2009-04-14 | 2014-12-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs and methods for forming the same |
US20110199116A1 (en) * | 2010-02-16 | 2011-08-18 | NuPGA Corporation | Method for fabrication of a semiconductor device and structure |
US8362800B2 (en) | 2010-10-13 | 2013-01-29 | Monolithic 3D Inc. | 3D semiconductor device including field repairable logics |
US9577642B2 (en) | 2009-04-14 | 2017-02-21 | Monolithic 3D Inc. | Method to form a 3D semiconductor device |
US7986042B2 (en) | 2009-04-14 | 2011-07-26 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US8373439B2 (en) | 2009-04-14 | 2013-02-12 | Monolithic 3D Inc. | 3D semiconductor device |
US9711407B2 (en) * | 2009-04-14 | 2017-07-18 | Monolithic 3D Inc. | Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer |
WO2010120990A1 (en) * | 2009-04-15 | 2010-10-21 | James Schroeder | Personal fit medical implants and orthopedic surgical instruments and methods for making |
US8053299B2 (en) | 2009-04-17 | 2011-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabrication of a FinFET element |
US7919335B2 (en) * | 2009-04-20 | 2011-04-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Formation of shallow trench isolation using chemical vapor etch |
US9054194B2 (en) | 2009-04-29 | 2015-06-09 | Taiwan Semiconductor Manufactruing Company, Ltd. | Non-planar transistors and methods of fabrication thereof |
CN101877317B (zh) * | 2009-04-29 | 2013-03-27 | 台湾积体电路制造股份有限公司 | 非平坦晶体管及其制造方法 |
US8455860B2 (en) * | 2009-04-30 | 2013-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reducing source/drain resistance of III-V based transistors |
KR102067922B1 (ko) | 2009-05-19 | 2020-01-17 | 원드 매터리얼 엘엘씨 | 배터리 응용을 위한 나노구조화된 재료 |
US9768305B2 (en) | 2009-05-29 | 2017-09-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gradient ternary or quaternary multiple-gate transistor |
US8617976B2 (en) * | 2009-06-01 | 2013-12-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain re-growth for manufacturing III-V based transistors |
US8173499B2 (en) | 2009-06-12 | 2012-05-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of fabricating a gate stack integration of complementary MOS device |
US7968971B2 (en) * | 2009-06-22 | 2011-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Thin-body bipolar device |
US8461015B2 (en) * | 2009-07-08 | 2013-06-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | STI structure and method of forming bottom void in same |
US8629478B2 (en) * | 2009-07-31 | 2014-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin structure for high mobility multiple-gate transistor |
US8440517B2 (en) | 2010-10-13 | 2013-05-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET and method of fabricating the same |
US8264032B2 (en) * | 2009-09-01 | 2012-09-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Accumulation type FinFET, circuits and fabrication method thereof |
US9484462B2 (en) * | 2009-09-24 | 2016-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin structure of fin field effect transistor |
US8298925B2 (en) | 2010-11-08 | 2012-10-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanisms for forming ultra shallow junction |
US8482073B2 (en) * | 2010-03-25 | 2013-07-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit including FINFETs and methods for forming the same |
US8497528B2 (en) | 2010-05-06 | 2013-07-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for fabricating a strained structure |
US8623728B2 (en) | 2009-07-28 | 2014-01-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming high germanium concentration SiGe stressor |
US8187928B2 (en) | 2010-09-21 | 2012-05-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming integrated circuits |
US8264021B2 (en) * | 2009-10-01 | 2012-09-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Finfets and methods for forming the same |
US8114721B2 (en) * | 2009-12-15 | 2012-02-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of controlling gate thickness in forming FinFET devices |
US8980719B2 (en) | 2010-04-28 | 2015-03-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for doping fin field-effect transistors |
US8472227B2 (en) * | 2010-01-27 | 2013-06-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuits and methods for forming the same |
US8759943B2 (en) | 2010-10-08 | 2014-06-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Transistor having notched fin structure and method of making the same |
JP5446558B2 (ja) * | 2009-08-04 | 2014-03-19 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
US8043920B2 (en) * | 2009-09-17 | 2011-10-25 | International Business Machines Corporation | finFETS and methods of making same |
US8124463B2 (en) * | 2009-09-21 | 2012-02-28 | International Business Machines Corporation | Local bottom gates for graphene and carbon nanotube devices |
US9245805B2 (en) * | 2009-09-24 | 2016-01-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Germanium FinFETs with metal gates and stressors |
US8357569B2 (en) | 2009-09-29 | 2013-01-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating finfet device |
US8362575B2 (en) * | 2009-09-29 | 2013-01-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Controlling the shape of source/drain regions in FinFETs |
US8084822B2 (en) * | 2009-09-30 | 2011-12-27 | International Business Machines Corporation | Enhanced stress-retention fin-FET devices and methods of fabricating enhanced stress retention fin-FET devices |
US8476145B2 (en) | 2010-10-13 | 2013-07-02 | Monolithic 3D Inc. | Method of fabricating a semiconductor device and structure |
US8536023B2 (en) | 2010-11-22 | 2013-09-17 | Monolithic 3D Inc. | Method of manufacturing a semiconductor device and structure |
US10354995B2 (en) | 2009-10-12 | 2019-07-16 | Monolithic 3D Inc. | Semiconductor memory device and structure |
US11374118B2 (en) | 2009-10-12 | 2022-06-28 | Monolithic 3D Inc. | Method to form a 3D integrated circuit |
US8581349B1 (en) | 2011-05-02 | 2013-11-12 | Monolithic 3D Inc. | 3D memory semiconductor device and structure |
US10366970B2 (en) | 2009-10-12 | 2019-07-30 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11984445B2 (en) | 2009-10-12 | 2024-05-14 | Monolithic 3D Inc. | 3D semiconductor devices and structures with metal layers |
US10157909B2 (en) | 2009-10-12 | 2018-12-18 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10388863B2 (en) | 2009-10-12 | 2019-08-20 | Monolithic 3D Inc. | 3D memory device and structure |
US9099424B1 (en) | 2012-08-10 | 2015-08-04 | Monolithic 3D Inc. | Semiconductor system, device and structure with heat removal |
US10043781B2 (en) | 2009-10-12 | 2018-08-07 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US8294159B2 (en) | 2009-10-12 | 2012-10-23 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US8742476B1 (en) | 2012-11-27 | 2014-06-03 | Monolithic 3D Inc. | Semiconductor device and structure |
US8450804B2 (en) | 2011-03-06 | 2013-05-28 | Monolithic 3D Inc. | Semiconductor device and structure for heat removal |
US11018133B2 (en) | 2009-10-12 | 2021-05-25 | Monolithic 3D Inc. | 3D integrated circuit |
US12027518B1 (en) | 2009-10-12 | 2024-07-02 | Monolithic 3D Inc. | 3D semiconductor devices and structures with metal layers |
US10910364B2 (en) | 2009-10-12 | 2021-02-02 | Monolitaic 3D Inc. | 3D semiconductor device |
US8519481B2 (en) * | 2009-10-14 | 2013-08-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Voids in STI regions for forming bulk FinFETs |
US9112052B2 (en) | 2009-10-14 | 2015-08-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Voids in STI regions for forming bulk FinFETs |
US8610240B2 (en) * | 2009-10-16 | 2013-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit with multi recessed shallow trench isolation |
US20110097867A1 (en) * | 2009-10-22 | 2011-04-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of controlling gate thicknesses in forming fusi gates |
US8653608B2 (en) * | 2009-10-27 | 2014-02-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET design with reduced current crowding |
US8110466B2 (en) * | 2009-10-27 | 2012-02-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cross OD FinFET patterning |
US9953885B2 (en) * | 2009-10-27 | 2018-04-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | STI shape near fin bottom of Si fin in bulk FinFET |
US8592918B2 (en) * | 2009-10-28 | 2013-11-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Forming inter-device STI regions and intra-device STI regions using different dielectric materials |
US8415718B2 (en) | 2009-10-30 | 2013-04-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming epi film in substrate trench |
US8716797B2 (en) * | 2009-11-03 | 2014-05-06 | International Business Machines Corporation | FinFET spacer formation by oriented implantation |
US8637135B2 (en) | 2009-11-18 | 2014-01-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Non-uniform semiconductor device active area pattern formation |
US8445340B2 (en) * | 2009-11-19 | 2013-05-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Sacrificial offset protection film for a FinFET device |
US8941153B2 (en) | 2009-11-20 | 2015-01-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs with different fin heights |
US20110127492A1 (en) | 2009-11-30 | 2011-06-02 | International Business Machines Corporation | Field Effect Transistor Having Nanostructure Channel |
US8841652B2 (en) * | 2009-11-30 | 2014-09-23 | International Business Machines Corporation | Self aligned carbide source/drain FET |
US8426923B2 (en) * | 2009-12-02 | 2013-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multiple-gate semiconductor device and method |
US9087725B2 (en) | 2009-12-03 | 2015-07-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs with different fin height and EPI height setting |
US8373238B2 (en) | 2009-12-03 | 2013-02-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs with multiple Fin heights |
US8258572B2 (en) | 2009-12-07 | 2012-09-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | SRAM structure with FinFETs having multiple fins |
JP2011119606A (ja) | 2009-12-07 | 2011-06-16 | Sen Corp | 半導体装置の製造方法 |
CN102104069B (zh) * | 2009-12-16 | 2012-11-21 | 中国科学院微电子研究所 | 鳍式晶体管结构及其制作方法 |
US8440998B2 (en) * | 2009-12-21 | 2013-05-14 | Intel Corporation | Increasing carrier injection velocity for integrated circuit devices |
US20110147845A1 (en) * | 2009-12-22 | 2011-06-23 | Prashant Majhi | Remote Doped High Performance Transistor Having Improved Subthreshold Characteristics |
CN105347297B (zh) * | 2009-12-22 | 2018-01-09 | 昆南诺股份有限公司 | 用于制备纳米线结构的方法 |
US9117905B2 (en) | 2009-12-22 | 2015-08-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for incorporating impurity element in EPI silicon process |
US20110147840A1 (en) * | 2009-12-23 | 2011-06-23 | Cea Stephen M | Wrap-around contacts for finfet and tri-gate devices |
US8633470B2 (en) * | 2009-12-23 | 2014-01-21 | Intel Corporation | Techniques and configurations to impart strain to integrated circuit devices |
US8813014B2 (en) * | 2009-12-30 | 2014-08-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for making the same using semiconductor fin density design rules |
CN102117829B (zh) * | 2009-12-30 | 2012-11-21 | 中国科学院微电子研究所 | 鳍式晶体管结构及其制作方法 |
US8557692B2 (en) * | 2010-01-12 | 2013-10-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET LDD and source drain implant technique |
US9040393B2 (en) | 2010-01-14 | 2015-05-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming semiconductor structure |
US8709928B2 (en) * | 2010-01-19 | 2014-04-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor fin device and method for forming the same using high tilt angle implant |
US8513107B2 (en) * | 2010-01-26 | 2013-08-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Replacement gate FinFET devices and methods for forming the same |
KR101819197B1 (ko) | 2010-02-05 | 2018-02-28 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 장치, 및 반도체 장치의 제조 방법 |
US9362290B2 (en) | 2010-02-08 | 2016-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory cell layout |
US8395195B2 (en) * | 2010-02-09 | 2013-03-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bottom-notched SiGe FinFET formation using condensation |
US8785286B2 (en) * | 2010-02-09 | 2014-07-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Techniques for FinFET doping |
US8362572B2 (en) * | 2010-02-09 | 2013-01-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Lower parasitic capacitance FinFET |
US8310013B2 (en) * | 2010-02-11 | 2012-11-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating a FinFET device |
US8026521B1 (en) | 2010-10-11 | 2011-09-27 | Monolithic 3D Inc. | Semiconductor device and structure |
US9099526B2 (en) | 2010-02-16 | 2015-08-04 | Monolithic 3D Inc. | Integrated circuit device and structure |
US8461035B1 (en) | 2010-09-30 | 2013-06-11 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US8492886B2 (en) | 2010-02-16 | 2013-07-23 | Monolithic 3D Inc | 3D integrated circuit with logic |
US8373230B1 (en) | 2010-10-13 | 2013-02-12 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US8541819B1 (en) | 2010-12-09 | 2013-09-24 | Monolithic 3D Inc. | Semiconductor device and structure |
US8174055B2 (en) | 2010-02-17 | 2012-05-08 | Globalfoundries Inc. | Formation of FinFET gate spacer |
US8034677B2 (en) | 2010-02-25 | 2011-10-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated method for forming high-k metal gate FinFET devices |
US8263451B2 (en) * | 2010-02-26 | 2012-09-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxy profile engineering for FinFETs |
US8937353B2 (en) | 2010-03-01 | 2015-01-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual epitaxial process for a finFET device |
US8283217B2 (en) * | 2010-03-04 | 2012-10-09 | International Business Machines Corporation | Prevention of oxygen absorption into high-K gate dielectric of silicon-on-insulator based finFET devices |
US8278179B2 (en) | 2010-03-09 | 2012-10-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | LDD epitaxy for FinFETs |
US8399931B2 (en) | 2010-06-30 | 2013-03-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Layout for multiple-fin SRAM cell |
US8212295B2 (en) | 2010-06-30 | 2012-07-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | ROM cell circuit for FinFET devices |
US8675397B2 (en) | 2010-06-25 | 2014-03-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cell structure for dual-port SRAM |
US8942030B2 (en) | 2010-06-25 | 2015-01-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for SRAM cell circuit |
US9312179B2 (en) | 2010-03-17 | 2016-04-12 | Taiwan-Semiconductor Manufacturing Co., Ltd. | Method of making a finFET, and finFET formed by the method |
US8609495B2 (en) | 2010-04-08 | 2013-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid gate process for fabricating finfet device |
TWI536451B (zh) | 2010-04-26 | 2016-06-01 | 應用材料股份有限公司 | 使用具金屬系前驅物之化學氣相沉積與原子層沉積製程之n型金氧半導體金屬閘極材料、製造方法及設備 |
US8420455B2 (en) * | 2010-05-12 | 2013-04-16 | International Business Machines Corporation | Generation of multiple diameter nanowire field effect transistors |
US8729627B2 (en) | 2010-05-14 | 2014-05-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained channel integrated circuit devices |
US8621398B2 (en) | 2010-05-14 | 2013-12-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Automatic layout conversion for FinFET device |
US8881084B2 (en) | 2010-05-14 | 2014-11-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET boundary optimization |
JP5718585B2 (ja) * | 2010-05-19 | 2015-05-13 | ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. | 半導体装置及びその製造方法、並びにデータ処理システム |
US8513099B2 (en) * | 2010-06-17 | 2013-08-20 | International Business Machines Corporation | Epitaxial source/drain contacts self-aligned to gates for deposited FET channels |
US8394710B2 (en) * | 2010-06-21 | 2013-03-12 | International Business Machines Corporation | Semiconductor devices fabricated by doped material layer as dopant source |
US8455929B2 (en) | 2010-06-30 | 2013-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Formation of III-V based devices on semiconductor substrates |
US8278173B2 (en) | 2010-06-30 | 2012-10-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating gate structures |
US8796759B2 (en) | 2010-07-15 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-like field effect transistor (FinFET) device and method of manufacturing same |
US8278196B2 (en) | 2010-07-21 | 2012-10-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | High surface dopant concentration semiconductor device and method of fabricating |
US9130058B2 (en) | 2010-07-26 | 2015-09-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Forming crown active regions for FinFETs |
US10217667B2 (en) | 2011-06-28 | 2019-02-26 | Monolithic 3D Inc. | 3D semiconductor device, fabrication method and system |
US9953925B2 (en) | 2011-06-28 | 2018-04-24 | Monolithic 3D Inc. | Semiconductor system and device |
US8901613B2 (en) | 2011-03-06 | 2014-12-02 | Monolithic 3D Inc. | Semiconductor device and structure for heat removal |
US9219005B2 (en) | 2011-06-28 | 2015-12-22 | Monolithic 3D Inc. | Semiconductor system and device |
US8642416B2 (en) | 2010-07-30 | 2014-02-04 | Monolithic 3D Inc. | Method of forming three dimensional integrated circuit devices using layer transfer technique |
US8624320B2 (en) * | 2010-08-02 | 2014-01-07 | Advanced Micro Devices, Inc. | Process for forming fins for a FinFET device |
US8288759B2 (en) * | 2010-08-04 | 2012-10-16 | Zhihong Chen | Vertical stacking of carbon nanotube arrays for current enhancement and control |
US8373229B2 (en) | 2010-08-30 | 2013-02-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate controlled bipolar junction transistor on fin-like field effect transistor (FinFET) structure |
US8258848B2 (en) | 2010-09-07 | 2012-09-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Level shifter |
US8659072B2 (en) | 2010-09-24 | 2014-02-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Series FinFET implementation schemes |
US11482440B2 (en) | 2010-12-16 | 2022-10-25 | Monolithic 3D Inc. | 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits |
US8163581B1 (en) | 2010-10-13 | 2012-04-24 | Monolith IC 3D | Semiconductor and optoelectronic devices |
US8273610B2 (en) | 2010-11-18 | 2012-09-25 | Monolithic 3D Inc. | Method of constructing a semiconductor device and structure |
US10497713B2 (en) | 2010-11-18 | 2019-12-03 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US8062963B1 (en) | 2010-10-08 | 2011-11-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating a semiconductor device having an epitaxy region |
US11024673B1 (en) | 2010-10-11 | 2021-06-01 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11469271B2 (en) | 2010-10-11 | 2022-10-11 | Monolithic 3D Inc. | Method to produce 3D semiconductor devices and structures with memory |
US11257867B1 (en) | 2010-10-11 | 2022-02-22 | Monolithic 3D Inc. | 3D semiconductor device and structure with oxide bonds |
US11600667B1 (en) | 2010-10-11 | 2023-03-07 | Monolithic 3D Inc. | Method to produce 3D semiconductor devices and structures with memory |
US8114757B1 (en) | 2010-10-11 | 2012-02-14 | Monolithic 3D Inc. | Semiconductor device and structure |
US11227897B2 (en) | 2010-10-11 | 2022-01-18 | Monolithic 3D Inc. | Method for producing a 3D semiconductor memory device and structure |
US10290682B2 (en) | 2010-10-11 | 2019-05-14 | Monolithic 3D Inc. | 3D IC semiconductor device and structure with stacked memory |
US11315980B1 (en) | 2010-10-11 | 2022-04-26 | Monolithic 3D Inc. | 3D semiconductor device and structure with transistors |
US11158674B2 (en) | 2010-10-11 | 2021-10-26 | Monolithic 3D Inc. | Method to produce a 3D semiconductor device and structure |
US10896931B1 (en) | 2010-10-11 | 2021-01-19 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11018191B1 (en) | 2010-10-11 | 2021-05-25 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10679977B2 (en) | 2010-10-13 | 2020-06-09 | Monolithic 3D Inc. | 3D microdisplay device and structure |
US10833108B2 (en) | 2010-10-13 | 2020-11-10 | Monolithic 3D Inc. | 3D microdisplay device and structure |
US10943934B2 (en) | 2010-10-13 | 2021-03-09 | Monolithic 3D Inc. | Multilevel semiconductor device and structure |
US11404466B2 (en) | 2010-10-13 | 2022-08-02 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors |
US11043523B1 (en) | 2010-10-13 | 2021-06-22 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors |
US11327227B2 (en) | 2010-10-13 | 2022-05-10 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with electromagnetic modulators |
US11163112B2 (en) | 2010-10-13 | 2021-11-02 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with electromagnetic modulators |
US11164898B2 (en) | 2010-10-13 | 2021-11-02 | Monolithic 3D Inc. | Multilevel semiconductor device and structure |
US11694922B2 (en) | 2010-10-13 | 2023-07-04 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with oxide bonding |
US11605663B2 (en) | 2010-10-13 | 2023-03-14 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors and wafer bonding |
US9197804B1 (en) | 2011-10-14 | 2015-11-24 | Monolithic 3D Inc. | Semiconductor and optoelectronic devices |
US11929372B2 (en) | 2010-10-13 | 2024-03-12 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors and wafer bonding |
US8379458B1 (en) | 2010-10-13 | 2013-02-19 | Monolithic 3D Inc. | Semiconductor device and structure |
US11063071B1 (en) | 2010-10-13 | 2021-07-13 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with waveguides |
US10998374B1 (en) | 2010-10-13 | 2021-05-04 | Monolithic 3D Inc. | Multilevel semiconductor device and structure |
US12080743B2 (en) | 2010-10-13 | 2024-09-03 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors and wafer bonding |
US12094892B2 (en) | 2010-10-13 | 2024-09-17 | Monolithic 3D Inc. | 3D micro display device and structure |
US11437368B2 (en) | 2010-10-13 | 2022-09-06 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with oxide bonding |
US11855100B2 (en) | 2010-10-13 | 2023-12-26 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with oxide bonding |
US10978501B1 (en) | 2010-10-13 | 2021-04-13 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with waveguides |
US11133344B2 (en) | 2010-10-13 | 2021-09-28 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors |
US11855114B2 (en) | 2010-10-13 | 2023-12-26 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors and wafer bonding |
US11869915B2 (en) | 2010-10-13 | 2024-01-09 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with image sensors and wafer bonding |
US11984438B2 (en) | 2010-10-13 | 2024-05-14 | Monolithic 3D Inc. | Multilevel semiconductor device and structure with oxide bonding |
US9166022B2 (en) | 2010-10-18 | 2015-10-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-like field effect transistor (FinFET) device and method of manufacturing same |
US8367498B2 (en) | 2010-10-18 | 2013-02-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin-like field effect transistor (FinFET) device and method of manufacturing same |
US8338305B2 (en) | 2010-10-19 | 2012-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-fin device by self-aligned castle fin formation |
US8603924B2 (en) | 2010-10-19 | 2013-12-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of forming gate dielectric material |
US8524546B2 (en) | 2010-10-22 | 2013-09-03 | International Business Machines Corporation | Formation of multi-height MUGFET |
US8524545B2 (en) | 2010-10-22 | 2013-09-03 | International Business Machines Corporation | Simultaneous formation of FinFET and MUGFET |
US9048181B2 (en) | 2010-11-08 | 2015-06-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanisms for forming ultra shallow junction |
US8769446B2 (en) | 2010-11-12 | 2014-07-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and device for increasing fin device density for unaligned fins |
US11018042B1 (en) | 2010-11-18 | 2021-05-25 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US11569117B2 (en) | 2010-11-18 | 2023-01-31 | Monolithic 3D Inc. | 3D semiconductor device and structure with single-crystal layers |
US12033884B2 (en) | 2010-11-18 | 2024-07-09 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers |
US11901210B2 (en) | 2010-11-18 | 2024-02-13 | Monolithic 3D Inc. | 3D semiconductor device and structure with memory |
US12068187B2 (en) | 2010-11-18 | 2024-08-20 | Monolithic 3D Inc. | 3D semiconductor device and structure with bonding and DRAM memory cells |
US11784082B2 (en) | 2010-11-18 | 2023-10-10 | Monolithic 3D Inc. | 3D semiconductor device and structure with bonding |
US11094576B1 (en) | 2010-11-18 | 2021-08-17 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor memory device and structure |
US11495484B2 (en) | 2010-11-18 | 2022-11-08 | Monolithic 3D Inc. | 3D semiconductor devices and structures with at least two single-crystal layers |
US11211279B2 (en) | 2010-11-18 | 2021-12-28 | Monolithic 3D Inc. | Method for processing a 3D integrated circuit and structure |
US11508605B2 (en) | 2010-11-18 | 2022-11-22 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US11355381B2 (en) | 2010-11-18 | 2022-06-07 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US11862503B2 (en) | 2010-11-18 | 2024-01-02 | Monolithic 3D Inc. | Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers |
US11004719B1 (en) | 2010-11-18 | 2021-05-11 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor memory device and structure |
US11804396B2 (en) | 2010-11-18 | 2023-10-31 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers |
US11521888B2 (en) | 2010-11-18 | 2022-12-06 | Monolithic 3D Inc. | 3D semiconductor device and structure with high-k metal gate transistors |
US11923230B1 (en) | 2010-11-18 | 2024-03-05 | Monolithic 3D Inc. | 3D semiconductor device and structure with bonding |
US11735462B2 (en) | 2010-11-18 | 2023-08-22 | Monolithic 3D Inc. | 3D semiconductor device and structure with single-crystal layers |
US12100611B2 (en) | 2010-11-18 | 2024-09-24 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers |
US11615977B2 (en) | 2010-11-18 | 2023-03-28 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US11610802B2 (en) | 2010-11-18 | 2023-03-21 | Monolithic 3D Inc. | Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes |
US11443971B2 (en) | 2010-11-18 | 2022-09-13 | Monolithic 3D Inc. | 3D semiconductor device and structure with memory |
US11482438B2 (en) | 2010-11-18 | 2022-10-25 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor memory device and structure |
US11164770B1 (en) | 2010-11-18 | 2021-11-02 | Monolithic 3D Inc. | Method for producing a 3D semiconductor memory device and structure |
US11482439B2 (en) | 2010-11-18 | 2022-10-25 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors |
US11854857B1 (en) | 2010-11-18 | 2023-12-26 | Monolithic 3D Inc. | Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers |
US11121021B2 (en) | 2010-11-18 | 2021-09-14 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11107721B2 (en) | 2010-11-18 | 2021-08-31 | Monolithic 3D Inc. | 3D semiconductor device and structure with NAND logic |
US11355380B2 (en) | 2010-11-18 | 2022-06-07 | Monolithic 3D Inc. | Methods for producing 3D semiconductor memory device and structure utilizing alignment marks |
US11031275B2 (en) | 2010-11-18 | 2021-06-08 | Monolithic 3D Inc. | 3D semiconductor device and structure with memory |
US8486769B2 (en) | 2010-11-19 | 2013-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming metrology structures from fins in integrated circuitry |
US8525267B2 (en) | 2010-11-23 | 2013-09-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device and method for forming Fins in integrated circuitry |
US8633076B2 (en) | 2010-11-23 | 2014-01-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for adjusting fin width in integrated circuitry |
US9472550B2 (en) | 2010-11-23 | 2016-10-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Adjusted fin width in integrated circuitry |
US8901537B2 (en) * | 2010-12-21 | 2014-12-02 | Intel Corporation | Transistors with high concentration of boron doped germanium |
US9484432B2 (en) | 2010-12-21 | 2016-11-01 | Intel Corporation | Contact resistance reduction employing germanium overlayer pre-contact metalization |
US9385050B2 (en) * | 2011-01-06 | 2016-07-05 | Globalfoundries Inc. | Structure and method to fabricate resistor on finFET processes |
US9076873B2 (en) | 2011-01-07 | 2015-07-07 | International Business Machines Corporation | Graphene devices with local dual gates |
US8592915B2 (en) | 2011-01-25 | 2013-11-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Doped oxide for shallow trench isolation (STI) |
US8877602B2 (en) | 2011-01-25 | 2014-11-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanisms of doping oxide for forming shallow trench isolation |
US8482952B2 (en) | 2011-02-17 | 2013-07-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | One time programming bit cell |
CN102651321B (zh) * | 2011-02-25 | 2015-03-04 | 中国科学院微电子研究所 | 一种半导体器件的制备方法 |
US8389367B2 (en) * | 2011-02-25 | 2013-03-05 | Institute of Microelectronics, Chinese Academy of Sciences | Method for manufacturing a semiconductor device |
US8368053B2 (en) | 2011-03-03 | 2013-02-05 | International Business Machines Corporation | Multilayer-interconnection first integration scheme for graphene and carbon nanotube transistor based integration |
US8975670B2 (en) | 2011-03-06 | 2015-03-10 | Monolithic 3D Inc. | Semiconductor device and structure for heat removal |
JP5271372B2 (ja) | 2011-03-18 | 2013-08-21 | 株式会社東芝 | 半導体装置の製造方法 |
US8692230B2 (en) * | 2011-03-29 | 2014-04-08 | University Of Southern California | High performance field-effect transistors |
US8431453B2 (en) | 2011-03-31 | 2013-04-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure |
US9240405B2 (en) | 2011-04-19 | 2016-01-19 | Macronix International Co., Ltd. | Memory with off-chip controller |
JP5325932B2 (ja) * | 2011-05-27 | 2013-10-23 | 株式会社東芝 | 半導体装置およびその製造方法 |
US8860137B2 (en) * | 2011-06-08 | 2014-10-14 | University Of Southern California | Radio frequency devices based on carbon nanomaterials |
US8785911B2 (en) | 2011-06-23 | 2014-07-22 | International Business Machines Corporation | Graphene or carbon nanotube devices with localized bottom gates and gate dielectric |
US8778744B2 (en) * | 2011-06-24 | 2014-07-15 | Institute of Microelectronics, Chinese Academy of Sciences | Method for manufacturing semiconductor field effect transistor |
CN102842508B (zh) * | 2011-06-24 | 2015-03-04 | 中国科学院微电子研究所 | 一种半导体场效应晶体管的制备方法 |
CN102842507B (zh) * | 2011-06-24 | 2015-08-19 | 中国科学院微电子研究所 | 半导体场效应晶体管的制备方法 |
US10388568B2 (en) | 2011-06-28 | 2019-08-20 | Monolithic 3D Inc. | 3D semiconductor device and system |
CN102903750B (zh) * | 2011-07-27 | 2015-11-25 | 中国科学院微电子研究所 | 一种半导体场效应晶体管结构及其制备方法 |
US8643108B2 (en) | 2011-08-19 | 2014-02-04 | Altera Corporation | Buffered finFET device |
US8853013B2 (en) * | 2011-08-19 | 2014-10-07 | United Microelectronics Corp. | Method for fabricating field effect transistor with fin structure |
EP3174106A1 (en) * | 2011-09-30 | 2017-05-31 | Intel Corporation | Tungsten gates for non-planar transistors |
DE112011105702T5 (de) | 2011-10-01 | 2014-07-17 | Intel Corporation | Source-/Drain-Kontakte für nicht planare Transistoren |
US8687399B2 (en) | 2011-10-02 | 2014-04-01 | Monolithic 3D Inc. | Semiconductor device and structure |
US8557643B2 (en) * | 2011-10-03 | 2013-10-15 | International Business Machines Corporation | Transistor device with reduced gate resistance |
US9029173B2 (en) | 2011-10-18 | 2015-05-12 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US8796124B2 (en) | 2011-10-25 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Doping method in 3D semiconductor device |
US9406518B2 (en) * | 2011-11-18 | 2016-08-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | (110) surface orientation for reducing fermi-level-pinning between high-K dielectric and group III-V compound semiconductor substrate |
KR101926356B1 (ko) | 2011-12-06 | 2018-12-07 | 삼성전자주식회사 | 백-바이어스 영역을 갖는 반도체 소자 |
JPWO2013094430A1 (ja) * | 2011-12-19 | 2015-04-27 | ソニー株式会社 | 固体撮像装置、固体撮像装置の製造方法、および電子機器 |
CN113540080A (zh) * | 2011-12-22 | 2021-10-22 | 英特尔公司 | 具有颈状半导体主体的半导体器件以及形成不同宽度的半导体主体的方法 |
CN104126228B (zh) * | 2011-12-23 | 2016-12-07 | 英特尔公司 | 非平面栅极全包围器件及其制造方法 |
US8637931B2 (en) | 2011-12-27 | 2014-01-28 | International Business Machines Corporation | finFET with merged fins and vertical silicide |
CN103187439B (zh) * | 2011-12-29 | 2015-08-05 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法、cmos及其形成方法 |
KR101675121B1 (ko) | 2011-12-30 | 2016-11-10 | 인텔 코포레이션 | 랩어라운드 트렌치 콘택을 포함하는 장치, 구조물 및 제조 방법 |
US8609499B2 (en) | 2012-01-09 | 2013-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs and the methods for forming the same |
US8759184B2 (en) | 2012-01-09 | 2014-06-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs and the methods for forming the same |
JP5726770B2 (ja) | 2012-01-12 | 2015-06-03 | 株式会社東芝 | 半導体装置及びその製造方法 |
JP5398853B2 (ja) * | 2012-01-26 | 2014-01-29 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP2013179274A (ja) * | 2012-02-09 | 2013-09-09 | Nippon Telegr & Teleph Corp <Ntt> | 電界効果トランジスタおよびその製造方法 |
US8901659B2 (en) * | 2012-02-09 | 2014-12-02 | International Business Machines Corporation | Tapered nanowire structure with reduced off current |
US9000557B2 (en) | 2012-03-17 | 2015-04-07 | Zvi Or-Bach | Semiconductor device and structure |
US11088050B2 (en) | 2012-04-09 | 2021-08-10 | Monolithic 3D Inc. | 3D semiconductor device with isolation layers |
US11410912B2 (en) | 2012-04-09 | 2022-08-09 | Monolithic 3D Inc. | 3D semiconductor device with vias and isolation layers |
US11164811B2 (en) | 2012-04-09 | 2021-11-02 | Monolithic 3D Inc. | 3D semiconductor device with isolation layers and oxide-to-oxide bonding |
US11881443B2 (en) | 2012-04-09 | 2024-01-23 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and a connective path |
US8557632B1 (en) | 2012-04-09 | 2013-10-15 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
US11735501B1 (en) | 2012-04-09 | 2023-08-22 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and a connective path |
US11476181B1 (en) | 2012-04-09 | 2022-10-18 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11694944B1 (en) | 2012-04-09 | 2023-07-04 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and a connective path |
US11594473B2 (en) | 2012-04-09 | 2023-02-28 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and a connective path |
US11616004B1 (en) | 2012-04-09 | 2023-03-28 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and a connective path |
US10600888B2 (en) | 2012-04-09 | 2020-03-24 | Monolithic 3D Inc. | 3D semiconductor device |
US9093420B2 (en) | 2012-04-18 | 2015-07-28 | Rf Micro Devices, Inc. | Methods for fabricating high voltage field effect transistor finger terminations |
US8877623B2 (en) * | 2012-05-14 | 2014-11-04 | United Microelectronics Corp. | Method of forming semiconductor device |
US8981481B2 (en) | 2012-06-28 | 2015-03-17 | Intel Corporation | High voltage three-dimensional devices having dielectric liners |
US8604546B1 (en) | 2012-07-09 | 2013-12-10 | International Business Machines Corporation | Reducing gate resistance in nonplanar multi-gate transistor |
US9124221B2 (en) | 2012-07-16 | 2015-09-01 | Rf Micro Devices, Inc. | Wide bandwidth radio frequency amplier having dual gate transistors |
US9142400B1 (en) | 2012-07-17 | 2015-09-22 | Stc.Unm | Method of making a heteroepitaxial layer on a seed area |
US9142620B2 (en) | 2012-08-24 | 2015-09-22 | Rf Micro Devices, Inc. | Power device packaging having backmetals couple the plurality of bond pads to the die backside |
US9202874B2 (en) * | 2012-08-24 | 2015-12-01 | Rf Micro Devices, Inc. | Gallium nitride (GaN) device with leakage current-based over-voltage protection |
US9147632B2 (en) | 2012-08-24 | 2015-09-29 | Rf Micro Devices, Inc. | Semiconductor device having improved heat dissipation |
US9917080B2 (en) | 2012-08-24 | 2018-03-13 | Qorvo US. Inc. | Semiconductor device with electrical overstress (EOS) protection |
US8988097B2 (en) | 2012-08-24 | 2015-03-24 | Rf Micro Devices, Inc. | Method for on-wafer high voltage testing of semiconductor devices |
US8729607B2 (en) * | 2012-08-27 | 2014-05-20 | Kabushiki Kaisha Toshiba | Needle-shaped profile finFET device |
WO2014035794A1 (en) | 2012-08-27 | 2014-03-06 | Rf Micro Devices, Inc | Lateral semiconductor device with vertical breakdown region |
US9070761B2 (en) | 2012-08-27 | 2015-06-30 | Rf Micro Devices, Inc. | Field effect transistor (FET) having fingers with rippled edges |
US9190346B2 (en) | 2012-08-31 | 2015-11-17 | Synopsys, Inc. | Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits |
US9817928B2 (en) | 2012-08-31 | 2017-11-14 | Synopsys, Inc. | Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits |
US9457128B2 (en) | 2012-09-07 | 2016-10-04 | President And Fellows Of Harvard College | Scaffolds comprising nanoelectronic components for cells, tissues, and other applications |
US9786850B2 (en) | 2012-09-07 | 2017-10-10 | President And Fellows Of Harvard College | Methods and systems for scaffolds comprising nanoelectronic components |
US8617957B1 (en) * | 2012-09-10 | 2013-12-31 | International Business Machines Corporation | Fin bipolar transistors having self-aligned collector and emitter regions |
US8786018B2 (en) * | 2012-09-11 | 2014-07-22 | International Business Machines Corporation | Self-aligned carbon nanostructure field effect transistors using selective dielectric deposition |
US8815656B2 (en) | 2012-09-19 | 2014-08-26 | International Business Machines Corporation | Semiconductor device and method with greater epitaxial growth on 110 crystal plane |
US8716803B2 (en) * | 2012-10-04 | 2014-05-06 | Flashsilicon Incorporation | 3-D single floating gate non-volatile memory device |
US9325281B2 (en) | 2012-10-30 | 2016-04-26 | Rf Micro Devices, Inc. | Power amplifier controller |
US8686428B1 (en) | 2012-11-16 | 2014-04-01 | Monolithic 3D Inc. | Semiconductor device and structure |
US9105702B2 (en) * | 2012-11-16 | 2015-08-11 | International Business Machines Corporation | Transistors from vertical stacking of carbon nanotube thin films |
US8574929B1 (en) | 2012-11-16 | 2013-11-05 | Monolithic 3D Inc. | Method to form a 3D semiconductor device and structure |
US8822320B2 (en) | 2012-11-20 | 2014-09-02 | International Business Machines Corporation | Dense finFET SRAM |
US9064077B2 (en) | 2012-11-28 | 2015-06-23 | Qualcomm Incorporated | 3D floorplanning using 2D and 3D blocks |
US9098666B2 (en) | 2012-11-28 | 2015-08-04 | Qualcomm Incorporated | Clock distribution network for 3D integrated circuit |
US8759874B1 (en) | 2012-11-30 | 2014-06-24 | Stmicroelectronics, Inc. | FinFET device with isolated channel |
US9379018B2 (en) | 2012-12-17 | 2016-06-28 | Synopsys, Inc. | Increasing Ion/Ioff ratio in FinFETs and nano-wires |
US8847324B2 (en) | 2012-12-17 | 2014-09-30 | Synopsys, Inc. | Increasing ION /IOFF ratio in FinFETs and nano-wires |
JP2014120661A (ja) | 2012-12-18 | 2014-06-30 | Tokyo Electron Ltd | ダミーゲートを形成する方法 |
US9222170B2 (en) * | 2012-12-20 | 2015-12-29 | Intermolecular, Inc. | Deposition of rutile films with very high dielectric constant |
US8956942B2 (en) | 2012-12-21 | 2015-02-17 | Stmicroelectronics, Inc. | Method of forming a fully substrate-isolated FinFET transistor |
US11217565B2 (en) | 2012-12-22 | 2022-01-04 | Monolithic 3D Inc. | Method to form a 3D semiconductor device and structure |
US11784169B2 (en) | 2012-12-22 | 2023-10-10 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US12051674B2 (en) | 2012-12-22 | 2024-07-30 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11018116B2 (en) | 2012-12-22 | 2021-05-25 | Monolithic 3D Inc. | Method to form a 3D semiconductor device and structure |
US11961827B1 (en) | 2012-12-22 | 2024-04-16 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11309292B2 (en) | 2012-12-22 | 2022-04-19 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11063024B1 (en) | 2012-12-22 | 2021-07-13 | Monlithic 3D Inc. | Method to form a 3D semiconductor device and structure |
US11967583B2 (en) | 2012-12-22 | 2024-04-23 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US11916045B2 (en) | 2012-12-22 | 2024-02-27 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US8674470B1 (en) | 2012-12-22 | 2014-03-18 | Monolithic 3D Inc. | Semiconductor device and structure |
US10903089B1 (en) | 2012-12-29 | 2021-01-26 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11430668B2 (en) | 2012-12-29 | 2022-08-30 | Monolithic 3D Inc. | 3D semiconductor device and structure with bonding |
US10600657B2 (en) | 2012-12-29 | 2020-03-24 | Monolithic 3D Inc | 3D semiconductor device and structure |
US9385058B1 (en) | 2012-12-29 | 2016-07-05 | Monolithic 3D Inc. | Semiconductor device and structure |
US10115663B2 (en) | 2012-12-29 | 2018-10-30 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US9871034B1 (en) | 2012-12-29 | 2018-01-16 | Monolithic 3D Inc. | Semiconductor device and structure |
US11087995B1 (en) | 2012-12-29 | 2021-08-10 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10892169B2 (en) | 2012-12-29 | 2021-01-12 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11177140B2 (en) | 2012-12-29 | 2021-11-16 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10651054B2 (en) | 2012-12-29 | 2020-05-12 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11004694B1 (en) | 2012-12-29 | 2021-05-11 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11430667B2 (en) | 2012-12-29 | 2022-08-30 | Monolithic 3D Inc. | 3D semiconductor device and structure with bonding |
JP6271235B2 (ja) | 2013-01-24 | 2018-01-31 | キヤノンアネルバ株式会社 | フィンfetの製造方法およびデバイスの製造方法 |
CN103985754B (zh) * | 2013-02-08 | 2018-09-04 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
US9536840B2 (en) | 2013-02-12 | 2017-01-03 | Qualcomm Incorporated | Three-dimensional (3-D) integrated circuits (3DICS) with graphene shield, and related components and methods |
US9041448B2 (en) | 2013-03-05 | 2015-05-26 | Qualcomm Incorporated | Flip-flops in a monolithic three-dimensional (3D) integrated circuit (IC) (3DIC) and related methods |
US9177890B2 (en) * | 2013-03-07 | 2015-11-03 | Qualcomm Incorporated | Monolithic three dimensional integration of semiconductor integrated circuits |
US10325651B2 (en) | 2013-03-11 | 2019-06-18 | Monolithic 3D Inc. | 3D semiconductor device with stacked memory |
US12094965B2 (en) | 2013-03-11 | 2024-09-17 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and memory cells |
US11869965B2 (en) | 2013-03-11 | 2024-01-09 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and memory cells |
US8902663B1 (en) | 2013-03-11 | 2014-12-02 | Monolithic 3D Inc. | Method of maintaining a memory state |
US11935949B1 (en) | 2013-03-11 | 2024-03-19 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers and memory cells |
US11923374B2 (en) | 2013-03-12 | 2024-03-05 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US12100646B2 (en) | 2013-03-12 | 2024-09-24 | Monolithic 3D Inc. | 3D semiconductor device and structure with metal layers |
US10840239B2 (en) | 2014-08-26 | 2020-11-17 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11398569B2 (en) | 2013-03-12 | 2022-07-26 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US8994404B1 (en) | 2013-03-12 | 2015-03-31 | Monolithic 3D Inc. | Semiconductor device and structure |
US11088130B2 (en) | 2014-01-28 | 2021-08-10 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US9117749B1 (en) | 2013-03-15 | 2015-08-25 | Monolithic 3D Inc. | Semiconductor device and structure |
US9525068B1 (en) | 2013-03-15 | 2016-12-20 | Altera Corporation | Variable gate width FinFET |
US8859379B2 (en) | 2013-03-15 | 2014-10-14 | International Business Machines Corporation | Stress enhanced finFET devices |
US8921940B2 (en) | 2013-03-15 | 2014-12-30 | Samsung Electronics Co., Ltd. | Semiconductor device and a method for fabricating the same |
US9171608B2 (en) | 2013-03-15 | 2015-10-27 | Qualcomm Incorporated | Three-dimensional (3D) memory cell separation among 3D integrated circuit (IC) tiers, and related 3D integrated circuits (3DICS), 3DIC processor cores, and methods |
US10224279B2 (en) | 2013-03-15 | 2019-03-05 | Monolithic 3D Inc. | Semiconductor device and structure |
US10438856B2 (en) | 2013-04-03 | 2019-10-08 | Stmicroelectronics, Inc. | Methods and devices for enhancing mobility of charge carriers |
US20160027846A1 (en) * | 2013-04-05 | 2016-01-28 | President And Fellow Of Harvard College | Three-dimensional networks comprising nanoelectronics |
US9021414B1 (en) | 2013-04-15 | 2015-04-28 | Monolithic 3D Inc. | Automation for monolithic 3D devices |
US11341309B1 (en) | 2013-04-15 | 2022-05-24 | Monolithic 3D Inc. | Automation for monolithic 3D devices |
US11270055B1 (en) | 2013-04-15 | 2022-03-08 | Monolithic 3D Inc. | Automation for monolithic 3D devices |
US11574109B1 (en) | 2013-04-15 | 2023-02-07 | Monolithic 3D Inc | Automation methods for 3D integrated circuits and devices |
US11030371B2 (en) | 2013-04-15 | 2021-06-08 | Monolithic 3D Inc. | Automation for monolithic 3D devices |
US11487928B2 (en) | 2013-04-15 | 2022-11-01 | Monolithic 3D Inc. | Automation for monolithic 3D devices |
US11720736B2 (en) | 2013-04-15 | 2023-08-08 | Monolithic 3D Inc. | Automation methods for 3D integrated circuits and devices |
FR3005309B1 (fr) | 2013-05-02 | 2016-03-11 | Commissariat Energie Atomique | Transistors a nanofils et planaires cointegres sur substrat soi utbox |
US20140353716A1 (en) | 2013-05-31 | 2014-12-04 | Stmicroelectronics, Inc | Method of making a semiconductor device using a dummy gate |
US8987082B2 (en) | 2013-05-31 | 2015-03-24 | Stmicroelectronics, Inc. | Method of making a semiconductor device using sacrificial fins |
US9082788B2 (en) | 2013-05-31 | 2015-07-14 | Stmicroelectronics, Inc. | Method of making a semiconductor device including an all around gate |
JP6018607B2 (ja) | 2013-07-12 | 2016-11-02 | 株式会社半導体エネルギー研究所 | 半導体装置 |
JP2015023060A (ja) * | 2013-07-16 | 2015-02-02 | 株式会社東芝 | 半導体装置の製造方法 |
US9209274B2 (en) * | 2013-07-19 | 2015-12-08 | Globalfoundries Inc. | Highly conformal extension doping in advanced multi-gate devices |
KR20150012837A (ko) * | 2013-07-26 | 2015-02-04 | 에스케이하이닉스 주식회사 | 3차원 수평 채널을 갖는 반도체 장치 및 그 제조방법 |
US9184269B2 (en) * | 2013-08-20 | 2015-11-10 | Taiwan Semiconductor Manufacturing Company Limited | Silicon and silicon germanium nanowire formation |
US11404325B2 (en) | 2013-08-20 | 2022-08-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Silicon and silicon germanium nanowire formation |
US9680026B2 (en) | 2013-09-13 | 2017-06-13 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device having gate electrode overlapping semiconductor film |
US9484460B2 (en) * | 2013-09-19 | 2016-11-01 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device having gate dielectric surrounding at least some of channel region and gate electrode surrounding at least some of gate dielectric |
DE102014220672A1 (de) | 2013-10-22 | 2015-05-07 | Semiconductor Energy Laboratory Co., Ltd. | Halbleitervorrichtung |
TWI642186B (zh) | 2013-12-18 | 2018-11-21 | 日商半導體能源研究所股份有限公司 | 半導體裝置 |
JP6314477B2 (ja) | 2013-12-26 | 2018-04-25 | ソニー株式会社 | 電子デバイス |
US20150187915A1 (en) * | 2013-12-26 | 2015-07-02 | Samsung Electronics Co., Ltd. | Method for fabricating fin type transistor |
WO2015099782A1 (en) | 2013-12-27 | 2015-07-02 | Intel Corporation | Diffused tip extension transistor |
US12094829B2 (en) | 2014-01-28 | 2024-09-17 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11031394B1 (en) | 2014-01-28 | 2021-06-08 | Monolithic 3D Inc. | 3D semiconductor device and structure |
CN104810291A (zh) * | 2014-01-28 | 2015-07-29 | 中芯国际集成电路制造(上海)有限公司 | Mos晶体管及其形成方法 |
US11107808B1 (en) | 2014-01-28 | 2021-08-31 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10297586B2 (en) | 2015-03-09 | 2019-05-21 | Monolithic 3D Inc. | Methods for processing a 3D semiconductor device |
US9236397B2 (en) * | 2014-02-04 | 2016-01-12 | Globalfoundries Inc. | FinFET device containing a composite spacer structure |
KR102170856B1 (ko) * | 2014-02-19 | 2020-10-29 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US10141311B2 (en) | 2014-03-24 | 2018-11-27 | Intel Corporation | Techniques for achieving multiple transistor fin dimensions on a single die |
KR20210005324A (ko) * | 2014-03-27 | 2021-01-13 | 인텔 코포레이션 | 핀 기반 nmos 트랜지스터를 위한 고 이동도 변형된 채널 |
US9947772B2 (en) | 2014-03-31 | 2018-04-17 | Stmicroelectronics, Inc. | SOI FinFET transistor with strained channel |
US9443769B2 (en) | 2014-04-21 | 2016-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wrap-around contact |
US9853102B2 (en) * | 2014-04-30 | 2017-12-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Tunnel field-effect transistor |
US9455327B2 (en) | 2014-06-06 | 2016-09-27 | Qorvo Us, Inc. | Schottky gated transistor with interfacial layer |
US9263586B2 (en) | 2014-06-06 | 2016-02-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Quantum well fin-like field effect transistor (QWFinFET) having a two-section combo QW structure |
US9502518B2 (en) | 2014-06-23 | 2016-11-22 | Stmicroelectronics, Inc. | Multi-channel gate-all-around FET |
JP6373686B2 (ja) * | 2014-08-22 | 2018-08-15 | ルネサスエレクトロニクス株式会社 | 半導体装置 |
US9536803B2 (en) | 2014-09-05 | 2017-01-03 | Qorvo Us, Inc. | Integrated power module with improved isolation and thermal conductivity |
CN105514161B (zh) * | 2014-09-26 | 2019-05-03 | 中芯国际集成电路制造(上海)有限公司 | 半导体装置及其制造方法 |
US9379327B1 (en) | 2014-12-16 | 2016-06-28 | Carbonics Inc. | Photolithography based fabrication of 3D structures |
CN105810750B (zh) * | 2014-12-29 | 2019-02-01 | 中芯国际集成电路制造(上海)有限公司 | 一种碳纳米管神经元器件及其制作方法 |
US10062684B2 (en) | 2015-02-04 | 2018-08-28 | Qorvo Us, Inc. | Transition frequency multiplier semiconductor device |
US10615158B2 (en) | 2015-02-04 | 2020-04-07 | Qorvo Us, Inc. | Transition frequency multiplier semiconductor device |
KR102320049B1 (ko) * | 2015-02-26 | 2021-11-01 | 삼성전자주식회사 | 경사진 활성 영역을 갖는 반도체 소자 |
US10186618B2 (en) | 2015-03-18 | 2019-01-22 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10825779B2 (en) | 2015-04-19 | 2020-11-03 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US11056468B1 (en) | 2015-04-19 | 2021-07-06 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10381328B2 (en) | 2015-04-19 | 2019-08-13 | Monolithic 3D Inc. | Semiconductor device and structure |
US11011507B1 (en) | 2015-04-19 | 2021-05-18 | Monolithic 3D Inc. | 3D semiconductor device and structure |
CN104979403A (zh) * | 2015-05-20 | 2015-10-14 | 北京大学 | 导电沟道全包裹纳米线平面环栅场效应器件及其制备方法 |
US9748394B2 (en) | 2015-05-20 | 2017-08-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET having a multi-portioned gate stack |
US10084085B2 (en) | 2015-06-11 | 2018-09-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with stop layer and method for forming the same |
US11956952B2 (en) | 2015-08-23 | 2024-04-09 | Monolithic 3D Inc. | Semiconductor memory device and structure |
US9472669B1 (en) * | 2015-09-04 | 2016-10-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor Fin FET device with epitaxial source/drain |
US12100658B2 (en) | 2015-09-21 | 2024-09-24 | Monolithic 3D Inc. | Method to produce a 3D multilayer semiconductor device and structure |
US11978731B2 (en) | 2015-09-21 | 2024-05-07 | Monolithic 3D Inc. | Method to produce a multi-level semiconductor memory device and structure |
DE112016004265T5 (de) | 2015-09-21 | 2018-06-07 | Monolithic 3D Inc. | 3d halbleitervorrichtung und -struktur |
US11937422B2 (en) | 2015-11-07 | 2024-03-19 | Monolithic 3D Inc. | Semiconductor memory device and structure |
US11114427B2 (en) | 2015-11-07 | 2021-09-07 | Monolithic 3D Inc. | 3D semiconductor processor and memory device and structure |
US10522225B1 (en) | 2015-10-02 | 2019-12-31 | Monolithic 3D Inc. | Semiconductor device with non-volatile memory |
US11296115B1 (en) | 2015-10-24 | 2022-04-05 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US12016181B2 (en) | 2015-10-24 | 2024-06-18 | Monolithic 3D Inc. | 3D semiconductor device and structure with logic and memory |
US12120880B1 (en) | 2015-10-24 | 2024-10-15 | Monolithic 3D Inc. | 3D semiconductor device and structure with logic and memory |
US10418369B2 (en) | 2015-10-24 | 2019-09-17 | Monolithic 3D Inc. | Multi-level semiconductor memory device and structure |
US12035531B2 (en) | 2015-10-24 | 2024-07-09 | Monolithic 3D Inc. | 3D semiconductor device and structure with logic and memory |
US11114464B2 (en) | 2015-10-24 | 2021-09-07 | Monolithic 3D Inc. | 3D semiconductor device and structure |
US10847540B2 (en) | 2015-10-24 | 2020-11-24 | Monolithic 3D Inc. | 3D semiconductor memory device and structure |
US11991884B1 (en) | 2015-10-24 | 2024-05-21 | Monolithic 3D Inc. | 3D semiconductor device and structure with logic and memory |
US9754939B2 (en) * | 2015-11-11 | 2017-09-05 | Globalfoundries Singapore Pte. Ltd. | Integrated circuits having multiple gate devices with dual threshold voltages and methods for fabricating such integrated circuits |
US9379110B1 (en) * | 2015-11-25 | 2016-06-28 | International Business Machines Corporation | Method of fabrication of ETSOI CMOS device by sidewall image transfer (SIT) |
FR3046243B1 (fr) * | 2015-12-24 | 2017-12-22 | Commissariat Energie Atomique | Capteur nw-fet comportant au moins deux detecteurs distincts a nanofil de semi-conducteur |
US9964605B2 (en) * | 2016-06-23 | 2018-05-08 | Globalfoundries Inc. | Methods for crossed-fins FinFET device for sensing and measuring magnetic fields |
US11812620B2 (en) | 2016-10-10 | 2023-11-07 | Monolithic 3D Inc. | 3D DRAM memory devices and structures with control circuits |
US11869591B2 (en) | 2016-10-10 | 2024-01-09 | Monolithic 3D Inc. | 3D memory devices and structures with control circuits |
US11329059B1 (en) | 2016-10-10 | 2022-05-10 | Monolithic 3D Inc. | 3D memory devices and structures with thinned single crystal substrates |
US11711928B2 (en) | 2016-10-10 | 2023-07-25 | Monolithic 3D Inc. | 3D memory devices and structures with control circuits |
US11930648B1 (en) | 2016-10-10 | 2024-03-12 | Monolithic 3D Inc. | 3D memory devices and structures with metal layers |
US11251149B2 (en) | 2016-10-10 | 2022-02-15 | Monolithic 3D Inc. | 3D memory device and structure |
CN108122973B (zh) | 2016-11-28 | 2020-06-09 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法、以及sram |
KR20180095977A (ko) * | 2017-02-20 | 2018-08-29 | 에스케이하이닉스 주식회사 | 카본 나노 튜브들을 갖는 시냅스를 포함하는 뉴로모픽 소자 |
JP7016177B2 (ja) * | 2017-09-29 | 2022-02-04 | 国立研究開発法人産業技術総合研究所 | 半導体装置 |
CN109599337A (zh) * | 2017-09-30 | 2019-04-09 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其形成方法 |
US20190172920A1 (en) * | 2017-12-06 | 2019-06-06 | Nanya Technology Corporation | Junctionless transistor device and method for preparing the same |
KR102381197B1 (ko) * | 2017-12-08 | 2022-04-01 | 삼성전자주식회사 | 반도체 소자 |
TWI662347B (zh) * | 2017-12-14 | 2019-06-11 | 友達光電股份有限公司 | 畫素結構 |
WO2019148170A2 (en) * | 2018-01-29 | 2019-08-01 | Massachusetts Institute Of Technology | Back-gate field-effect transistors and methods for making the same |
KR102026811B1 (ko) * | 2018-03-23 | 2019-10-01 | 인천대학교 산학협력단 | 탄소 나노 튜브 기반의 상보형 전계효과 트랜지스터 및 그 제조 방법 |
US11515251B2 (en) * | 2018-04-02 | 2022-11-29 | Intel Corporation | FinFET transistors as antifuse elements |
WO2019236974A1 (en) | 2018-06-08 | 2019-12-12 | Massachusetts Institute Of Technology | Systems, devices, and methods for gas sensing |
WO2020086181A2 (en) | 2018-09-10 | 2020-04-30 | Massachusetts Institute Of Technology | Systems and methods for designing integrated circuits |
WO2020068812A1 (en) | 2018-09-24 | 2020-04-02 | Massachusetts Institute Of Technology | Tunable doping of carbon nanotubes through engineered atomic layer deposition |
US11763864B2 (en) | 2019-04-08 | 2023-09-19 | Monolithic 3D Inc. | 3D memory semiconductor devices and structures with bit-line pillars |
US11296106B2 (en) | 2019-04-08 | 2022-04-05 | Monolithic 3D Inc. | 3D memory semiconductor devices and structures |
US11018156B2 (en) | 2019-04-08 | 2021-05-25 | Monolithic 3D Inc. | 3D memory semiconductor devices and structures |
US11158652B1 (en) | 2019-04-08 | 2021-10-26 | Monolithic 3D Inc. | 3D memory semiconductor devices and structures |
US10892016B1 (en) | 2019-04-08 | 2021-01-12 | Monolithic 3D Inc. | 3D memory semiconductor devices and structures |
US11653488B2 (en) | 2020-05-07 | 2023-05-16 | Micron Technology, Inc. | Apparatuses including transistors, and related methods, memory devices, and electronic systems |
WO2022080125A1 (ja) * | 2020-10-16 | 2022-04-21 | ソニーセミコンダクタソリューションズ株式会社 | 撮像装置および電子機器 |
CN114792701A (zh) * | 2021-01-24 | 2022-07-26 | 张葳葳 | 一种主动驱动无机发光二极管显示和照明器件及其制作方法 |
JP7464554B2 (ja) | 2021-03-12 | 2024-04-09 | 株式会社東芝 | 高周波トランジスタ |
Family Cites Families (137)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US670657A (en) * | 1899-09-15 | 1901-03-26 | John D Carpenter | Acetylene-gas machine. |
JPH0214578A (ja) * | 1988-07-01 | 1990-01-18 | Fujitsu Ltd | 半導体装置 |
US5346834A (en) * | 1988-11-21 | 1994-09-13 | Hitachi, Ltd. | Method for manufacturing a semiconductor device and a semiconductor memory device |
US4906589A (en) * | 1989-02-06 | 1990-03-06 | Industrial Technology Research Institute | Inverse-T LDDFET with self-aligned silicide |
KR930003790B1 (ko) * | 1990-07-02 | 1993-05-10 | 삼성전자 주식회사 | 반도체 장치의 캐패시터용 유전체 |
JP3202223B2 (ja) * | 1990-11-27 | 2001-08-27 | 日本電気株式会社 | トランジスタの製造方法 |
US5346836A (en) * | 1991-06-06 | 1994-09-13 | Micron Technology, Inc. | Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects |
US5292670A (en) * | 1991-06-10 | 1994-03-08 | Texas Instruments Incorporated | Sidewall doping technique for SOI transistors |
US5391506A (en) * | 1992-01-31 | 1995-02-21 | Kawasaki Steel Corporation | Manufacturing method for semiconductor devices with source/drain formed in substrate projection. |
JP2572003B2 (ja) * | 1992-03-30 | 1997-01-16 | 三星電子株式会社 | 三次元マルチチャンネル構造を有する薄膜トランジスタの製造方法 |
JPH0793441B2 (ja) * | 1992-04-24 | 1995-10-09 | ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド | 薄膜トランジスタ及びその製造方法 |
JPH06177089A (ja) | 1992-12-04 | 1994-06-24 | Fujitsu Ltd | 半導体装置の製造方法 |
JPH0750421A (ja) | 1993-05-06 | 1995-02-21 | Siemens Ag | Mos形電界効果トランジスタ |
US5475869A (en) | 1993-05-28 | 1995-12-12 | Nec Corporation | Radio base station capable of distinguishing between interference due to collisions of outgoing call signals and an external interference noise |
US5601084A (en) * | 1993-06-23 | 1997-02-11 | University Of Washington | Determining cardiac wall thickness and motion by imaging and three-dimensional modeling |
US6730549B1 (en) * | 1993-06-25 | 2004-05-04 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method for its preparation |
JP3460863B2 (ja) * | 1993-09-17 | 2003-10-27 | 三菱電機株式会社 | 半導体装置の製造方法 |
US5602049A (en) * | 1994-10-04 | 1997-02-11 | United Microelectronics Corporation | Method of fabricating a buried structure SRAM cell |
JPH08125152A (ja) * | 1994-10-28 | 1996-05-17 | Canon Inc | 半導体装置、それを用いた相関演算装置、ad変換器、da変換器、信号処理システム |
GB2295488B (en) * | 1994-11-24 | 1996-11-20 | Toshiba Cambridge Res Center | Semiconductor device |
US5716879A (en) * | 1994-12-15 | 1998-02-10 | Goldstar Electron Company, Ltd. | Method of making a thin film transistor |
US5740342A (en) * | 1995-04-05 | 1998-04-14 | Western Atlas International, Inc. | Method for generating a three-dimensional, locally-unstructured hybrid grid for sloping faults |
JPH08320947A (ja) * | 1995-05-25 | 1996-12-03 | Matsushita Electric Ind Co Ltd | 数値解析用メッシュ作成方法及び装置 |
US5946479A (en) * | 1995-05-25 | 1999-08-31 | Matsushita Electric Industrial Co., Ltd. | Method and device for generating mesh for use in numerical analysis |
US5656844A (en) * | 1995-07-27 | 1997-08-12 | Motorola, Inc. | Semiconductor-on-insulator transistor having a doping profile for fully-depleted operation |
US5658806A (en) * | 1995-10-26 | 1997-08-19 | National Science Council | Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration |
US5814895A (en) * | 1995-12-22 | 1998-09-29 | Sony Corporation | Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate |
US5595919A (en) * | 1996-02-20 | 1997-01-21 | Chartered Semiconductor Manufacturing Pte Ltd. | Method of making self-aligned halo process for reducing junction capacitance |
DE19607209A1 (de) * | 1996-02-26 | 1997-08-28 | Gregor Kohlruss | Reinigungsvorrichtung zum Reinigen von flächigen Gegenständen |
US5936278A (en) * | 1996-03-13 | 1999-08-10 | Texas Instruments Incorporated | Semiconductor on silicon (SOI) transistor with a halo implant |
JPH09293793A (ja) * | 1996-04-26 | 1997-11-11 | Mitsubishi Electric Corp | 薄膜トランジスタを有する半導体装置およびその製造方法 |
US5793088A (en) * | 1996-06-18 | 1998-08-11 | Integrated Device Technology, Inc. | Structure for controlling threshold voltage of MOSFET |
US5817560A (en) * | 1996-09-12 | 1998-10-06 | Advanced Micro Devices, Inc. | Ultra short trench transistors and process for making same |
US6163053A (en) * | 1996-11-06 | 2000-12-19 | Ricoh Company, Ltd. | Semiconductor device having opposite-polarity region under channel |
US5827769A (en) * | 1996-11-20 | 1998-10-27 | Intel Corporation | Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode |
JPH1140811A (ja) * | 1997-07-22 | 1999-02-12 | Hitachi Ltd | 半導体装置およびその製造方法 |
US6120846A (en) * | 1997-12-23 | 2000-09-19 | Advanced Technology Materials, Inc. | Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition |
JPH11186524A (ja) | 1997-12-24 | 1999-07-09 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
US5888309A (en) * | 1997-12-29 | 1999-03-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma |
US6097065A (en) * | 1998-03-30 | 2000-08-01 | Micron Technology, Inc. | Circuits and methods for dual-gated transistors |
US6307235B1 (en) * | 1998-03-30 | 2001-10-23 | Micron Technology, Inc. | Another technique for gated lateral bipolar transistors |
DE19841389A1 (de) * | 1998-09-10 | 2000-03-16 | Abb Research Ltd | Verfahren zur Generierung einer Formschale für ein Gussteil |
US6380558B1 (en) * | 1998-12-29 | 2002-04-30 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method of fabricating the same |
US6268640B1 (en) * | 1999-08-12 | 2001-07-31 | International Business Machines Corporation | Forming steep lateral doping distribution at source/drain junctions |
TW469648B (en) * | 1999-09-07 | 2001-12-21 | Sharp Kk | Semiconductor device and its manufacture method |
AU3970401A (en) * | 1999-11-29 | 2001-06-04 | Trustees Of The University Of Pennsylvania, The | Fabrication of nanometer size gaps on an electrode |
AUPQ449899A0 (en) * | 1999-12-07 | 2000-01-06 | Commonwealth Scientific And Industrial Research Organisation | Knowledge based computer aided diagnosis |
US6252284B1 (en) * | 1999-12-09 | 2001-06-26 | International Business Machines Corporation | Planarized silicon fin device |
JP4923318B2 (ja) * | 1999-12-17 | 2012-04-25 | ソニー株式会社 | 不揮発性半導体記憶装置およびその動作方法 |
EP1188516A4 (en) * | 2000-02-23 | 2004-12-08 | Shinetsu Handotai Kk | METHOD AND APPARATUS FOR POLISHING AN OUTER CIRCULAR PART WITH CHAMFER OF A WAFER |
JP2001267562A (ja) * | 2000-03-15 | 2001-09-28 | Hitachi Ltd | 半導体装置及びその製造方法 |
US6483156B1 (en) * | 2000-03-16 | 2002-11-19 | International Business Machines Corporation | Double planar gated SOI MOSFET structure |
JP3543946B2 (ja) * | 2000-04-14 | 2004-07-21 | 日本電気株式会社 | 電界効果型トランジスタ及びその製造方法 |
JP3511498B2 (ja) * | 2000-06-19 | 2004-03-29 | インターナショナル・ビジネス・マシーンズ・コーポレーション | メッシュ生成システム、設計支援システム、解析システム、メッシュ生成方法及び記憶媒体 |
JP4058751B2 (ja) * | 2000-06-20 | 2008-03-12 | 日本電気株式会社 | 電界効果型トランジスタの製造方法 |
EP1299749B1 (en) * | 2000-06-29 | 2011-10-05 | Object Reservoir, Inc. | Method and system for coordinate transformation to model radial flow near a singularity |
JP3859199B2 (ja) * | 2000-07-18 | 2006-12-20 | エルジー エレクトロニクス インコーポレイティド | カーボンナノチューブの水平成長方法及びこれを利用した電界効果トランジスタ |
US20020011612A1 (en) * | 2000-07-31 | 2002-01-31 | Kabushiki Kaisha Toshiba | Semiconductor device and method for manufacturing the same |
JP2002047034A (ja) * | 2000-07-31 | 2002-02-12 | Shinetsu Quartz Prod Co Ltd | プラズマを利用したプロセス装置用の石英ガラス治具 |
JP2002118255A (ja) * | 2000-07-31 | 2002-04-19 | Toshiba Corp | 半導体装置およびその製造方法 |
US6403981B1 (en) * | 2000-08-07 | 2002-06-11 | Advanced Micro Devices, Inc. | Double gate transistor having a silicon/germanium channel region |
US6904395B1 (en) * | 2000-08-16 | 2005-06-07 | Ford Global Technologies, Llc | System and method of generating a finite element mesh for a threaded fastener and joining structure assembly |
KR100338778B1 (ko) * | 2000-08-21 | 2002-05-31 | 윤종용 | 선택적 실리사이드 공정을 이용한 모스 트랜지스터의제조방법 |
JP4044276B2 (ja) * | 2000-09-28 | 2008-02-06 | 株式会社東芝 | 半導体装置及びその製造方法 |
US6562665B1 (en) * | 2000-10-16 | 2003-05-13 | Advanced Micro Devices, Inc. | Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology |
US7163864B1 (en) | 2000-10-18 | 2007-01-16 | International Business Machines Corporation | Method of fabricating semiconductor side wall fin |
US6413802B1 (en) * | 2000-10-23 | 2002-07-02 | The Regents Of The University Of California | Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture |
US6396108B1 (en) * | 2000-11-13 | 2002-05-28 | Advanced Micro Devices, Inc. | Self-aligned double gate silicon-on-insulator (SOI) device |
US6716684B1 (en) * | 2000-11-13 | 2004-04-06 | Advanced Micro Devices, Inc. | Method of making a self-aligned triple gate silicon-on-insulator device |
KR100784603B1 (ko) | 2000-11-22 | 2007-12-11 | 가부시키가이샤 히타치세이사쿠쇼 | 반도체 장치 및 그 제조 방법 |
US6413877B1 (en) * | 2000-12-22 | 2002-07-02 | Lam Research Corporation | Method of preventing damage to organo-silicate-glass materials during resist stripping |
JP2002198368A (ja) * | 2000-12-26 | 2002-07-12 | Nec Corp | 半導体装置の製造方法 |
US6475890B1 (en) * | 2001-02-12 | 2002-11-05 | Advanced Micro Devices, Inc. | Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology |
US6475869B1 (en) * | 2001-02-26 | 2002-11-05 | Advanced Micro Devices, Inc. | Method of forming a double gate transistor having an epitaxial silicon/germanium channel region |
FR2822293B1 (fr) * | 2001-03-13 | 2007-03-23 | Nat Inst Of Advanced Ind Scien | Transistor a effet de champ et double grille, circuit integre comportant ce transistor, et procede de fabrication de ce dernier |
SG112804A1 (en) | 2001-05-10 | 2005-07-28 | Inst Of Microelectronics | Sloped trench etching process |
US6635923B2 (en) * | 2001-05-24 | 2003-10-21 | International Business Machines Corporation | Damascene double-gate MOSFET with vertical channel regions |
JP2003017508A (ja) | 2001-07-05 | 2003-01-17 | Nec Corp | 電界効果トランジスタ |
US6859210B2 (en) * | 2001-07-06 | 2005-02-22 | Eastman Kodak Company | Method for representing a digital color image using a set of palette colors based on detected important colors |
WO2003009465A1 (fr) * | 2001-07-12 | 2003-01-30 | Mitsubishi Denki Kabushiki Kaisha | Circuit melangeur |
US6689650B2 (en) * | 2001-09-27 | 2004-02-10 | International Business Machines Corporation | Fin field effect transistor with self-aligned gate |
US6492212B1 (en) * | 2001-10-05 | 2002-12-10 | International Business Machines Corporation | Variable threshold voltage double gated transistors and method of fabrication |
US20030085194A1 (en) * | 2001-11-07 | 2003-05-08 | Hopkins Dean A. | Method for fabricating close spaced mirror arrays |
US7385262B2 (en) * | 2001-11-27 | 2008-06-10 | The Board Of Trustees Of The Leland Stanford Junior University | Band-structure modulation of nano-structures in an electric field |
US6657259B2 (en) * | 2001-12-04 | 2003-12-02 | International Business Machines Corporation | Multiple-plane FinFET CMOS |
US6967351B2 (en) * | 2001-12-04 | 2005-11-22 | International Business Machines Corporation | Finfet SRAM cell using low mobility plane for cell stability and method for forming |
US6610576B2 (en) * | 2001-12-13 | 2003-08-26 | International Business Machines Corporation | Method for forming asymmetric dual gate transistor |
KR100442089B1 (ko) * | 2002-01-29 | 2004-07-27 | 삼성전자주식회사 | 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법 |
KR100458288B1 (ko) | 2002-01-30 | 2004-11-26 | 한국과학기술원 | 이중-게이트 FinFET 소자 및 그 제조방법 |
US20030151077A1 (en) * | 2002-02-13 | 2003-08-14 | Leo Mathew | Method of forming a vertical double gate semiconductor device and structure thereof |
JP3782021B2 (ja) | 2002-02-22 | 2006-06-07 | 株式会社東芝 | 半導体装置、半導体装置の製造方法、半導体基板の製造方法 |
US6635909B2 (en) * | 2002-03-19 | 2003-10-21 | International Business Machines Corporation | Strained fin FETs structure and method |
US6713396B2 (en) * | 2002-04-29 | 2004-03-30 | Hewlett-Packard Development Company, L.P. | Method of fabricating high density sub-lithographic features on a substrate |
US7074623B2 (en) * | 2002-06-07 | 2006-07-11 | Amberwave Systems Corporation | Methods of forming strained-semiconductor-on-insulator finFET device structures |
US6680240B1 (en) * | 2002-06-25 | 2004-01-20 | Advanced Micro Devices, Inc. | Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide |
US7358121B2 (en) | 2002-08-23 | 2008-04-15 | Intel Corporation | Tri-gate devices and methods of fabrication |
US7163851B2 (en) * | 2002-08-26 | 2007-01-16 | International Business Machines Corporation | Concurrent Fin-FET and thick-body device fabrication |
US6794313B1 (en) * | 2002-09-20 | 2004-09-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Oxidation process to improve polysilicon sidewall roughness |
US6800910B2 (en) * | 2002-09-30 | 2004-10-05 | Advanced Micro Devices, Inc. | FinFET device incorporating strained silicon in the channel region |
US6706571B1 (en) * | 2002-10-22 | 2004-03-16 | Advanced Micro Devices, Inc. | Method for forming multiple structures in a semiconductor device |
US6611029B1 (en) * | 2002-11-08 | 2003-08-26 | Advanced Micro Devices, Inc. | Double gate semiconductor device having separate gates |
US6787439B2 (en) * | 2002-11-08 | 2004-09-07 | Advanced Micro Devices, Inc. | Method using planarizing gate material to improve gate critical dimension in semiconductor devices |
US6709982B1 (en) * | 2002-11-26 | 2004-03-23 | Advanced Micro Devices, Inc. | Double spacer FinFET formation |
US6645797B1 (en) * | 2002-12-06 | 2003-11-11 | Advanced Micro Devices, Inc. | Method for forming fins in a FinFET device using sacrificial carbon layer |
US6686231B1 (en) * | 2002-12-06 | 2004-02-03 | Advanced Micro Devices, Inc. | Damascene gate process with sacrificial oxide in semiconductor devices |
US6794718B2 (en) * | 2002-12-19 | 2004-09-21 | International Business Machines Corporation | High mobility crystalline planes in double-gate CMOS technology |
ATE467905T1 (de) | 2002-12-20 | 2010-05-15 | Ibm | Integrierte anitfuse-struktur für finfet- und cmos-vorrichtungen |
US6803631B2 (en) * | 2003-01-23 | 2004-10-12 | Advanced Micro Devices, Inc. | Strained channel finfet |
US7304336B2 (en) * | 2003-02-13 | 2007-12-04 | Massachusetts Institute Of Technology | FinFET structure and method to make the same |
US6855606B2 (en) * | 2003-02-20 | 2005-02-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor nano-rod devices |
US6716690B1 (en) * | 2003-03-12 | 2004-04-06 | Advanced Micro Devices, Inc. | Uniformly doped source/drain junction in a double-gate MOSFET |
JP4563652B2 (ja) * | 2003-03-13 | 2010-10-13 | シャープ株式会社 | メモリ機能体および微粒子形成方法並びにメモリ素子、半導体装置および電子機器 |
US6844238B2 (en) * | 2003-03-26 | 2005-01-18 | Taiwan Semiconductor Manufacturing Co., Ltd | Multiple-gate transistors with improved gate control |
US20040191980A1 (en) | 2003-03-27 | 2004-09-30 | Rafael Rios | Multi-corner FET for better immunity from short channel effects |
US6790733B1 (en) * | 2003-03-28 | 2004-09-14 | International Business Machines Corporation | Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer |
US6764884B1 (en) * | 2003-04-03 | 2004-07-20 | Advanced Micro Devices, Inc. | Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device |
TWI231994B (en) * | 2003-04-04 | 2005-05-01 | Univ Nat Taiwan | Strained Si FinFET |
JP3976703B2 (ja) * | 2003-04-30 | 2007-09-19 | エルピーダメモリ株式会社 | 半導体装置の製造方法 |
US7045401B2 (en) | 2003-06-23 | 2006-05-16 | Sharp Laboratories Of America, Inc. | Strained silicon finFET device |
US20040262683A1 (en) | 2003-06-27 | 2004-12-30 | Bohr Mark T. | PMOS transistor strain optimization with raised junction regions |
US6960517B2 (en) | 2003-06-30 | 2005-11-01 | Intel Corporation | N-gate transistor |
US6921982B2 (en) * | 2003-07-21 | 2005-07-26 | International Business Machines Corporation | FET channel having a strained lattice structure along multiple surfaces |
KR100487566B1 (ko) * | 2003-07-23 | 2005-05-03 | 삼성전자주식회사 | 핀 전계 효과 트랜지스터 및 그 형성 방법 |
EP1519420A2 (en) * | 2003-09-25 | 2005-03-30 | Interuniversitaire Microelectronica Centrum vzw ( IMEC) | Multiple gate semiconductor device and method for forming same |
US6835618B1 (en) | 2003-08-05 | 2004-12-28 | Advanced Micro Devices, Inc. | Epitaxially grown fin for FinFET |
US7172943B2 (en) * | 2003-08-13 | 2007-02-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multiple-gate transistors formed on bulk substrates |
US6877728B2 (en) * | 2003-09-04 | 2005-04-12 | Lakin Manufacturing Corporation | Suspension assembly having multiple torsion members which cooperatively provide suspension to a wheel |
US7183137B2 (en) * | 2003-12-01 | 2007-02-27 | Taiwan Semiconductor Manufacturing Company | Method for dicing semiconductor wafers |
US7388258B2 (en) | 2003-12-10 | 2008-06-17 | International Business Machines Corporation | Sectional field effect devices |
US7105390B2 (en) * | 2003-12-30 | 2006-09-12 | Intel Corporation | Nonplanar transistors with metal gate electrodes |
US7705345B2 (en) * | 2004-01-07 | 2010-04-27 | International Business Machines Corporation | High performance strained silicon FinFETs device and method for forming same |
JP2005236305A (ja) | 2004-02-20 | 2005-09-02 | Samsung Electronics Co Ltd | トリプルゲートトランジスタを有する半導体素子及びその製造方法 |
US8450806B2 (en) * | 2004-03-31 | 2013-05-28 | International Business Machines Corporation | Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby |
US7154118B2 (en) * | 2004-03-31 | 2006-12-26 | Intel Corporation | Bulk non-planar transistor having strained enhanced mobility and methods of fabrication |
US20050224797A1 (en) * | 2004-04-01 | 2005-10-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | CMOS fabricated on different crystallographic orientation substrates |
US20050230763A1 (en) * | 2004-04-15 | 2005-10-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a microelectronic device with electrode perturbing sill |
US8669145B2 (en) * | 2004-06-30 | 2014-03-11 | International Business Machines Corporation | Method and structure for strained FinFET devices |
-
2002
- 2002-08-23 US US10/227,068 patent/US7358121B2/en not_active Expired - Lifetime
-
2003
- 2003-02-14 US US10/367,263 patent/US6858478B2/en not_active Expired - Lifetime
- 2003-03-28 US US10/402,780 patent/US6972467B2/en not_active Expired - Fee Related
- 2003-08-22 AU AU2003262770A patent/AU2003262770A1/en not_active Abandoned
- 2003-08-22 CN CNA2006100570180A patent/CN1822338A/zh active Pending
- 2003-08-22 KR KR1020057003031A patent/KR100816941B1/ko active IP Right Grant
- 2003-08-22 CN CNA2006100911363A patent/CN1897232A/zh active Pending
- 2003-08-22 EP EP03788707A patent/EP1425801A1/en not_active Ceased
- 2003-08-22 TW TW092123173A patent/TWI292954B/zh not_active IP Right Cessation
- 2003-08-22 JP JP2004529802A patent/JP2005528810A/ja active Pending
- 2003-08-22 WO PCT/US2003/026242 patent/WO2004019414A1/en not_active Application Discontinuation
- 2003-08-22 KR KR1020077029015A patent/KR20080005608A/ko not_active Application Discontinuation
- 2003-08-22 CN CNB038005115A patent/CN1287433C/zh not_active Expired - Lifetime
- 2003-11-07 US US10/703,316 patent/US7504678B2/en not_active Expired - Lifetime
-
2004
- 2004-07-08 US US10/887,609 patent/US6914295B2/en not_active Expired - Lifetime
- 2004-08-20 US US10/923,472 patent/US7005366B2/en not_active Expired - Lifetime
-
2005
- 2005-05-06 US US11/123,565 patent/US7427794B2/en not_active Expired - Lifetime
- 2005-08-29 US US11/215,559 patent/US7368791B2/en not_active Expired - Lifetime
- 2005-12-07 US US11/297,084 patent/US7514346B2/en not_active Expired - Lifetime
-
2006
- 2006-10-25 US US11/588,066 patent/US7560756B2/en not_active Expired - Lifetime
-
2009
- 2009-05-20 JP JP2009122283A patent/JP2009182360A/ja active Pending
-
2014
- 2014-04-09 JP JP2014080409A patent/JP6189245B2/ja not_active Expired - Lifetime
-
2015
- 2015-12-08 JP JP2015239417A patent/JP6141395B2/ja not_active Expired - Lifetime
-
2016
- 2016-11-22 JP JP2016226687A patent/JP6211673B2/ja not_active Expired - Lifetime
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6211673B2 (ja) | トリゲート・デバイス及び製造方法 | |
US8273626B2 (en) | Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication | |
EP1639652B1 (en) | Nonplanar device with stress incorporation layer and method of fabrication | |
US7105390B2 (en) | Nonplanar transistors with metal gate electrodes |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20161122 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20170808 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20170815 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20170913 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6211673 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |