KR100784603B1 - 반도체 장치 및 그 제조 방법 - Google Patents

반도체 장치 및 그 제조 방법 Download PDF

Info

Publication number
KR100784603B1
KR100784603B1 KR1020037002918A KR20037002918A KR100784603B1 KR 100784603 B1 KR100784603 B1 KR 100784603B1 KR 1020037002918 A KR1020037002918 A KR 1020037002918A KR 20037002918 A KR20037002918 A KR 20037002918A KR 100784603 B1 KR100784603 B1 KR 100784603B1
Authority
KR
South Korea
Prior art keywords
channel
effect transistor
field effect
film
region
Prior art date
Application number
KR1020037002918A
Other languages
English (en)
Other versions
KR20030082538A (ko
Inventor
아끼히로 시미즈
나가또시 오끼
유스께 노나까
가쯔히꼬 이찌노세
Original Assignee
가부시키가이샤 히타치세이사쿠쇼
가부시기가이샤 히다치초엘에스아이시스템즈
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히타치세이사쿠쇼, 가부시기가이샤 히다치초엘에스아이시스템즈 filed Critical 가부시키가이샤 히타치세이사쿠쇼
Publication of KR20030082538A publication Critical patent/KR20030082538A/ko
Application granted granted Critical
Publication of KR100784603B1 publication Critical patent/KR100784603B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

반도체 기판의 일 주면의 제1 영역에 채널 형성 영역이 구성된 n 채널 도전형 전계 효과 트랜지스터와, 상기 반도체 기판의 일 주면의 제1 영역과 다른 제2 영역에 채널 형성 영역이 구성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치에 있어서, 상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에서 발생하는 내부 응력과, 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에서 발생하는 내부 응력이 각각 다르다. 상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에서 발생하는 내부 응력은 인장 응력이고, 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에서 발생하는 내부 응력은 압축 응력이다.
반도체 기판, 질화 실리콘, 압축 응력, 전계 효과 트랜지스터

Description

반도체 장치 및 그 제조 방법{SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME}
본 발명은 반도체 장치 및 그 제조 기술에 관한 것으로, 특히 동일 기판에 n채널 도전형 MISFET 및 p채널 도전형 MISFET를 갖는 반도체 장치 및 그 제조 기술에 적용하기에 유효한 기술에 관한 것이다.
반도체 장치에 탑재되는 전계 효과 트랜지스터로서, MISFET(Metal Insulator Semiconductor Field Effect Transistor)로 호칭되는 절연 게이트형 전계 효과 트랜지스터가 알려져 있다. 이 MISFET는 고집적화되기 쉽다는 특징을 갖고 있기 때문에, 집적 회로를 구성하는 회로 소자로서 널리 이용되고 있다.
MISFET는 n 채널 도전형 및 p 채널 도전형을 불문하고, 일반적으로 채널 형성 영역, 게이트 절연막, 게이트 전극, 소스 영역 및 드레인 영역 등을 갖는 구성으로 되어 있다. 게이트 절연막은 반도체 기판의 회로 형성면(일주면)의 소자 형성 영역에 형성되며, 예를 들면 산화 실리콘막으로 형성되어 있다. 게이트 전극은 반도체 기판의 회로 형성면의 소자 형성 영역 상에 게이트 절연막을 개재하여 형성되며, 예를 들면 저항값을 저감하는 불순물이 도입된 다결정 실리콘막으로 형성되어 있다. 채널 형성 영역은 게이트 전극과 대향하는 반도체 기판의 영역(게이트 전극 바로 아래)에 형성되어 있다. 소스 영역 및 드레인 영역은 채널 형성 영역의 채널 길이 방향에서의 양측에 형성된 반도체 영역(불순물 확산 영역)에 형성되어 있다.
또, MISFET에서 게이트 절연막이 산화 실리콘막으로 이루어지는 것은, 통상 MOSFET(Metal Oxide Semiconductor Field Effect Transistor)라고 불리고 있다. 또한, 채널 형성 영역이란, 소스 영역과 드레인 영역을 연결하는 전류 통로(채널)가 형성되는 영역을 말한다.
<발명의 개시>
그런데, 0.1㎛ 레벨 시대의 초미세 CMIS(Complementary MIS) 프로세스에서는, 신소재의 도입, MISFET의 단채널 효과 억제 등의 이유로 저온화가 진행되고 있다. 이것은 소자 중에 프로세스 기인의 잔류 응력을 남기기 쉽다. 프로세스 기인의 잔류 응력은 반도체 기판의 회로 형성면의 표층부, 즉 MISFET의 채널 형성 영역에 작용한다.
일반적인 CMIS(상보형 MIS) 프로세스에서는, 예를 들면 반도체 기판의 회로 형성면 상에 층간 절연막을 형성하는 경우, n 채널 도전형 MISFET 및 p 채널 도전형 MISFET 상에서 동일 재료를 이용해 온 결과, 동일 칩 내에서 MISFET의 채널 형성 영역에 작용하는 응력은 거의 동일하였다. 또한, 통상은 프로세스적인 연구에 의해, n 채널 도전형 MISFET 및 p 채널 도전형 MISFET의 채널 형성 영역에 작용하는 응력의 저감화를 도모하여 왔다.
또한, 채널 형성 영역의 응력에 대한 트랜지스터 특성의 변화에 대해서는, 드레인 전류(Id)가 흐르는 방향(게이트 길이 방향)과 동일한 방향으로 응력을 건 경우,
(1) n 채널 도전형 MISFET의 드레인 전류는 압축 응력으로 감소하고, 인장 응력으로 증가하는 것,
(2) p 채널 도전형 MISFET의 드레인 전류는 압축 응력으로 증가하고, 인장 응력으로 감소하는 것이 알려져 있다.
그러나, 그 변화는 불과 몇% 이하였다(문헌: IEEE TRANSACTIONS ON ELECTRON DEVICES.VOL.38.NO.4.APRIL 1991 p898∼p900 참조). 이것은, 예를 들면 게이트 길이 치수가 1㎛와 같은 길이 치수의 프로세스 세대에서는, 충분히 고온 장시간의 어닐링이 이루어지고 있는 것에도 의한다.
본 발명자 등은 설명한 기술을 검토한 결과, 이하의 문제점을 발견하였다. MISFET의 게이트 길이를 0.1㎛ 부근까지 미세화하고, 프로세스를 저온화하면, 잔류 응력이 증대하고, 채널 형성 영역의 응력에 의한 트랜지스터 특성에의 영향이 상당히 높아지는 것을 알 수 있었다.
예를 들면, MISFET의 형성 후에 층간 절연막을 겸한 자기 정합 컨택트용 플라즈마 CVD 질화막(플라즈마 CVD법에 의해 형성되는 질화막)의 형성 조건을 바꾸면, 막 중의 응력이 압축 방향으로부터 인장 방향으로 크게 변화하고, 이에 따라 MISFET의 트랜지스터 특성도 크게 변화하는 것을 알았다. 이것을 도 2의 드레인 전류의 층간 절연막 응력 의존성으로 나타낸다. 단, 도 2 중 응력의 값은 MISFET의 채널 형성 영역의 내부 응력을 나타내는 것은 아니고, 층간 절연막을 피막한 후 의 웨이퍼의 휘어짐으로부터 환산하여 구한 층간 절연막 자신의 값이다.
응력에 의한 영향은, 상술한 문헌과 동일한 경향이지만, 그 크기가 ±10∼20%로 한자릿수 이상 크다. 또한, n 채널 도전형 MISFET와 p 채널 도전형 MISFET에서는, 막의 응력에 따라 드레인 전류의 증감이 분명히 반대 방향을 나타낸다.
따라서, 층간 절연막 등의 형성 조건을 바꿔 내부 응력의 크기가 변하면, n 채널 도전형 MISFEET 및 p 채널 도전형 MISFET의 드레인 전류가 상반하는 작용을 나타내고, 양 소자의 드레인 전류를 동시에 향상시킬 수 없다는 문제가 있었다.
또한, 0.1㎛ 레벨 이후에는, 이 응력에 의한 드레인 전류의 변동이 ±10∼20% 이상으로도 되어, n 채널 도전형 MISFET와 p 채널 도전형 MISFET와의 드레인 전류의 밸런스가 변화한다는 문제가 있었다.
본 발명의 목적은, n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터의 전류 구동 능력의 향상을 도모하는 것이 가능한 기술을 제공하는 데에 있다.
본 발명의 다른 목적은, n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터 중, 한쪽의 트랜지스터의 전류 구동 능력의 저하를 억제하고, 다른 쪽의 트랜지스터의 전류 구동 능력의 향상을 도모하는 것이 가능한 기술을 제공하는 데에 있다.
본 발명의 상기 및 그 밖의 목적과 신규한 특징은, 본 명세서의 기술 및 첨부 도면에 의해서 분명해질 것이다.
본원에 있어서 개시되는 발명 중, 대표적인 것의 개요를 간단히 설명하면, 하기와 같다.
(1) 반도체 기판의 일 주면의 제1 영역에 채널 형성 영역이 구성된 n 채널 도전형 전계 효과 트랜지스터와, 상기 반도체 기판의 일 주면의 제1 영역과 다른 제2 영역에 채널 형성 영역이 구성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치에 있어서,
상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에서 발생하는 내부 응력은 인장 응력이고,
상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에서 발생하는 내부 응력은 압축 응력이다.
(2) 반도체 기판의 일 주면의 제1 영역에 채널 형성 영역이 구성된 n 채널 도전형 전계 효과 트랜지스터와, 상기 반도체 기판의 일 주면의 제1 영역과 다른 제2 영역에 채널 형성 영역이 구성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치에 있어서,
상기 n 채널 도전형 전계 효과 트랜지스터 및 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에서 발생하는 내부 응력이 압축 응력인 경우, 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에서 발생하는 압축 응력쪽이 상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 발생하는 압축 응력보다도 크다.
(3) 반도체 기판의 일 주면의 제1 영역에 채널 형성 영역이 구성된 n 채널 도전형 전계 효과 트랜지스터와, 상기 반도체 기판의 일 주면의 제1 영역과 다른 제2 영역에 채널 형성 영역이 구성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치에 있어서,
상기 n 채널 도전형 전계 효과 트랜지스터 및 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에서 발생하는 내부 응력이 인장 응력인 경우, 상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 발생하는 인장 응력쪽이 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 발생하는 인장 응력보다도 크다.
(4) 반도체 기판의 일 주면의 제1 영역에 채널 형성 영역이 구성된 n 채널 도전형 전계 효과 트랜지스터와, 상기 반도체 기판의 일 주면의 제1 영역과 다른 제2 영역에 채널 형성 영역이 구성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치에 있어서,
상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력을 발생시키는 막, 및 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력을 발생시키는 막 중 적어도 한쪽의 막을 갖는다.
(5) 상기 수단 (4)에 기재된 반도체 장치에 있어서,
상기 막은 질화 실리콘계의 막이다. 질화 실리콘계의 막으로서는, LP-CVD(Low Pressure-Chemical Vapor Deposition: 감압 기상 화학 성장)법으로 피막된 질화 실리콘(예를 들면, Si3N4)막, 플라즈마 CVD법으로 피막된 질화 실리콘(예를 들 면, Si3N4)막, 및 매엽(single-wafer) 열 CVD법으로 피막된 질화 실리콘(예를 들면 Si3N4)막 등이다.
(6) 상기 수단 (4)에 기재된 반도체 장치에 있어서,
상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력을 발생시키는 막은, 상기 반도체 기판의 일 주면 상에 상기 n 채널 도전형 전계 효과 트랜지스터를 덮도록 하여 형성된 막이고, 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력을 발생시키는 막은, 상기 반도체 기판의 일 주면 상에 상기 p 채널 도전형 전계 효과 트랜지스터를 덮도록 하여 형성된 막이다.
(7) 상기 수단 (4)에 기재된 반도체 장치에 있어서,
상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력을 발생시키는 막은 상기 n 채널 도전형 전계 효과 트랜지스터의 게이트 전극, 또는 상기 게이트 전극의 측벽에 형성된 측벽 스페이서이고,
상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력을 발생시키는 막은 상기 p 채널 도전형 전계 효과 트랜지스터의 게이트 전극, 또는 상기 게이트 전극의 측벽에 형성된 측벽 스페이서이다.
(8) 반도체 기판의 일 주면의 제1 영역에 채널 형성 영역이 구성된 n 채널 도전형 전계 효과 트랜지스터와, 상기 반도체 기판의 일 주면의 제1 영역과 다른 제2 영역에 채널 형성 영역이 구성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치의 제조 방법에 있어서,
상기 n 채널 도전형 전계 효과 트랜지스터 및 상기 p 채널 도전형 전계 효과 트랜지스터를 형성한 후, 상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력을 발생시키는 막, 및 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력을 발생시키는 막 중 적어도 한쪽의 막을 형성하는 공정을 포함한다.
(9) 상기 수단 (8)에 기재된 반도체 장치의 제조 방법에 있어서,
상기 막은 질화 실리콘계의 막이다.
(10) 반도체 기판의 일 주면의 제1 영역에 채널 형성 영역이 구성된 n 채널 도전형 전계 효과 트랜지스터와, 상기 반도체 기판의 일 주면의 제1 영역과 다른 제2 영역에 채널 형성 영역이 구성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치의 제조 방법에 있어서,
상기 n 채널 도전형 전계 효과 트랜지스터 및 상기 p 채널 도전형 전계 효과 트랜지스터를 형성하는 공정과,
상기 반도체 기판의 일 주면의 제1 영역 상 및 제2 영역 상에 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력을 발생시키는 절연막을 형성하는 공정과,
상기 반도체 기판의 일 주면의 제2 영역 상에서의 상기 절연막에 불순물을 선택적으로 도입하고, 상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에서 발생하는 압축 응력을 완화하는 공정을 포함한다.
(11) 반도체 기판의 일 주면의 제1 영역에 채널 형성 영역이 구성된 n 채널 도전형 전계 효과 트랜지스터와, 상기 반도체 기판의 일 주면의 제1 영역과 다른 제2 영역에 채널 형성 영역이 구성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치의 제조 방법에 있어서,
상기 n 채널 도전형 전계 효과 트랜지스터 및 상기 p 채널 도전형 전계 효과 트랜지스터를 형성하는 공정과,
상기 반도체 기판의 일 주면의 제1 영역 상 및 제2 영역 상에 상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력을 발생시키는 절연막을 형성하는 공정과,
상기 반도체 기판의 일 주면의 제1 영역 상에서의 상기 절연막에 불순물을 선택적으로 도입하고, 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에서 발생하는 인장 응력을 완화하는 공정을 포함한다.
본 발명의 포인트 부분의 구성을 이하에 설명한다.
본 발명의 포인트는 n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터 각각의 채널 형성 영역에 작용하는 응력의 방향, 혹은 크기를, 각각의 드레인 전류가 증가하는 방향으로 제어하는 것이다. 예를 들면 이하와 같이 한다.
1) n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 대하여 인장 응력, p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 대하여 압축 응력이 작용하도록, 반도체 기판의 일 주면 상에 형성되는 막의 재료를 n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터에서 변경한다.
2) 압축 응력이 n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 작용하는 경우에는 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 작용하는 압축 응력이 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 작용하는 압축 응력보다도 작아지도록, 반도체 기판의 일 주면 상에 형성되는 막의 재료를 바꾼다.
3) 인장 응력이 n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 작용하는 경우에는, p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 작용하는 인장 응력이 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 작용하는 인장 응력보다도 작아지도록, 반도체 기판의 일 주면 상에 형성되는 막의 재료를 바꾼다.
상술한 수단에 따르면, 통상의 프로세스로 형성된 n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터보다도, n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터의 드레인 전류를 동시에 증가시킬 수 있다. 또한, n 채널 도전형 전계 효과 트랜지스터와 p 채널 도전형 전계 효과 트랜지스터와의 드레인 전류비를 어느 정도 자유롭게 설정할 수 있다.
즉, n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력, p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력이 따로따로 주어지는 결과, 도 2와 같이, n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터의 각 채널 형성 영역에 작용하는 응력의 크기에 따라서, n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터에서 동 시에 드레인 전류가 증가한다.
또한, n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 작용하는 응력을 개별로 제어할 수 있기 때문에, n 채널 도전형 전계 효과 트랜지스터와 p 채널 도전형 전계 효과 트랜지스터의 드레인 전류비를 자유롭게 제어할 수 있다.
또, 여기서 몇개의 용어에 대하여 정의한다.
전계 효과 트랜지스터의 채널 형성 영역에 작용하는 인장 응력이란, 채널 형성 영역이 실리콘(Si)인 경우, Si의 격자 상수가 평형 상태보다 커지는 응력을 말한다.
전계 효과 트랜지스터의 채널 형성 영역에 작용하는 압축 응력이란, 채널 형성 영역이 실리콘(Si)인 경우, Si의 격자 상수가 평형 상태보다 작아지는 응력을 말한다.
막이 갖는 인장 응력이란, 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력을 발생시키는 응력을 말한다.
막이 갖는 압축 응력이란, 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력을 발생시키는 응력을 말한다.
따라서, 본 발명의 주지는 채널 형성 영역에서의 실리콘 원자의 원자 사이 거리가, n 채널 도전형 전계 효과 트랜지스터와 p 채널 도전형 전계 효과 트랜지스터에서 다른, 다시 말해서 왜곡의 크기가 다른 것, 즉 실리콘 원자 사이 거리가 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역보다도, n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에서 큰 것을 의미하고 있다.
도 1은 본 발명의 실시예 1의 반도체 장치의 개략 구성을 도시하는 모식적 단면도.
도 2는 전류 구동 능력과 막 응력과의 관계를 도시하는 특성도.
도 3은 도 1의 반도체 장치를 제조하는 프로세스 흐름도((a), (b) 및 (c)는 모식적 단면도).
도 4는 본 발명의 실시예 2의 반도체 장치를 제조하는 프로세스 흐름도((a), (b), (c) 및 (d)은 모식적 단면도).
도 5는 본 발명의 실시예 3의 반도체 장치를 제조하는 프로세스 흐름도((a), (b) 및 (c)는 모식적 단면도).
도 6은 본 발명의 실시예 4의 반도체 장치를 제조하는 프로세스 흐름도((a), (b) 및 (c)는 모식적 단면도).
도 7은 본 발명의 실시예 5의 반도체 장치의 개략 구성을 도시하는 모식적 단면도.
도 8은 본 발명의 실시예 6의 반도체 장치의 개략 구성을 도시하는 모식적 단면도.
도 9는 본 발명의 실시예 7의 반도체 장치의 개략 구성을 도시하는 모식적 단면도.
도 10은 본 발명의 실시예 8의 반도체 장치의 개략 구성을 도시하는 모식적 단면도.
도 11은 본 발명의 실시예 3의 반도체 장치의 제조에 있어서, 경사 매립 공정을 도시하는 모식적 단면도.
<발명을 실시하기 위한 최량의 형태>
이하, 도면을 참조하여 본 발명의 실시예를 상세히 설명한다. 또, 발명의 실시예를 설명하기 위한 전 도면에 있어서, 동일 기능을 갖는 것은 동일 부호를 붙이고, 그 반복된 설명은 생략한다.
(실시예 1)
본 실시예 1에서는, 전원 전압이 1∼1.5V, 게이트 길이가 0.1∼0.14㎛ 정도의 상보형 MISFET를 갖는 반도체 장치에 본 발명을 적용한 예에 대하여 설명한다.
도 1은 본 발명의 실시예 1인 반도체 장치의 개략 구성을 도시하는 모식적 단면도이고, 도 2는 전류 구동 능력과 막 응력과의 관계를 도시하는 특성도이고, 도 3은 도 1의 반도체 장치를 제조하는 프로세스 흐름도((a), (b) 및 (c)는 모식적 단면도)이다. 도 1 및 도 3에 있어서, 대향하여 좌측이 n 채널 도전형 MISFET이고, 우측이 p 채널 도전형 MISFET이다.
도 1에 도시한 바와 같이, 본 실시예의 반도체 장치는, 반도체 기판으로서, 예를 들면 단결정 실리콘으로 이루어지는 p형 실리콘 기판(1)을 주체로 구성하고 있다. p형 실리콘 기판(1)의 회로 형성면(일 주면)은 제1 소자 형성 영역 및 제2 소자 형성 영역을 갖고, 이 제1 소자 형성 영역 및 제2 소자 형성 영역은 소자 간 절연 분리 영역인, 예를 들면 얕은 홈 아이솔레이션(SGI : Shallow Groove Isolation) 영역(4)에 의해 상호 구획되어 있다. 제1 소자 형성 영역에는 p형 웰 영역(2) 및 n 채널 도전형 MISFET이 형성되고, 제2 소자 형성 영역에는 n형 웰 영역(3) 및 p 채널 도전형 MISFET이 형성되어 있다. 얕은 홈 아이솔레이션 영역(4)은 p형 실리콘 기판(1)의 회로 형성면에 얕은 홈을 형성하고, 그 후 얕은 홈의 내부에 절연막(예를 들면 산화 실리콘막)을 선택적으로 매립함으로써 형성된다.
n 채널 도전형 MISFET는 주로 채널 형성 영역, 게이트 절연막(5), 게이트 전극(6), 측벽 스페이서(9), 소스 영역 및 드레인 영역을 갖는 구성으로 되어 있다. 소스 영역 및 드레인 영역은 n형 반도체 영역(익스텐션 영역)(7) 및 n형 반도체 영역(10)을 갖는 구성으로 되어 있다. n형 반도체 영역(7)은 게이트 전극(6)에 대하여 자기 정합으로 형성되고, n형 반도체 영역(10)은 게이트 전극(6)의 측벽에 형성된 측벽 스페이서(9)에 대하여 자기 정합으로 형성되어 있다. n형 반도체 영역(10)은 n형 반도체 영역(7)보다도 높은 불순물 농도로 형성되어 있다.
p 채널 도전형 MISFET는 주로 채널 형성 영역, 게이트 절연막(5), 게이트 전극(6), 측벽 스페이서(9), 소스 영역 및 드레인 영역을 갖는 구성으로 되어 있다. 소스 영역 및 드레인 영역은 p형 반도체 영역(익스텐션 영역)(8) 및 p형 반도체 영역(11)을 갖는 구성으로 되어 있다. p형 반도체 영역(8)은 게이트 전극(6)에 대하여 자기 정합으로 형성되고, p형 반도체 영역(11)은 게이트 전극(6)의 측벽에 형성된 측벽 스페이서(9)에 대하여 자기 정합으로 형성되어 있다. p형 반도체 영역(11)은 p형 반도체 영역(8)보다도 높은 불순물 농도로 형성되어 있다.
게이트 전극(6), n형 반도체 영역(10), p형 반도체 영역(11) 각각의 표면에 는 저저항화를 도모하기 위한 실리사이드층(금속·반도체 반응층)(12)이 형성되어 있다. p형 실리콘 기판(1)의 회로 형성면 상에는, 예를 들면 산화 실리콘막으로 이루어지는 층간 절연막(15)이 형성되어 있다.
n 채널 도전형 MISFET과 층간 절연막(15) 사이에는 p형 실리콘 기판(1)의 회로 형성면에 인장 응력을 발생시키는 막으로서 제1 질화막인 예를 들면 질화 실리콘막(13)이 형성되어 있다. p 채널 도전형 MISFET과 층간 절연막(15) 사이에는, p형 실리콘 기판(1)의 회로 형성면에 압축 응력을 발생시키는 막으로서 제2 질화막인, 예를 들면 질화 실리콘막(14)이 형성되어 있다. 본 실시예에 있어서, 질화 실리콘막(13)은 p형 실리콘 기판(1)의 회로 형성면 상에 n 채널 도전형 MISFET를 덮도록 하여 선택적으로 형성되고, 질화 실리콘막(14)은 p형 실리콘 기판(1)의 회로 형성면 상에 p 채널 도전형 MISFET을 덮도록 하여 선택적으로 형성되어 있다.
질화 실리콘막(13, 14)은, 예를 들면 플라즈마 CVD법에 의해서 형성되어 있다. 이 질화 실리콘막(13, 14)은 그 형성 조건(반응 가스, 압력, 온도, 고주파 전력 등)을 바꾸는 것으로, p형 실리콘 기판(1)의 회로 형성면에 발생시키는 응력을 제어하는 것이 가능하다. 본 실시예에 있어서, 질화 실리콘막(13)은, 예를 들면 막 형성 시의 고주파 전력을 300∼400W로 저전력화하고, p형 실리콘 기판(1)의 회로 형성면에 발생시키는 응력을 인장 방향으로 제어한 것이다. 질화 실리콘막(14)은, 예를 들면 막 형성 시의 고주파 전력을 600∼700W로 고전력화하고, p형 실리콘 기판(1)의 회로 형성면에 발생시키는 응력을 압축 방향으로 제어한 것이다.
이와 같이 하여 형성된 질화 실리콘막(13)에는 +700∼+800㎫ 정도의 인장 응 력이 존재하고, 질화 실리콘막(14)에는 -900∼-1000㎫ 정도의 압축 응력이 존재하기 때문에, n 채널 도전형 MISFET의 채널 형성 영역에는 인장 응력이 발생하고, p 채널 도전형 MISFET의 채널 형성 영역에는 압축 응력이 발생한다. 이 결과, 도 2에 도시한 바와 같이, 질화 실리콘막(13, 14)을 피막하지 않은 경우와 비교하여, n 채널 도전형 MISFET의 드레인 전류는 10∼15% 향상하고, p 채널 도전형 MISFET의 드레인 전류는 15∼20% 향상하였다. 또, 이들의 응력은, 상술한 바와 같이 주로, 채널 형성 영역의 드레인 전류(Id)가 흐르는 방향(게이트 길이 방향)과 동일한 방향으로 걸린다.
다음에, 본 실시예 1의 반도체 장치의 제조 방법을 도 3을 이용하여 설명한다.
우선, 비저항 10Ω㎝를 갖는 단결정 실리콘으로 이루어지는 p형 실리콘 기판(1)(이하, 단순히 p형 기판이라고 부른다)을 준비하고, 그 후 p형 기판(1)의 회로 형성면에 p형 웰 영역(2) 및 n형 웰 영역(3)을 선택적으로 형성한다.
다음에, p형 기판(1)의 회로 형성면에, 제1 소자 형성 영역 및 제2 소자 형성 영역(활성 영역)을 구획하는 소자 간 분리 영역으로서, 얕은 홈 아이솔레이션 영역(4)을 형성한다. 이 얕은 홈 아이솔레이션 영역(4)은 p형 기판(1)의 회로 형성면에 얕은 홈(예를 들면 300[㎚] 정도의 깊이의 홈)을 형성하고, 그 후 p형 기판(1)의 회로 형성면 상에 예를 들면 산화 실리콘막으로 이루어지는 절연막을 CVD법으로 형성하고, 그 후 절연막이 얕은 홈의 내부에만 남도록 CMP(화학적 기계 연마: Chemical Mechanical Polishing)법으로 평탄화함으로써 형성된다.
다음에, 열 처리를 실시하여 p형 기판(1)의 회로 형성면의 소자 형성 영역에 예를 들면 두께가 2∼3㎚ 정도의 산화 실리콘막으로 이루어지는 게이트 절연막(5)을 형성하고, 그 후 p형 기판(1)의 회로 형성면 상의 전면에, 예를 들면 150∼200㎚ 정도의 두께의 다결정 실리콘막을 CVD법으로 형성하고, 그 후 다결정 실리콘막에 패터닝을 실시하여 게이트 전극(6)을 형성한다. 다결정 실리콘막에는 저항값을 저감하는 불순물이 그 퇴적 중 또는 퇴적 후에 도입된다.
다음에, 게이트 전극(6)이 형성되어 있지 않은 p형 웰 영역(2)의 부분에 불순물로서, 예를 들면 비소(As)를 이온 주입법으로 선택적으로 도입하여 한쌍의 n형 반도체 영역(익스텐션 영역)(7)을 형성하고, 그 후 게이트 전극(6)이 형성되어 있지 않은 n형 웰 영역(3)의 부분에 불순물로서, 예를 들면 그 불화붕소(BF2)를 이온 주입법으로 선택적으로 도입하여 한쌍의 p형 반도체 영역(익스텐션 영역)(8)을 형성한다. n형 반도체 영역(7)의 형성은, pMIS 형성 영역을 포토레지스트 마스크로 덮은 상태에서 행한다. 또한, p형 반도체 영역(8)의 형성은 nMIS 형성 영역을 포토레지스트 마스크로 덮은 상태에서 행한다. 비소의 도입은, 가속 에너지 1∼5KeV, 도우즈량 1∼2×1015/㎠의 조건으로 행한다. 또한, 그 불화붕소의 도입은, 가속 에너지 1∼5 KeV, 도우즈량 1∼2×1015/㎠의 조건으로 행한다. 여기까지의 공정을 도 3의 (a)에 도시한다.
다음에, 도 3의 (b)에 도시한 바와 같이, 게이트 전극(6)의 측벽에, 예를 들면 게이트 길이 방향의 막 두께가 50∼70㎚ 정도의 측벽 스페이서(9)를 형성한다. 측벽 스페이서(9)는 p형 기판(1)의 회로 형성면 상의 전면에, 예를 들면 산화 실리콘막 또는 질화 실리콘막으로 이루어지는 절연막을 CVD법으로 형성하고, 그 후 절연막에 RIE(Reactive Ion Etching) 등의 이방성 에칭을 실시함으로써 형성된다.
다음에, 게이트 전극(6) 및 측벽 스페이서(9)가 형성되어 있지 않은 p형 웰 영역(2)의 부분에 불순물로서, 예를 들면 비소(As)를 이온 주입법으로 선택적으로 도입하여 한쌍의 n형 반도체 영역(10)을 형성하고, 그 후 게이트 전극(6) 및 측벽 스페이서(9)가 형성되어 있지 않은 n형 웰 영역(3)의 부분에 불순물로서, 예를 들면 그 불화붕소(BF2)를 이온 주입법으로 선택적으로 도입하여 한쌍의 p형 반도체 영역(11)을 형성한다. n형 반도체 영역(10)의 형성은 pMIS 형성 영역을 포토레지스트 마스크로 덮은 상태에서 행한다. 또한, p형 반도체 영역(11)의 형성은 nMIS 형성 영역을 포토레지스트 마스크로 덮은 상태에서 행한다. 비소의 도입은 가속 에너지 35∼45KeV, 도우즈량 2∼4×1015/㎠의 조건으로 행한다. 또한, 그 불화붕소의 도입은 가속 에너지 40∼50KeV, 도우즈량 2∼4×1015/㎠의 조건으로 행한다.
이 공정에서, n형 반도체 영역(7) 및 n형 반도체 영역(10)으로 이루어지는 소스 영역 및 드레인 영역이 형성된다. 또한, p형 반도체 영역(8) 및 p형 반도체 영역(11)으로 이루어지는 소스 영역 및 드레인 영역이 형성된다.
다음에, 자연 산화막 등을 제거하여 게이트 전극(6) 및 반도체 영역(10, 11)의 표면을 노출시킨 후, 이들의 표면 상을 포함하는 p형 기판(1)의 회로 형성면 상의 전면에 고융점 금속막으로서, 예를 들면 코발트 (Co)막을 스퍼터법으로 형성하 고, 그 후 열 처리를 실시하여, 게이트 전극(6)의 실리콘(Si)과 코발트막의 Co를 반응시켜 게이트 전극(6)의 표면에 실리사이드(CoSix)층(12)을 형성함과 함께, 반도체 영역(10, 11)의 Si와 코발트막의 Co를 반응시켜 반도체 영역의 표면에 실리사이드(CoSix)층(12)을 형성하고, 그 후 실리사이드층(12)이 형성된 영역 이외의 미반응의 코발트막을 선택적으로 제거하고, 그 후 열 처리를 실시하여 실리사이드층(12)을 활성화한다.
다음에, p형 기판(1)의 회로 형성면 상의 전면에, 절연막으로서, 예를 들면 100∼120㎚ 정도의 두께의 질화 실리콘막(13)을 플라즈마 CVD법으로 형성한다. 질화 실리콘막(13)의 형성은, 예를 들면 고주파 전력 350∼400W, 혹은 챔버 내 압력 300∼350Torr의 조건으로 행한다.
다음에, 포토 에칭 기술을 이용하여 질화 실리콘막(13)에 패터닝을 실시하고, 도 3의 (c)에 도시한 바와 같이, n 채널 도전형 MISFET를 선택적으로 덮는 질화 실리콘막(13)을 형성한다. 즉, p 채널 도전형 MISFET 상에서의 질화 실리콘막(13)은 제거한다. 이와 같이 하여 형성된 질화 실리콘막(13)은 n 채널 도전형 MISFET의 채널 형성 영역에 선택적으로 인장 응력을 발생시킬 수 있다.
다음에, p형 기판(1)의 회로 형성면 상의 전면에, 절연막으로서, 예를 들면 100㎚ 정도의 두께의 질화 실리콘막(14)을 플라즈마 CVD법으로 형성한다. 질화 실리콘막(14)의 형성은, 예를 들면 고주파 전력 600∼700W, 혹은 챔버 내 압력 5∼10 Torr의 조건으로 행한다.
다음에, 포토 에칭 기술을 이용하여 질화 실리콘막(14)에 패터닝을 실시하 고, 도 3의 (c)에 도시한 바와 같이, p 채널 도전형 MISFET를 선택적으로 덮는 질화 실리콘막(14)을 형성한다. 즉, n 채널 도전형 MISFET 상에서의 질화 실리콘막(14)은 제거한다. 이와 같이 하여 형성된 질화 실리콘막(14)은 p 채널 도전형 MISFET의 채널 형성 영역에 선택적으로 압축 응력을 발생시킬 수 있다.
다음에, p형 기판(1)의 회로 형성면 상의 전면에, 예를 들면 산화 실리콘막으로 이루어지는 층간 절연막(15)을 플라즈마 CVD법으로 형성하고, 그 후 층간 절연막(15)의 표면을 CMP법으로 평탄화한다. 이 후에는 공지의 기술로 컨택트홀, 메탈 배선층을 형성하여 완성한다.
질화 실리콘막(13, 14)의 가공 방법에 대해서는, 등방성 드라이 에칭, 혹은 웨트 에칭을 사용한다. 이방성 드라이 에칭인 경우에는 게이트 단차부에 질화 실리콘막이 남아, 응력의 효과가 다소 약하지만, 이것으로도 족하다.
본 실시예 1에서는, 게이트 전극(6)에 직접 접하는 질화 실리콘막으로 응력을 제어하고 있기 때문에, 가장 효율이 높다. 특히, 소스 영역 및 드레인 영역의 불순물 활성화 등의 고온 열 처리가 완료된 후에 응력 제어용의 질화 실리콘막을 형성하기 때문에, 막 응력을 거의 그대로 잔존시킬 수 있다. 또한, 전류 구동 능력 향상과 함께, 넓은 아이솔레이션 영역 등에서의 질화 실리콘막을 제거할 수 있기 때문에, 아이솔레이션 영역에서의 기생 용량을 저감시킬 수 있다. 질화 실리콘막은 산화 실리콘막과 비교하고 유전율이 높다.
또, 본 실시예 1에 있어서는, 질화 실리콘막(14)을 생략해도 된다. 물론, p 채널 도전형 MISFET의 전류 구동 능력 향상의 효과는 작아지지만, 그 만큼 제조 공 정을 간략화 할 수 있다. 또한, 질화 실리콘막(13)은 매엽 열 CVD법으로 형성해도 되며, 질화 실리콘막(13, 14) 모두 압축 응력 혹은 인장 응력이 발생하도록 하고, 그 크기가 다른 것만으로도 충분하다.
결국, 본 실시예 1의 포인트는 n 채널 도전형 및 p 채널 도전형 MISFET에서 적어도 한쪽의 채널 형성 영역에서 발생하는 응력의 방향, 크기를 드레인 전류가 증가하는 방향으로 바꾸는 것이다.
또한, 본 실시예 1에 있어서, 질화 실리콘막(13)의 두께를 두껍게 설정하면, 질화 실리콘막(14)의 가공 시의 오버 에칭에 의한 막 감소를 방지할 수 있다. 또, 이들 막의 두께는 한정되지 않는다.
또한, 질화 실리콘막의 형성 방법을 바꿔 막 응력을 바꾸는 방법으로는 상기 실시예의 고주파 전력을 바꾸는 방법 외에, 하기의 방법을 예로 들 수 있다.
1) 원료 가스를 바꾸는 방법으로서, 질화 실리콘막(13)의 형성에는 SiH4와 NH3과 N2를 사용하고, 질화 실리콘막(14)의 형성에는 NH3을 제외하고 SiH 4와 N2를 사용한다.
2) 형성 온도를 바꾸는 방법으로서, 질화 실리콘막(14)의 형성 시보다도, 질화 실리콘막(13)의 형성 시의 온도를 높게 한다.
3) 압력을 바꾸는 방법으로서, 질화 실리콘막(14)의 형성 시보다도, 질화 실리콘막(13)의 형성 시의 압력을 높게 한다. 물론, 상기한 것들을 조합하여 복합시켜도 된다. 요는 어떻게 질화 실리콘막(13)을 인장 응력측으로, 질화 실리콘막(14)을 압축 응력측으로 할지가 중요하다.
또한, 매엽 열 CVD법을 이용한 질화막의 형성 방법으로서는, 막 형성 시의 압력을 내릴수록, 또한 온도를 높게 할수록 막 응력을 인장측으로 할 수 있어, 질화 실리콘막(13)에 적합하다.
(실시예 2)
본 실시예 2는 상기 실시예 1의 제조 공정을 간략화하는 것을 목적으로 하는 것이다. 도 4는 본 발명의 실시예 2의 반도체 장치를 제조하는 프로세스 흐름도((a), (b), (c) 및 (d)은 모식적 단면도)이다.
도 4의 (a)에 도시한 바와 같이, 상기 실시예 1과 마찬가지의 프로세스로, n 채널 도전형 및 p 채널 도전형 MISFET 및 실리사이드층(12)을 형성한다.
다음에, p형 기판(1)의 회로 형성면 상의 전면에, 절연막으로서, 예를 들면 100∼120㎚ 정도의 두께의 질화 실리콘막(13)을 플라즈마 CVD법으로 형성한다. 질화 실리콘막(13)의 형성은, 예를 들면 고주파 전력 350∼400W의 조건으로 행한다.
다음에, p형 기판(1)의 회로 형성면 상의 전면에, 절연막으로서 산화 실리콘막(13A)를 형성한다. 이 산화 실리콘막(13A)는, 예를 들면 P-TEOS 혹은 O3-TEOS 산화막이다.
다음에, 포토 에칭 기술을 이용하여 산화 실리콘막(13A) 및 질화 실리콘막(13)에 순차 패터닝을 실시하여, 도 4의 (b)에 도시한 바와 같이, n 채널 도전형 MISFET를 선택적으로 덮는 질화 실리콘막(13) 및 산화 실리콘막(13A)를 형 성한다. 즉, p 채널 도전형 MISFET 상에서의 질화 실리콘막(13) 및 산화 실리콘막(13A)는 제거한다. 이와 같이 하여 형성된 질화 실리콘막(13)은 n 채널 도전형 MISFET의 채널 형성 영역에 선택적으로 인장 응력을 발생시킬 수 있다.
다음에, 도 4의 (c)에 도시한 바와 같이, p형 기판(1)의 회로 형성면 상의 전면에, 절연막으로서, 예를 들면 100㎚ 정도의 두께의 질화 실리콘막(14)을 플라즈마 CVD법으로 형성한다. 질화 실리콘막(14)의 형성은, 예를 들면 고주파 전력 600∼700W의 조건으로 행한다.
다음에, 포토 에칭 기술을 이용하여 질화 실리콘막(14)에 패터닝을 실시하고, 도 4의 (d)에 도시한 바와 같이, p 채널 도전형 MISFET를 선택적으로 덮는 질화 실리콘막(14)을 형성한다. 즉, n 채널 도전형 MISFET 상에서의 질화 실리콘막(14)은 제거한다. 이와 같이 하여 형성된 질화 실리콘막(14)은 p 채널 도전형 MISFET의 채널 형성 영역에 선택적으로 압축 응력을 발생시킬 수 있다. 이 공정에서, 산화 실리콘막(13A)가 질화 실리콘막(14)의 가공 시의 에칭 스토퍼로 되어 있다. 즉, 질화 실리콘막(14)의 가공 시의 오버 에칭에 의한 질화 실리콘막(13)의 박막화를 억제할 수 있다.
다음에, 도 4의 (d)에 도시한 바와 같이, p형 기판(1)의 회로 형성면 상의 전면에 예를 들면 산화 실리콘막으로 이루어지는 층간 절연막(15)을 플라즈마 CVD법으로 형성하고, 그 후 층간 절연막(15)의 표면을 CMP법으로 평탄화한다. 이 후는, 공지의 기술로 컨택트홀, 메탈 배선층을 형성하여 완성한다.
본 실시예 2에 따르면, 설명한 실시예 1의 효과 외에, 질화 실리콘막(14)의 가공의 제어성을 크게 향상시킬 수 있다. 이 결과, 질화 실리콘막(13, 14)의 막 두께를 균일, 또한 박막화할 수 있다.
(실시예 3)
본 실시예 3에서는, 전원 전압이 1∼1.5V, 게이트 길이가 0.1∼0.14㎛ 정도의 상보형 MISFET를 갖는 반도체 장치에 본 발명을 적용한 예에 대하여 설명한다.
본 실시예 3은 상기 실시예 1의 제조 공정을 간략화하는 것을 목적으로 하는 것이다. 도 5는 본 발명의 실시예 3의 반도체 장치를 제조하는 프로세스 흐름도((a), (b) 및 (c)는 모식적 단면도)이다. 도 5에서, 대향하여 좌측이 n 채널 도전형 MISFET이고, 우측이 p 채널 도전형 MISFET이다.
도 5의 (a)에 도시한 바와 같이, 상기 실시예 1과 마찬가지의 프로세스로, n 채널 도전형 및 p 채널 도전형 MISFET 및 실리사이드층(12)을 형성한 후, p형 기판(1)의 회로 형성면 상의 전면에, 절연막으로서 p 채널 도전형 MISFET의 채널 형성 영역에 압축 응력을 발생시키는 질화 실리콘막(16)을 플라즈마 CVD법으로 형성한다. 질화 실리콘막(16)의 형성은, 예를 들면 고주파 전력 350∼400W의 조건으로 행한다.
다음에, p 채널 도전형 MISFET 상을 덮고, 또한 n 채널 도전형 MISFET 상에 개구를 갖는 레지스트막 R을 p형 기판(1)의 회로 형성면 상에 형성하고, 그 후 도 5의 (b)에 도시한 바와 같이, 레지스트막 R을 불순물 도입용 마스크로서 사용하고, 레지스트막 R로부터 노출하는 질화 실리콘막(16) 중에, Ar, Ge, Si, As, Sb, In, BF2 등의 불순물을 이온 주입법으로 도입한다. 또, 도면 중 부호 17은, 이들의 불순물이 도입된 질화 실리콘막이다.
다음에, 레지스트막 R을 제거하고, 그 후 도 5의 (c)에 도시한 바와 같이, p형 기판(1)의 회로 형성면 상의 전면에, 예를 들면 산화 실리콘막으로 이루어지는 층간 절연막(15)을 플라즈마 CVD법으로 형성하고, 그 후 층간 절연막(15)의 표면을 CMP법으로 평탄화한다. 이 후는, 공지의 기술로 컨택트홀, 메탈 배선층을 형성하여 완성한다.
이와 같이 하여 얻어진 p 채널 도전형 MISFET 상의 질화 실리콘막(16)은 -800∼-1000 ㎫의 압축 응력을 갖고, p 채널 도전형 MISFET의 채널 형성 영역에 압축 응력을 발생시킨다. 한편, n 채널 도전형 MISFET 상의 질화 실리콘막(17)의 응력은 현저히 완화되어, 거의 제로의 상태로 되어 있다. 즉, n 채널 도전형 MISFET의 채널 형성 영역에서의 압축 응력은 완화되어 있다. 이 결과, 질화 실리콘막(16)을 피막하지 않은 경우와 비교하여, p 채널 도전형 MISFET의 드레인 전류는 15∼20% 향상하였다. 이 때, n 채널 도전형 MISFET의 드레인 전류는, 고압축 응력의 질화 실리콘막(16)을 적용하였음에도 불구하고, 거의 저하되지 않는다.
이것은 이온 주입의 충격에 의해 질화 실리콘막(16) 중의 결정성이 파괴된 것에 의한 것이다. 따라서, 질화 실리콘막의 단면을 관찰하면 분명히 파괴된 흔적이 남아 있다. 또, 본 실시예에서는 불순물을 n 채널 도전형 MISFET 상의 질화 실리콘막에만 도입하였지만, 명확한 완화 효과에 차가 있으면, 불순물 자신은, n, p 채널 도전형 MISFET 상의 양쪽에 있어도 된다. 단, 이 때는 n 채널 도전형 MISFET 상의 질화 실리콘막 중 불순물량이 크거나, 혹은 이온 주입에 의해서 파괴된 영역이 큰 것이 필요하다. 또한, 이 파괴 영역의 크기, 즉 응력 완화 효과는 도입하는 불순물의 농도뿐만 아니라, 에너지의 크기에 크게 좌우된다. 예를 들면 본 실시예에서는, n 채널 도전형 MISFET 상의 질화 실리콘막 중에 도입되는 불순물의 에너지가 p 채널 도전형 MISFET 상의 질화 실리콘막 중에 도입되는 불순물의 에너지보다도 큰 것만으로도 마찬가지의 효과를 얻을 수 있다. 또, 도입된 불순물의 대부분은 질화 실리콘막(16) 중에 존재하고 있는 것이 바람직하다. 이것은 이온 주입의 손상이 하부의 MISFET에 악영향을 끼치는 경우가 있기 때문이다.
또한, 본 실시예에서는 질화 실리콘막(16)의 피막과, 선택적 이온 주입 공정 후, 소자가 완성되기까지의 사이의 열 처리 공정은, 700℃가 최고 온도였다. 이 정도의 비교적 저온의 열 처리이면, 이온 주입에 의해 파괴된 질화 실리콘막이 다시 결정화하는 일은 거의 없었다. 따라서, 이온 주입 후의 응력의 상태가 잔류 응력으로서 소자 완성 후에도 거의 유지되고 있다.
본 실시예 3에 따르면, 질화 실리콘막(16)에의 불순물의 이온 주입에 의해, 막 중의 응력을 완화, 혹은 역 방향으로 할 수 있기 때문에, 본 방식에서도 실시예 1과 마찬가지의 효과를 얻을 수 있다. 이에 따라, 상술한 실시예 1과 비교하여, 질화 실리콘막의 피막 공정이 일회로 끝나기 때문에, 제2 질화 실리콘막의 피막 공정과 그 가공 공정을 생략할 수 있어, 제조 공정을 간략화 할 수 있다. 물론, 이온 주입에 의해 막 응력을 바꾸는 것은 p 채널 도전형 MISFET 측에서도 충분하다. 이 경우, p형 기판(1)의 회로 형성면 상의 전면에, n 채널 도전형 MISFET의 채널 형성 영역에 인장 응력을 발생시키는 질화 실리콘막을 형성한 후, p 채널 도전형 MISFET 상에서의 질화 실리콘막에 상술한 불순물을 이온 주입법으로 선택적으로 도입한다. 또한, 질화 실리콘막 중에 이온 주입하는 이온종류(불순물)로서는, 비교적 무거운 이온쪽이 저농도 이온 주입에서 본 효과를 올릴 수 있고, 효율이 좋지만, 이온종류는 한정되지 않는다.
또한, 본 실시예에서의 응력 완화용 이온 주입으로서는, 실리콘 기판(웨이퍼)에 대하여 수직의 이온 주입을 적용한 경우를 예시하였지만, 도 11(모식적 단면도)에 도시한 바와 같이, 경사 매립 주입을 적용해도 된다. 이 경우, MISFET의 게이트 전극을 덮고 있는 질화 실리콘막(16)의 게이트 측벽 부분(단차 부분)에도 불순물을 도입할 수 있다. 이 결과, 한층 더 응력 완화 효과를 얻을 수 있었다.
(실시예 4)
본 실시예 4는 본 발명의 실시예 1의 반도체 장치의 제조 방법의 변형예이다. 이것을 도 6((a), (b) 및 (c)는 모식적 단면도)를 이용하여 설명한다.
도 6의 (a)에 도시한 바와 같이, 상기 실시예 1과 마찬가지의 프로세스로, n 채널 도전형 MISFET 및 p 채널 도전형 MISFET 및 실리사이드층(12)을 형성한다.
다음에, p형 기판(1)의 회로 형성면 상의 전면에, 절연막으로서, 예를 들면 100∼120㎚ 정도의 두께의 질화 실리콘막(13)을 플라즈마 CVD법으로 형성한다. 질화 실리콘막(13)의 형성은, 예를 들면 고주파 전력 350∼400W의 조건으로 행한다.
다음에, 포토 에칭 기술을 이용하여 질화 실리콘막(13)에 패터닝을 실시하 여, 도 6의 (b)에 도시한 바와 같이, n 채널 도전형 MISFET를 선택적으로 덮는 질화 실리콘막(13)을 형성한다. 즉, p 채널 도전형 MISFET 상에서의 질화 실리콘막(13)은 제거한다. 이와 같이 하여 형성된 질화 실리콘막(13)은, n 채널 도전형 MISFET의 채널 형성 영역에 선택적으로 인장 응력을 발생시킬 수 있다.
다음에, 도 6의 (c)에 도시한 바와 같이, p형 기판(1)의 회로 형성면 상의 전면에, 절연막으로서, 예를 들면 100∼120㎚ 정도의 두께의 질화 실리콘막(14)을 플라즈마 CVD법으로 형성한다. 질화 실리콘막(14)의 형성은, 예를 들면 고주파 전력 600∼700W의 조건으로 행한다.
다음에, p형 기판(1)의 회로 형성면 상의 전면에, 예를 들면 산화 실리콘막으로 이루어지는 층간 절연막(15)을 플라즈마 CVD법으로 형성하고, 그 후 층간 절연막(15)의 표면을 CMP법으로 평탄화한다. 이 후는, 공지의 기술로 컨택트홀, 메탈 배선층을 형성하여 완성한다.
본 실시예 4에 있어서, p 채널 도전형 MISFET 상에는 질화 실리콘막(14)만이 존재하고 있다. 한편, n 채널 도전형 MISFET 상에는 질화 실리콘막(13, 14)이 존재하고 있다. 이 결과, p 채널 도전형 MISFET의 채널 형성 영역에는 큰 압축 응력이 발생하지만, n 채널 도전형 MISFET의 채널 형성 영역에서 발생하는 응력은 완화된다. 본 실시예에서는, 질화 실리콘막을 피막하지 않은 경우와 비교하여, p 채널 도전형 MISFET의 드레인 전류만을 15∼20% 향상시킬 수 있었다. 이 때, n 채널 도전형 MISFET의 드레인 전류는 거의 변화하지 않는다.
또, n 채널 도전형 MISFET의 드레인 전류를 주로 증가시키고자 하는 경우에 는, 먼저 질화 실리콘막(14)을 p 채널 도전형 MISFET 상에 선택적으로 형성하고, 그 후 질화실리콘막(13)을 전면에 형성하면 된다.
본 실시예의 공정을 제1 및 제2 실시예와 비교하면, n 채널 도전형 MISFET 상에서의 질화 실리콘막(14)을 포토 에칭으로 제거하는 공정이 생략되어 있다. 이 결과, 제1 및 제2 실시예보다도 공정을 간략화할 수 있다.
또, 본 실시예에 있어서는, 질화 실리콘막(13, 14)의 막 두께, 및 그 막 응력의 크기를 바꾸는 것으로서, n 채널 도전형 및 p 채널 도전형 MISFET의 드레인 전류를 동시에 향상시킬 수도 있다. 예를 들면, 상기 실시예에 있어서 질화 실리콘막(13)의 막 두께를 130∼150㎚, 그리고 질화 실리콘막(14)의 막 두께를 50∼80㎚으로 하는 것에 의해, 질화 실리콘막(14)에 의해서 n 채널 도전형 MISFET의 드레인 전류 향상 효과가 작아지는 일은 없다.
(실시예 5)
도 7은 본 발명의 실시예 5의 반도체 장치의 개략 구성을 도시하는 모식적 단면도이고, 도 7 중 참조 부호 23은 인장 응력을 갖는 도포 산화막(SOG(Spin On Glass)막)이다.
본 실시예 5의 반도체 장치는 응력을 제어하는 막, 구조를 바꾼 것으로서, 상기 응용예의 어느 하나를 조합한 것이다. 예를 들면, 도 7에 도시한 바와 같이, 게이트 전극(6)의 바로 윗쪽을 포함하는 p형 기판(1)의 회로 형성면 전면에, 자기 정합 컨택트 프로세스용의 압축 응력을 갖는 질화 실리콘막(19)을 형성하고, 그 후 질화 실리콘막(19) 상에 인장 응력을 갖는 SOG막(23)을 형성하고, 그 후 SOG막(23) 에 패터닝을 실시하고, n 채널 도전형 MISFET 상에 SOG막(23)을 선택적으로 남긴 것이다. n 채널 도전형 MISFET 측에서는 질화 실리콘막(19)의 압축 응력을 SOG막(23)의 인장 응력으로 상쇄하고 있다.
(실시예 6)
도 8은 본 발명의 실시예 6의 반도체 장치의 개략 구성을 도시하는 단면도로, 도 8 중 참조 부호 20은 압축 응력을 갖는 질화 실리콘막으로 이루어지는 측벽 스페이서, 참조 부호 21은 인장 응력을 갖는 게이트 전극, 참조 부호 22는 압축 응력을 갖는 게이트 전극이다.
본 실시예 6의 반도체 장치는, 도 8에 도시한 바와 같이, 상기 응력을 제어하는 막, 구조를 바꾼 것으로서, 상기 실시예 1에서의 측벽 스페이서(9)를 압축 응력을 갖는 질화 실리콘막으로 이루어지는 측벽 스페이서(20)로 변경하거나, 또한 게이트 전극(6)을 인장 응력을 갖는 재료로 이루어지는 게이트 전극(21)으로 변경하거나, 또한, 게이트 전극(6)을 압축 응력을 갖는 재료로 이루어지는 게이트 전극(22)으로 변경하는(구조 변경을 포함함) 것을 조합하여 응력을 제어하는 것이다.
예를 들면, 상기 응력을 제어하는 막, 구조를 바꾼 것으로서, 게이트 전극(6)의 재료의 변경의 조합으로 응력을 제어하는 경우의 일례로서, 한쪽의 게이트 전극(6)에 특별히 불순물(Ge, Si 그 외)을 많이 도입하는 것을 예로 들 수 있다. 또한, 게이트 전극(6)은 폴리 메탈 구조로 할 수도 있다.
또한, 응력을 제어하는 막, 구조를 바꾼 것으로서, n 채널 도전형 MISFET, p 채널 도전형 MISFET에서 게이트 절연막 재료를 바꾸어도 된다. 예를 들면, n 채널 도전형 MISFET 및 p 채널 도전형 MISFET의 어느 하나에 질화 실리콘막과 산화 실리콘막의 적층막을 적용하는 등이다.
(실시예 7)
도 9는 본 발명의 실시예 7의 반도체 장치의 개략 구성을 도시하는 모식적 단면도이다. 본 실시예의 반도체 장치는, 도 9에 도시한 바와 같이, 응력을 제어하는 막, 구조를 바꾼 것으로서, 상기 실시예 1과 같이 층간 절연막의 일부로서의 질화 실리콘막을 적용하지만, n 채널 도전형 MISFET의 게이트 전극(6) 상에 인장 응력을 갖는 질화 실리콘막(13)을, p 채널 도전형 MISFET의 게이트 전극(6) 상에 압축 응력을 갖는 질화 실리콘막(14)을 직접 형성하는 것은 아니고, 층간 절연막(15)의 표면을 평탄화한 후에, n 채널 도전형 MISFET의 게이트 전극(6) 상에서의 층간 절연막(15) 상에 인장 응력을 갖는 질화 실리콘막(24)을 p 채널 도전형 MISFET의 게이트 전극(6) 상에서의 층간 절연막(15) 상에 압축 응력을 갖는 질화 실리콘막(25)을 형성한 것이다.
이와 같이 구성한 경우에는, 한쪽의 질화 실리콘막의 제거가 용이하게 된다.
(실시예 8)
도 10은 본 발명의 실시예 8의 반도체 장치의 개략 구성을 도시하는 모식적 단면도이다.
본 실시예 8의 반도체 장치는 SOI(Silicon On Insulator) 기판(30)을 이용한 SOI 구조로 되어 있다. SOI 기판(30)은, 예를 들면, 지지 기판(30A)과, 이 지지 기판(30A) 상에 형성된 절연층(30B)과, 이 절연층(30B) 상에 형성된 반도체층(30C)을 갖는 구성으로 되어 있다. 지지 기판(30A)은, 예를 들면 단결정 실리콘으로 이루어지는 p형 실리콘 기판으로 형성되고, 절연층(30B)은 예를 들면 산화 실리콘막으로 형성되고, 반도체층(30C)은 예를 들면 단결정 실리콘으로 이루어지는 p형 반도체로 형성되어 있다. 반도체층(30C)은 복수개의 소자 형성부로 분할되고, 각 소자 형성부에 n 채널 도전형 MISFET 또는 p 채널 도전형 MISFET이 형성되어 있다. n 채널 도전형 MISFET이 형성되는 반도체층(30C)의 소자 형성부에는 p형 웰 영역이 형성되고, p 채널 도전형 MISFET이 형성되는 반도체층(30C)의 소자 형성부에는 n형 웰 영역이 형성되어 있다.
SOI 구조는 반도체층(30C)의 두께가 얇기 때문에, 한층 응력의 효과가 크다. 또한, SOI 구조인 경우에는 절연층(매립층)(30B)의 두께를 바꾸는 것이나 절연층(30B)에 불순물을 선택적으로 도입함으로써 응력 제어를 행할 수 있다. 이 결과, 본 발명의 효과와 함께 SOI 구조의 장점을 얻을 수 있다.
또한, SRAM(Static Random Access Memory), DRAM(Dynamic Random Access Memory), 플래시 등의 메모리가 포함되는 제품에 있어서, 적어도 그 메모리 셀의 주변 회로나 로직 회로 부분에 본 발명의 구조를 적용하면, 보다 고성능의 메모리 제품을 얻을 수 있다.
이상, 본 발명자에 의해서 이루어진 발명을, 상기 실시예에 기초하여 구체적으로 설명하였지만, 본 발명은 상기 실시예에 한정되는 것은 아니고, 그 요지를 이탈하지 않는 범위에서 여러가지 변경 가능한 것은 물론이다.
본원에서 개시되는 발명 중 대표적인 것에 의해서 얻어지는 효과를 간단히 설명하면, 하기와 같다.
본 발명에 따르면, n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터의 전류 구동 능력의 향상을 도모할 수 있다.
또한, 본 발명에 따르면, n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터 중, 한쪽의 트랜지스터의 전류 구동 능력의 저하를 억제하고, 다른 쪽의 트랜지스터의 전류 구동 능력의 향상을 도모할 수 있다.
또한, n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 작용하는 응력을 개별로 제어할 수 있기 때문에, n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터의 드레인 전류비를 어느 정도 자유롭게 설정할 수 있다.
이상과 같이, 본 발명에 따른 반도체 장치는, n 채널 도전형 전계 효과 트랜지스터 및 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치에 적용하는데 유익하고, 또한, 메모리 IC(Integrated Circuit), 로직 IC, 혹은 메모리 기능 및 로직 기능을 갖는 혼성 IC 등의 반도체 제품에 적용하는데 유용하다.

Claims (58)

  1. 반도체 기판의 일 주면의 제1 영역에 채널 형성 영역이 구성된 n 채널 도전형 전계 효과 트랜지스터와, 상기 반도체 기판의 일 주면의 제1 영역과 다른 제2 영역에 채널 형성 영역이 구성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치로서,
    자기 정합 컨택트용 절연막이 상기 n 채널 및 p 채널 도전형 전계 효과 트랜지스터 상에 이들의 게이트 전극을 덮도록 형성되고, 상기 n 채널 도전형 전계 효과 트랜지스터의 게이트 전극을 덮는 자기 정합 컨택트용 절연막은 상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력을 발생시키고, 상기 p 채널 도전형 전계 효과 트랜지스터의 게이트 전극을 덮는 자기 정합 컨택트용 절연막은 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력을 발생시키는 것을 특징으로 하는 반도체 장치.
  2. 반도체 기판의 일 주면의 제1 영역에 채널 형성 영역이 구성된 n 채널 도전형 전계 효과 트랜지스터와, 상기 반도체 기판의 일 주면의 제1 영역과 다른 제2 영역에 채널 형성 영역이 구성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치로서,
    상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력을 발생시키고, 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력을 발생시키는 막이 상기 n 채널 및 p 채널 도전형 전계 효과 트랜지스터 상에 이들의 게이트 전극을 덮도록 피막되고,
    상기 p 채널 도전형 전계 효과 트랜지스터의 게이트 전극을 덮는 막은 플라즈마 CVD법으로 피막되고,
    상기 n 채널 도전형 전계 효과 트랜지스터의 게이트 전극을 덮는 막은 열 CVD법으로 피막되어 있는 것을 특징으로 하는 반도체 장치.
  3. 삭제
  4. 반도체 기판의 일 주면의 제1 영역에 채널 형성 영역이 구성된 n 채널 도전형 전계 효과 트랜지스터와, 상기 반도체 기판의 일 주면의 제1 영역과 다른 제2 영역에 채널 형성 영역이 구성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치로서,
    상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력을 발생시키는 막이 상기 n 채널 도전형 전계 효과 트랜지스터의 게이트 전극 상에 상기 게이트 전극을 덮도록 피막되고, 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력을 발생시키는 막이 상기 p 채널 도전형 전계 효과 트랜지스터의 게이트 전극 상에 상기 게이트 전극을 덮도록 피막되어 있는 것을 특징으로 하는 반도체 장치.
  5. 반도체 기판의 일 주면의 제1 영역에 채널 형성 영역이 구성된 n 채널 도전형 전계 효과 트랜지스터와, 상기 반도체 기판의 일 주면의 제1 영역과 다른 제2 영역에 채널 형성 영역이 구성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치로서,
    상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 발생하는 압축 응력쪽이 상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 발생하는 압축 응력보다도 커지는 응력을 발생시키는 막이 상기 n 채널 및 p 채널 도전형 전계 효과 트랜지스터 상에 이들의 게이트 전극을 덮도록 피막되어 있는 것을 특징으로 하는 반도체 장치.
  6. 반도체 기판의 일 주면의 제1 영역에 채널 형성 영역이 구성된 n 채널 도전형 전계 효과 트랜지스터와, 상기 반도체 기판의 일 주면의 제1 영역과 다른 제2 영역에 채널 형성 영역이 구성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치로서,
    상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 발생하는 인장 응력쪽이 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 발생하는 인장 응력보다도 커지는 응력을 발생시키는 막이 상기 n 채널 및 p 채널 도전형 전계 효과 트랜지스터 상에 이들의 게이트 전극을 덮도록 피막되어 있는 것을 특징으로 하는 반도체 장치.
  7. 반도체 기판의 일 주면의 제1 영역에 채널 형성 영역이 구성된 n 채널 도전형 전계 효과 트랜지스터와, 상기 반도체 기판의 일 주면의 제1 영역과 다른 제2 영역에 채널 형성 영역이 구성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치로서,
    상기 n 채널 도전형 전계 효과 트랜지스터의 게이트 전극 상에 상기 게이트 전극을 덮도록 피막됨과 함께 상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력을 발생시키는 막, 및 상기 p 채널 도전형 전계 효과 트랜지스터의 게이트 전극 상에 상기 게이트 전극을 덮도록 피막됨과 함께 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력을 발생시키는 막 중, 적어도 한쪽의 막을 갖는 것을 특징으로 하는 반도체 장치.
  8. 제7항에 있어서,
    상기 막은 질화 실리콘계의 막인 것을 특징으로 하는 반도체 장치.
  9. 제7항에 있어서,
    상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력을 발생시키는 막은, 상기 반도체 기판의 일 주면 상에 상기 n 채널 도전형 전계 효과 트랜지스터를 덮도록 하여 형성된 막이고,
    상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력을 발생시키는 막은, 상기 반도체 기판의 일 주면 상에 상기 p 채널 도전형 전계 효과 트랜지스터를 덮도록 하여 형성된 막인 것을 특징으로 하는 반도체 장치.
  10. 제7항에 있어서,
    상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력을 발생시키는 막은, 상기 n 채널 도전형 전계 효과 트랜지스터의 게이트 전극의 측벽에 형성된 측벽 스페이서를 덮고,
    상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력을 발생시키는 막은, 상기 p 채널 도전형 전계 효과 트랜지스터의 게이트 전극의 측벽에 형성된 측벽 스페이서를 덮는 것을 특징으로 하는 반도체 장치.
  11. 제7항 내지 제9항 중 어느 한 항에 있어서,
    상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 응력을 발생시키기 위해서 피막된 막 중에 포함되는 불순물 농도와, 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 응력을 발생시키기 위해서 피막된 막 중에 포함되는 불순물 농도가 서로 다른 것을 특징으로 하는 반도체 장치.
  12. 제7항 내지 제9항 중 어느 한 항에 있어서,
    상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 응력을 발생하기 위해서 피막된 막과, 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 응력을 발생하기 위해서 피막된 막에 있어서, 막 응력을 완화하는 불순물이 적어도 한쪽에 도입되어 있는 것을 특징으로 하는 반도체 장치.
  13. 제11항에 있어서,
    상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 응력을 발생하기 위해서 피막된 막과, 상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 응력을 발생하기 위해서 피막된 막에 있어서, 양자의 막 중의 결정성이 서로 다른 것을 특징으로 하는 반도체 장치.
  14. 제11항에 있어서,
    상기 불순물은, 상기 막의 하부층에 도달하지 않은 것을 특징으로 하는 반도체 장치.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 반도체 기판에 형성된 n 채널 도전형 전계 효과 트랜지스터와, p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치로서,
    상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에서, 드레인 전류가 흐르는 방향에 걸리는 잔류 응력이 인장 응력이 되는 응력을 발생시키는 막이 상기 n 채널 도전형 전계 효과 트랜지스터의 게이트 전극 상에 상기 게이트 전극을 덮도록 피막되고,
    상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에서, 드레인 전류가 흐르는 방향에 걸리는 잔류 응력이 압축 응력이 되는 응력을 발생시키는 막이 상기 p 채널 도전형 전계 효과 트랜지스터의 게이트 전극 상에 상기 게이트 전극을 덮도록 피막되어 있는 것을 특징으로 하는 반도체 장치.
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 반도체 기판에 형성된 n 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치의 제조 방법으로서,
    상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력을 발생시키는 막을, 상기 p 채널 도전형 전계 효과 트랜지스터의 게이트 전극 상에 상기 게이트 전극을 덮도록 플라즈마 CVD법으로 형성하는 공정과,
    상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력을 발생시키는 막을, 상기 n 채널 도전형 전계 효과 트랜지스터의 게이트 전극 상에 상기 게이트 전극을 덮도록 플라즈마 CVD법으로 형성하는 공정을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  50. 반도체 기판에 형성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치의 제조 방법으로서,
    상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력을 발생시키는 막을, 상기 p 채널 도전형 전계 효과 트랜지스터의 게이트 전극 상에 상기 게이트 전극을 덮도록 플라즈마 CVD법으로 형성하는 공정과,
    상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력을 발생시키는 막을, 상기 n 채널 도전형 전계 효과 트랜지스터의 게이트 전극 상에 상기 게이트 전극을 덮도록 열 CVD법으로 형성하는 공정을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  51. 제49항 또는 제50항에 있어서,
    상기 막은 자기 정합 컨택트용 절연막인 것을 특징으로 하는 반도체 장치의 제조 방법.
  52. 제49항 또는 제50항에 있어서,
    상기 막은 질화 실리콘막인 것을 특징으로 하는 반도체 장치의 제조 방법.
  53. 삭제
  54. 삭제
  55. 삭제
  56. 반도체 기판에 형성된 p 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치로서,
    상기 p 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 압축 응력을 발생시키는 제1막이, 상기 p 채널 및 n 채널 도전형 전계 효과 트랜지스터의 게이트 전극 상에 상기 게이트 전극을 덮도록 피막되고,
    상기 제1막 상에서, 인장 응력을 갖는 제2막이 상기 n 채널 도전형 전계 효과 트랜지스터 상에만 형성되어 있는 것을 특징으로 하는 반도체 장치.
  57. 반도체 기판에 형성된 n 채널 도전형 전계 효과 트랜지스터를 갖는 반도체 장치로서,
    상기 n 채널 도전형 전계 효과 트랜지스터의 채널 형성 영역에 인장 응력을 발생시키는 제1막이, 상기 n 채널 및 p 채널 도전형 전계 효과 트랜지스터의 게이트 전극 상에 상기 게이트 전극을 덮도록 피막되고,
    상기 제1막 상에서, 압축 응력을 갖는 제2막이 상기 p 채널 도전형 전계 효과 트랜지스터 상에만 형성되어 있는 것을 특징으로 하는 반도체 장치.
  58. 제56항 또는 제57항에 있어서,
    상기 제1막은 자기 정합 컨택트용 절연막인 것을 특징으로 하는 반도체 장치.
KR1020037002918A 2000-11-22 2001-06-29 반도체 장치 및 그 제조 방법 KR100784603B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2000-00356497 2000-11-22
JP2000356497 2000-11-22
PCT/JP2001/005633 WO2002043151A1 (en) 2000-11-22 2001-06-29 Semiconductor device and method for fabricating the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020077016359A Division KR100767950B1 (ko) 2000-11-22 2001-06-29 반도체 장치 및 그 제조 방법

Publications (2)

Publication Number Publication Date
KR20030082538A KR20030082538A (ko) 2003-10-22
KR100784603B1 true KR100784603B1 (ko) 2007-12-11

Family

ID=18828740

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020037002918A KR100784603B1 (ko) 2000-11-22 2001-06-29 반도체 장치 및 그 제조 방법
KR1020077016359A KR100767950B1 (ko) 2000-11-22 2001-06-29 반도체 장치 및 그 제조 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020077016359A KR100767950B1 (ko) 2000-11-22 2001-06-29 반도체 장치 및 그 제조 방법

Country Status (8)

Country Link
US (9) US7115954B2 (ko)
JP (4) JP4597479B2 (ko)
KR (2) KR100784603B1 (ko)
CN (2) CN101465295A (ko)
AU (1) AU2001267880A1 (ko)
MY (1) MY135557A (ko)
TW (1) TW536726B (ko)
WO (1) WO2002043151A1 (ko)

Families Citing this family (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183346A (ja) * 1998-12-15 2000-06-30 Toshiba Corp 半導体装置及びその製造方法
JP4831885B2 (ja) 2001-04-27 2011-12-07 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
JP2003179157A (ja) * 2001-12-10 2003-06-27 Nec Corp Mos型半導体装置
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
JP4030383B2 (ja) * 2002-08-26 2008-01-09 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US7388259B2 (en) 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
ATE377841T1 (de) * 2002-11-25 2007-11-15 Ibm Verspannte cmos finfet bauelementestrukturen
JP4406200B2 (ja) * 2002-12-06 2010-01-27 株式会社東芝 半導体装置
US7001837B2 (en) * 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
CN100437970C (zh) * 2003-03-07 2008-11-26 琥珀波系统公司 一种结构及用于形成半导体结构的方法
JP2004317891A (ja) 2003-04-17 2004-11-11 Nec Saitama Ltd カメラ付き携帯型電子機器
JP4557508B2 (ja) 2003-06-16 2010-10-06 パナソニック株式会社 半導体装置
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7319258B2 (en) * 2003-10-31 2008-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip with<100>-oriented transistors
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7161169B2 (en) * 2004-01-07 2007-01-09 International Business Machines Corporation Enhancement of electron and hole mobilities in <110> Si under biaxial compressive strain
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US20050186722A1 (en) * 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
US7064396B2 (en) * 2004-03-01 2006-06-20 Freescale Semiconductor, Inc. Integrated circuit with multiple spacer insulating region widths
US6995456B2 (en) * 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
JP2005286341A (ja) 2004-03-30 2005-10-13 Samsung Electronics Co Ltd 低ノイズ及び高性能のlsi素子、レイアウト及びその製造方法
KR101025761B1 (ko) * 2004-03-30 2011-04-04 삼성전자주식회사 디지탈 회로 및 아날로그 회로를 가지는 반도체 집적회로및 그 제조 방법
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
JP2005294360A (ja) * 2004-03-31 2005-10-20 Nec Electronics Corp 半導体装置の製造方法
US7220630B2 (en) * 2004-05-21 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
US20050266632A1 (en) * 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
WO2005119760A1 (en) * 2004-05-28 2005-12-15 Advanced Micro Devices, Inc. Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress
DE102004026149B4 (de) * 2004-05-28 2008-06-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines Halbleiterbauelements mit Transistorelementen mit spannungsinduzierenden Ätzstoppschichten
DE102004026142B3 (de) * 2004-05-28 2006-02-09 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Steuern der mechanischen Spannung in einem Kanalgebiet durch das Entfernen von Abstandselementen und ein gemäß dem Verfahren gefertigtes Halbleiterbauelement
US6984564B1 (en) * 2004-06-24 2006-01-10 International Business Machines Corporation Structure and method to improve SRAM stability without increasing cell area or off current
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
JP4994581B2 (ja) 2004-06-29 2012-08-08 富士通セミコンダクター株式会社 半導体装置
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
JP4444027B2 (ja) * 2004-07-08 2010-03-31 富士通マイクロエレクトロニクス株式会社 nチャネルMOSトランジスタおよびCMOS集積回路装置
JP2006041118A (ja) * 2004-07-26 2006-02-09 Toshiba Corp 半導体装置及びその製造方法
SG119256A1 (en) * 2004-07-28 2006-02-28 Taiwan Semiconductor Mfg Semiconductor-on-insulator chip with <100> oriented transistors
US7402535B2 (en) * 2004-07-28 2008-07-22 Texas Instruments Incorporated Method of incorporating stress into a transistor channel by use of a backside layer
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
JP4794838B2 (ja) * 2004-09-07 2011-10-19 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
DE102004047631B4 (de) * 2004-09-30 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden einer Halbleiterstruktur in Form eines Feldeffekttransistors mit einem verspannten Kanalgebiet und Halbleiterstruktur
US20060079046A1 (en) * 2004-10-12 2006-04-13 International Business Machines Corporation Method and structure for improving cmos device reliability using combinations of insulating materials
US7098536B2 (en) * 2004-10-21 2006-08-29 International Business Machines Corporation Structure for strained channel field effect transistor pair having a member and a contact via
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
JP4643223B2 (ja) * 2004-10-29 2011-03-02 株式会社東芝 半導体装置
DE102004052578B4 (de) * 2004-10-29 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
DE102004057762B4 (de) * 2004-11-30 2010-11-11 Advanced Micro Devices Inc., Sunnyvale Verfahren zur Herstellung einer Halbleiterstruktur mit Ausbilden eines Feldeffekttransistors mit einem verspannten Kanalgebiet
US7193254B2 (en) * 2004-11-30 2007-03-20 International Business Machines Corporation Structure and method of applying stresses to PFET and NFET transistor channels for improved performance
KR100613451B1 (ko) 2004-12-02 2006-08-21 주식회사 하이닉스반도체 반도체 장치 및 그 제조방법
US7348635B2 (en) * 2004-12-10 2008-03-25 International Business Machines Corporation Device having enhanced stress state and related methods
US7306983B2 (en) * 2004-12-10 2007-12-11 International Business Machines Corporation Method for forming dual etch stop liner and protective layer in a semiconductor device
US7262087B2 (en) 2004-12-14 2007-08-28 International Business Machines Corporation Dual stressed SOI substrates
US7195969B2 (en) * 2004-12-31 2007-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Strained channel CMOS device with fully silicided gate electrode
KR100702006B1 (ko) * 2005-01-03 2007-03-30 삼성전자주식회사 개선된 캐리어 이동도를 갖는 반도체 소자의 제조방법
US7271442B2 (en) * 2005-01-12 2007-09-18 International Business Machines Corporation Transistor structure having stressed regions of opposite types underlying channel and source/drain regions
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7432553B2 (en) * 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs
JP4453572B2 (ja) * 2005-02-22 2010-04-21 ソニー株式会社 半導体集積回路の製造方法
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
JP4361886B2 (ja) * 2005-02-24 2009-11-11 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置およびその製造方法
KR100703967B1 (ko) 2005-02-28 2007-04-05 삼성전자주식회사 씨모스 트랜지스터 및 그 제조 방법
JP2006253317A (ja) * 2005-03-09 2006-09-21 Fujitsu Ltd 半導体集積回路装置およびpチャネルMOSトランジスタ
US7282402B2 (en) * 2005-03-30 2007-10-16 Freescale Semiconductor, Inc. Method of making a dual strained channel semiconductor device
US7396724B2 (en) * 2005-03-31 2008-07-08 International Business Machines Corporation Dual-hybrid liner formation without exposing silicide layer to photoresist stripping chemicals
US7585704B2 (en) * 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7238990B2 (en) * 2005-04-06 2007-07-03 Freescale Semiconductor, Inc. Interlayer dielectric under stress for an integrated circuit
CN100392830C (zh) * 2005-04-08 2008-06-04 联华电子股份有限公司 制作金属氧化物半导体晶体管的方法
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US20060228843A1 (en) * 2005-04-12 2006-10-12 Alex Liu Method of fabricating semiconductor devices and method of adjusting lattice distance in device channel
FR2884968B1 (fr) * 2005-04-20 2007-09-21 St Microelectronics Sa Circuit electronique integre a etat electrique stabilise
DE102005020133B4 (de) * 2005-04-29 2012-03-29 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Transistorelements mit Technik zur Herstellung einer Kontaktisolationsschicht mit verbesserter Spannungsübertragungseffizienz
US7276755B2 (en) * 2005-05-02 2007-10-02 Advanced Micro Devices, Inc. Integrated circuit and method of manufacture
US7445978B2 (en) * 2005-05-04 2008-11-04 Chartered Semiconductor Manufacturing, Ltd Method to remove spacer after salicidation to enhance contact etch stop liner stress on MOS
JP2006324278A (ja) * 2005-05-17 2006-11-30 Sony Corp 半導体装置およびその製造方法
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
JP2006339398A (ja) * 2005-06-02 2006-12-14 Sony Corp 半導体装置の製造方法
JP4701850B2 (ja) * 2005-06-14 2011-06-15 ソニー株式会社 半導体装置およびその製造方法
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US8105908B2 (en) * 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
JP2007005627A (ja) * 2005-06-24 2007-01-11 Sony Corp 半導体装置の製造方法
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
DE102005030583B4 (de) * 2005-06-30 2010-09-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Kontaktisolationsschichten und Silizidgebieten mit unterschiedlichen Eigenschaften eines Halbleiterbauelements und Halbleiterbauelement
EP1908103B1 (en) * 2005-06-30 2011-01-05 Advanced Micro Devices, Inc. Technique for forming contact insulation layers silicide regions with different characteristics
GB2442174B (en) * 2005-06-30 2008-11-12 Advanced Micro Devices Inc Technique for forming contact insulation layers and silicide regions with different characteristics
US7060549B1 (en) * 2005-07-01 2006-06-13 Advanced Micro Devices, Inc. SRAM devices utilizing tensile-stressed strain films and methods for fabricating the same
JP4486056B2 (ja) * 2005-07-20 2010-06-23 パナソニック株式会社 半導体装置およびその製造方法
CN1901194A (zh) * 2005-07-20 2007-01-24 松下电器产业株式会社 半导体装置及其制造方法
US7244644B2 (en) * 2005-07-21 2007-07-17 International Business Machines Corporation Undercut and residual spacer prevention for dual stressed layers
US7589385B2 (en) * 2005-07-26 2009-09-15 United Microelectronics Corp. Semiconductor CMOS transistors and method of manufacturing the same
CN100407424C (zh) * 2005-08-04 2008-07-30 联华电子股份有限公司 互补式金属氧化物半导体晶体管元件及其制作方法
JP2007049092A (ja) * 2005-08-12 2007-02-22 Toshiba Corp Mos型半導体装置
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
JP4703324B2 (ja) * 2005-08-30 2011-06-15 株式会社東芝 半導体装置
DE102005041225B3 (de) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
JP4940682B2 (ja) * 2005-09-09 2012-05-30 富士通セミコンダクター株式会社 電界効果トランジスタおよびその製造方法
US7400031B2 (en) * 2005-09-19 2008-07-15 International Business Machines Corporation Asymmetrically stressed CMOS FinFET
JP4546371B2 (ja) * 2005-09-20 2010-09-15 パナソニック株式会社 半導体装置およびその製造方法
US20090045466A1 (en) * 2005-09-21 2009-02-19 Nec Corporation Semiconductor device
JP4618068B2 (ja) * 2005-09-21 2011-01-26 ソニー株式会社 半導体装置
WO2007036998A1 (ja) * 2005-09-28 2007-04-05 Fujitsu Limited 半導体装置及びその製造方法
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
DE102005046974B3 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Formung in unterschiedlichen Substratgebieten durch bilden einer Schicht mit verschieden modifizierter innerer Spannung und mit dem Verfahren hergestelltes Bauteil
US7772635B2 (en) * 2005-10-27 2010-08-10 Micron Technology, Inc. Non-volatile memory device with tensile strained silicon layer
US7615432B2 (en) 2005-11-02 2009-11-10 Samsung Electronics Co., Ltd. HDP/PECVD methods of fabricating stress nitride structures for field effect transistors
US7655511B2 (en) * 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7541234B2 (en) * 2005-11-03 2009-06-02 Samsung Electronics Co., Ltd. Methods of fabricating integrated circuit transistors by simultaneously removing a photoresist layer and a carbon-containing layer on different active areas
TWI338335B (en) * 2005-11-07 2011-03-01 Samsung Electronics Co Ltd Semiconductor devices and methods of manufacturing the same
US7420202B2 (en) * 2005-11-08 2008-09-02 Freescale Semiconductor, Inc. Electronic device including a transistor structure having an active region adjacent to a stressor layer and a process for forming the electronic device
US7785950B2 (en) 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
JP2007134577A (ja) * 2005-11-11 2007-05-31 Toshiba Corp 半導体装置
US20070108529A1 (en) 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained gate electrodes in semiconductor devices
US7709317B2 (en) * 2005-11-14 2010-05-04 International Business Machines Corporation Method to increase strain enhancement with spacerless FET and dual liner process
US7550356B2 (en) * 2005-11-14 2009-06-23 United Microelectronics Corp. Method of fabricating strained-silicon transistors
JP2007157924A (ja) * 2005-12-02 2007-06-21 Fujitsu Ltd 半導体装置および半導体装置の製造方法
JP4765598B2 (ja) * 2005-12-08 2011-09-07 ソニー株式会社 半導体装置の製造方法
US7511360B2 (en) * 2005-12-14 2009-03-31 Freescale Semiconductor, Inc. Semiconductor device having stressors and method for forming
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US8729635B2 (en) * 2006-01-18 2014-05-20 Macronix International Co., Ltd. Semiconductor device having a high stress material layer
JP2007200961A (ja) * 2006-01-24 2007-08-09 Sharp Corp 半導体装置およびその製造方法
JP4760414B2 (ja) * 2006-02-06 2011-08-31 ソニー株式会社 半導体装置の製造方法
WO2007091316A1 (ja) 2006-02-08 2007-08-16 Fujitsu Limited pチャネルMOSトランジスタおよび半導体集積回路装置
KR100714479B1 (ko) * 2006-02-13 2007-05-04 삼성전자주식회사 반도체 집적 회로 장치 및 그 제조 방법
CN100466207C (zh) * 2006-02-28 2009-03-04 联华电子股份有限公司 半导体晶体管元件及其制作方法
JP5262711B2 (ja) * 2006-03-29 2013-08-14 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7485517B2 (en) 2006-04-07 2009-02-03 United Microelectronics Corp. Fabricating method of semiconductor device
CN101060099B (zh) * 2006-04-21 2010-05-12 联华电子股份有限公司 半导体器件及其制造方法
US7528029B2 (en) * 2006-04-21 2009-05-05 Freescale Semiconductor, Inc. Stressor integration and method thereof
US7361539B2 (en) * 2006-05-16 2008-04-22 International Business Machines Corporation Dual stress liner
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7504336B2 (en) * 2006-05-19 2009-03-17 International Business Machines Corporation Methods for forming CMOS devices with intrinsically stressed metal silicide layers
KR100703986B1 (ko) * 2006-05-22 2007-04-09 삼성전자주식회사 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
US7374992B2 (en) * 2006-05-31 2008-05-20 Oimonda Ag Manufacturing method for an integrated semiconductor structure
US20070281405A1 (en) * 2006-06-02 2007-12-06 International Business Machines Corporation Methods of stressing transistor channel with replaced gate and related structures
KR100799887B1 (ko) * 2006-06-02 2008-01-31 인터내셔널 비지네스 머신즈 코포레이션 Pfet에서 붕소 확산도를 감소시키는 방법 및 장치
US20070278541A1 (en) * 2006-06-05 2007-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer engineering on CMOS devices
US7598540B2 (en) * 2006-06-13 2009-10-06 International Business Machines Corporation High performance CMOS devices comprising gapped dual stressors with dielectric gap fillers, and methods of fabricating the same
US7670928B2 (en) 2006-06-14 2010-03-02 Intel Corporation Ultra-thin oxide bonding for S1 to S1 dual orientation bonding
JP2008004577A (ja) * 2006-06-20 2008-01-10 Sony Corp 半導体装置
US20070296027A1 (en) * 2006-06-21 2007-12-27 International Business Machines Corporation Cmos devices comprising a continuous stressor layer with regions of opposite stresses, and methods of fabricating the same
JP5400378B2 (ja) 2006-06-30 2014-01-29 富士通セミコンダクター株式会社 半導体装置と半導体装置の製造方法
US7585720B2 (en) * 2006-07-05 2009-09-08 Toshiba America Electronic Components, Inc. Dual stress liner device and method
JP5190189B2 (ja) * 2006-08-09 2013-04-24 パナソニック株式会社 半導体装置及びその製造方法
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
KR100773352B1 (ko) * 2006-09-25 2007-11-05 삼성전자주식회사 스트레스 인가 모스 트랜지스터를 갖는 반도체소자의제조방법 및 그에 의해 제조된 반도체소자
KR100772901B1 (ko) * 2006-09-28 2007-11-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
WO2008041301A1 (fr) * 2006-09-29 2008-04-10 Fujitsu Microelectronics Limited DISPOSITIF SEMI-CONDUCTEUR ET Son procÉDÉ de FABRICATION
KR100827443B1 (ko) * 2006-10-11 2008-05-06 삼성전자주식회사 손상되지 않은 액티브 영역을 가진 반도체 소자 및 그 제조방법
JP2008103607A (ja) * 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7388267B1 (en) 2006-12-19 2008-06-17 International Business Machines Corporation Selective stress engineering for SRAM stability improvement
US7538339B2 (en) * 2006-12-22 2009-05-26 International Business Machines Corporation Scalable strained FET device and method of fabricating the same
US7521308B2 (en) * 2006-12-26 2009-04-21 International Business Machines Corporation Dual layer stress liner for MOSFETS
US7888197B2 (en) * 2007-01-11 2011-02-15 International Business Machines Corporation Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
US20080179638A1 (en) * 2007-01-31 2008-07-31 International Business Machines Corporation Gap fill for underlapped dual stress liners
JP2008192686A (ja) * 2007-02-01 2008-08-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
DE102007009901B4 (de) * 2007-02-28 2011-07-07 Globalfoundries Inc. Technik zum Strukturieren unterschiedlich verspannter Schichten, die über Transistoren ausgebildet sind, durch verbesserte Ätzsteuerungsstrategien
US7935588B2 (en) * 2007-03-06 2011-05-03 International Business Machines Corporation Enhanced transistor performance by non-conformal stressed layers
US20080246061A1 (en) * 2007-04-03 2008-10-09 United Microelectronics Corp. Stress layer structure
CN101330053B (zh) * 2007-06-18 2010-04-21 中芯国际集成电路制造(上海)有限公司 互补金属氧化物半导体器件应力层的形成方法
US20080315317A1 (en) * 2007-06-22 2008-12-25 Chartered Semiconductor Manufacturing Ltd. Semiconductor system having complementary strained channels
US20090014807A1 (en) * 2007-07-13 2009-01-15 Chartered Semiconductor Manufacturing, Ltd. Dual stress liners for integrated circuits
JP4994139B2 (ja) * 2007-07-18 2012-08-08 パナソニック株式会社 半導体装置及びその製造方法
JP2009027008A (ja) * 2007-07-20 2009-02-05 Panasonic Corp 半導体装置およびその製造方法
US7880243B2 (en) * 2007-08-07 2011-02-01 International Business Machines Corporation Simple low power circuit structure with metal gate and high-k dielectric
US20090039436A1 (en) * 2007-08-07 2009-02-12 Doris Bruce B High Performance Metal Gate CMOS with High-K Gate Dielectric
US7723798B2 (en) * 2007-08-07 2010-05-25 International Business Machines Corporation Low power circuit structure with metal gate and high-k dielectric
KR20090025756A (ko) * 2007-09-07 2009-03-11 주식회사 동부하이텍 모스 트랜지스터 및 그 제조 방법
US7932542B2 (en) * 2007-09-24 2011-04-26 Infineon Technologies Ag Method of fabricating an integrated circuit with stress enhancement
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
JP2009088421A (ja) * 2007-10-03 2009-04-23 Renesas Technology Corp 半導体装置の製造方法
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
DE102007063272B4 (de) * 2007-12-31 2012-08-30 Globalfoundries Inc. Dielektrisches Zwischenschichtmaterial in einem Halbleiterbauelement mit verspannten Schichten mit einem Zwischenpuffermaterial
US7727834B2 (en) * 2008-02-14 2010-06-01 Toshiba America Electronic Components, Inc. Contact configuration and method in dual-stress liner semiconductor device
JP2009200155A (ja) 2008-02-20 2009-09-03 Nec Electronics Corp 半導体装置及びその製造方法
DE102008011814B4 (de) * 2008-02-29 2012-04-26 Advanced Micro Devices, Inc. CMOS-Bauelement mit vergrabener isolierender Schicht und verformten Kanalgebieten sowie Verfahren zum Herstellen derselben
DE102008011928B4 (de) * 2008-02-29 2010-06-02 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Herstellen eines Halbleiterbauelements unter Verwendung einer Ätzstoppschicht mit geringerer Dicke zum Strukturieren eines dielektrischen Materials
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
DE102008016438B4 (de) * 2008-03-31 2011-03-03 Advanced Micro Devices, Inc., Sunnyvale Doppelabscheidung einer verspannungsinduzierenden Schicht mit dazwischenliegender Verspannungsrelaxation
US7820518B2 (en) * 2008-05-29 2010-10-26 Infineon Technologies Ag Transistor fabrication methods and structures thereof
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
JP4744576B2 (ja) * 2008-09-10 2011-08-10 パナソニック株式会社 半導体装置の製造方法
US7808051B2 (en) * 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
JP2010141281A (ja) * 2008-11-11 2010-06-24 Renesas Technology Corp 半導体装置およびその製造方法
WO2010082328A1 (ja) 2009-01-15 2010-07-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2010183022A (ja) * 2009-02-09 2010-08-19 Renesas Electronics Corp 半導体装置およびその製造方法
JP2010212388A (ja) * 2009-03-10 2010-09-24 Renesas Electronics Corp 半導体装置およびその製造方法
US8236709B2 (en) 2009-07-29 2012-08-07 International Business Machines Corporation Method of fabricating a device using low temperature anneal processes, a device and design structure
JP5420345B2 (ja) * 2009-08-14 2014-02-19 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20110042728A1 (en) * 2009-08-18 2011-02-24 International Business Machines Corporation Semiconductor device with enhanced stress by gates stress liner
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
KR101673018B1 (ko) * 2010-04-20 2016-11-07 삼성전자 주식회사 반도체 소자, 반도체 메모리 장치 및 이들의 제조 방법
JP5569173B2 (ja) 2010-06-18 2014-08-13 ソニー株式会社 半導体装置の製造方法及び半導体装置
US8445965B2 (en) * 2010-11-05 2013-05-21 International Business Machines Corporation Strained semiconductor devices and methods of fabricating strained semiconductor devices
JP5166507B2 (ja) * 2010-12-13 2013-03-21 株式会社東芝 半導体装置
CN102683281B (zh) * 2011-03-07 2015-07-08 中国科学院微电子研究所 一种半导体结构及其制造方法
JP5693380B2 (ja) 2011-05-30 2015-04-01 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR101817131B1 (ko) * 2012-03-19 2018-01-11 에스케이하이닉스 주식회사 게이트절연층 형성 방법 및 반도체장치 제조 방법
CN103325787B (zh) * 2012-03-21 2017-05-03 中国科学院微电子研究所 Cmos器件及其制造方法
JP5712985B2 (ja) * 2012-08-27 2015-05-07 ソニー株式会社 半導体装置
JP5712984B2 (ja) * 2012-08-27 2015-05-07 ソニー株式会社 半導体装置
CN103730416A (zh) * 2012-10-10 2014-04-16 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US8765590B2 (en) 2012-10-31 2014-07-01 International Business Machines Corporation Insulative cap for borderless self-aligning contact in semiconductor device
JP2013077828A (ja) * 2012-12-05 2013-04-25 Renesas Electronics Corp 半導体装置の製造方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10515905B1 (en) 2018-06-18 2019-12-24 Raytheon Company Semiconductor device with anti-deflection layers
DE102018121897A1 (de) * 2018-09-07 2020-03-12 Infineon Technologies Ag Halbleitervorrichtung mit einem silizium und stickstoff enthaltenden bereich und herstellungsverfahren
US10957798B2 (en) 2019-02-06 2021-03-23 International Business Machines Corporation Nanosheet transistors with transverse strained channel regions
KR102518610B1 (ko) * 2019-10-23 2023-04-05 미쓰비시덴키 가부시키가이샤 반도체 웨이퍼 및 그 제조 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5567642A (en) * 1994-11-08 1996-10-22 Hyundai Electronics Industries Co., Ltd. Method of fabricating gate electrode of CMOS device
KR20000003493A (ko) * 1998-06-29 2000-01-15 김영환 이중막 실리콘웨이퍼를 이용한 금속-산화막-반도체 전계효과트랜지스터 제조방법
US6046494A (en) * 1994-09-30 2000-04-04 Intel Corporation High tensile nitride layer
JP2002016337A (ja) * 2000-06-29 2002-01-18 Sony Corp プリント基板の配線構造チェックシステム

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5234850A (en) * 1990-09-04 1993-08-10 Industrial Technology Research Institute Method of fabricating a nitride capped MOSFET for integrated circuits
JPH04241453A (ja) * 1991-01-16 1992-08-28 Fujitsu Ltd 半導体装置及びその製造方法
JPH05326445A (ja) * 1992-05-20 1993-12-10 Matsushita Electron Corp 半導体装置の製造方法
JPH06232170A (ja) 1993-01-29 1994-08-19 Mitsubishi Electric Corp 電界効果トランジスタ及びその製造方法
JPH07135208A (ja) * 1993-11-10 1995-05-23 Sony Corp 絶縁膜の形成方法
JP3632256B2 (ja) * 1994-09-30 2005-03-23 株式会社デンソー 窒化シリコン膜を有する半導体装置の製造方法
JP3612144B2 (ja) * 1996-06-04 2005-01-19 株式会社ルネサステクノロジ 半導体装置の製造方法
JPH104145A (ja) * 1996-06-18 1998-01-06 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH11135727A (ja) * 1997-10-31 1999-05-21 Sony Corp 半導体装置およびその製造方法
JP3050193B2 (ja) * 1997-11-12 2000-06-12 日本電気株式会社 半導体装置及びその製造方法
US6048494A (en) * 1998-01-30 2000-04-11 Vlsi Technology, Inc. Autoclave with improved heating and access
JP3425079B2 (ja) * 1998-04-24 2003-07-07 三菱電機株式会社 半導体装置の製造方法
JP4258034B2 (ja) 1998-05-27 2009-04-30 ソニー株式会社 半導体装置及び半導体装置の製造方法
KR100265350B1 (ko) 1998-06-30 2000-09-15 김영환 매립절연층을 갖는 실리콘 기판에서의 반도체소자 제조방법
FR2781380B1 (fr) 1998-07-27 2000-09-15 Braun Celsa Sa Bague pour lier un tube souple deformable et une tige resistante a l'ecrasement, et ensemble medical muni d'une telle bague
JP3262162B2 (ja) * 1998-12-14 2002-03-04 日本電気株式会社 半導体装置
JP2000216377A (ja) * 1999-01-20 2000-08-04 Nec Corp 半導体装置の製造方法
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6876053B1 (en) * 1999-08-13 2005-04-05 Intel Corporation Isolation structure configurations for modifying stresses in semiconductor devices
JP2001244468A (ja) * 2000-03-02 2001-09-07 Sony Corp 半導体装置およびその製造方法
JP2001332723A (ja) * 2000-05-19 2001-11-30 Nec Corp 半導体装置の製造方法
JP2003086708A (ja) 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US7759142B1 (en) * 2008-12-31 2010-07-20 Intel Corporation Quantum well MOSFET channels having uni-axial strain caused by metal source/drains, and conformal regrowth source/drains
US8759232B2 (en) * 2012-08-17 2014-06-24 Globalfoundries Inc. Compressive stress transfer in an interlayer dielectric of a semiconductor device by providing a bi-layer of superior adhesion and internal stress

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6046494A (en) * 1994-09-30 2000-04-04 Intel Corporation High tensile nitride layer
US5567642A (en) * 1994-11-08 1996-10-22 Hyundai Electronics Industries Co., Ltd. Method of fabricating gate electrode of CMOS device
KR20000003493A (ko) * 1998-06-29 2000-01-15 김영환 이중막 실리콘웨이퍼를 이용한 금속-산화막-반도체 전계효과트랜지스터 제조방법
JP2002016337A (ja) * 2000-06-29 2002-01-18 Sony Corp プリント基板の配線構造チェックシステム

Also Published As

Publication number Publication date
KR100767950B1 (ko) 2007-10-18
US8963250B2 (en) 2015-02-24
US20150132904A1 (en) 2015-05-14
AU2001267880A1 (en) 2002-06-03
US7414293B2 (en) 2008-08-19
JP2008294457A (ja) 2008-12-04
US7705402B2 (en) 2010-04-27
MY135557A (en) 2008-05-30
US20040029323A1 (en) 2004-02-12
TW536726B (en) 2003-06-11
JP4597479B2 (ja) 2010-12-15
US9978869B2 (en) 2018-05-22
JPWO2002043151A1 (ja) 2004-04-02
JP4949329B2 (ja) 2012-06-06
US20090039427A1 (en) 2009-02-12
US9412669B2 (en) 2016-08-09
US7411253B2 (en) 2008-08-12
JP5311521B2 (ja) 2013-10-09
US20070023843A1 (en) 2007-02-01
US20070102768A1 (en) 2007-05-10
CN101465295A (zh) 2009-06-24
JP2008288606A (ja) 2008-11-27
US20200185523A1 (en) 2020-06-11
CN1449585A (zh) 2003-10-15
WO2002043151A1 (en) 2002-05-30
KR20070087135A (ko) 2007-08-27
US20160351713A1 (en) 2016-12-01
US7115954B2 (en) 2006-10-03
US20080303091A1 (en) 2008-12-11
JP4932795B2 (ja) 2012-05-16
JP2012124507A (ja) 2012-06-28
KR20030082538A (ko) 2003-10-22
US20180269323A1 (en) 2018-09-20

Similar Documents

Publication Publication Date Title
KR100784603B1 (ko) 반도체 장치 및 그 제조 방법
US8946034B2 (en) Strained semiconductor device and method of making the same
TWI446453B (zh) 受應力之場效電晶體以及其製造方法
US7052964B2 (en) Strained channel transistor and methods of manufacture
US7586153B2 (en) Technique for forming recessed strained drain/source regions in NMOS and PMOS transistors
US8159030B2 (en) Strained MOS device and methods for its fabrication
US20070158743A1 (en) Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7919379B2 (en) Dielectric spacer removal
US7879668B2 (en) Method of manufacturing a semiconductor device
US8084826B2 (en) Semiconductor device and manufacturing method thereof
JP2009181978A (ja) 半導体装置およびその製造方法
JP2010177690A (ja) 電気的にプログラムされたソース/ドレイン直列抵抗を有するmosトランジスタ
US20210257450A1 (en) Creation of stress in the channel of a nanosheet transistor

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121121

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20131118

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141120

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20161122

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20171120

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20181122

Year of fee payment: 12