JP4932795B2 - 半導体装置及びその製造方法 - Google Patents
半導体装置及びその製造方法 Download PDFInfo
- Publication number
- JP4932795B2 JP4932795B2 JP2008171181A JP2008171181A JP4932795B2 JP 4932795 B2 JP4932795 B2 JP 4932795B2 JP 2008171181 A JP2008171181 A JP 2008171181A JP 2008171181 A JP2008171181 A JP 2008171181A JP 4932795 B2 JP4932795 B2 JP 4932795B2
- Authority
- JP
- Japan
- Prior art keywords
- field effect
- conductivity type
- effect transistor
- type field
- film
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 239000004065 semiconductor Substances 0.000 title claims description 174
- 238000004519 manufacturing process Methods 0.000 title claims description 52
- 230000005669 field effect Effects 0.000 claims description 215
- 230000015572 biosynthetic process Effects 0.000 claims description 155
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 130
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 130
- 239000000758 substrate Substances 0.000 claims description 83
- 238000000034 method Methods 0.000 claims description 65
- 239000011229 interlayer Substances 0.000 claims description 31
- 238000005268 plasma chemical vapour deposition Methods 0.000 claims description 17
- 125000006850 spacer group Chemical group 0.000 claims description 16
- 238000005530 etching Methods 0.000 claims description 8
- 238000002230 thermal chemical vapour deposition Methods 0.000 claims description 4
- 239000007789 gas Substances 0.000 claims description 3
- 239000012535 impurity Substances 0.000 description 28
- 239000010410 layer Substances 0.000 description 28
- 230000008569 process Effects 0.000 description 26
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 21
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 21
- 229910052710 silicon Inorganic materials 0.000 description 21
- 229910052814 silicon oxide Inorganic materials 0.000 description 21
- 239000010703 silicon Substances 0.000 description 19
- 238000005468 ion implantation Methods 0.000 description 16
- 230000000694 effects Effects 0.000 description 14
- 238000002955 isolation Methods 0.000 description 9
- 239000000463 material Substances 0.000 description 9
- 229910021332 silicide Inorganic materials 0.000 description 9
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 9
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- 238000010438 heat treatment Methods 0.000 description 6
- 238000001259 photo etching Methods 0.000 description 6
- 229910052785 arsenic Inorganic materials 0.000 description 5
- 230000007423 decrease Effects 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- 230000001133 acceleration Effects 0.000 description 4
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 238000000576 coating method Methods 0.000 description 4
- 229910017052 cobalt Inorganic materials 0.000 description 4
- 239000010941 cobalt Substances 0.000 description 4
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 4
- 230000000295 complement effect Effects 0.000 description 4
- OKZIUSOJQLYFSE-UHFFFAOYSA-N difluoroboron Chemical compound F[B]F OKZIUSOJQLYFSE-UHFFFAOYSA-N 0.000 description 4
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 230000006835 compression Effects 0.000 description 3
- 238000007906 compression Methods 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- 229910019001 CoSi Inorganic materials 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 230000006378 damage Effects 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 238000002513 implantation Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 230000004913 activation Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 238000005192 partition Methods 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 239000002994 raw material Substances 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 230000003252 repetitive effect Effects 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 230000001629 suppression Effects 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7845—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823814—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/823842—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823864—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/84—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0922—Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7843—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
- H01L21/26586—Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/665—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66575—Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
- H01L29/6659—Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Ceramic Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- High Energy & Nuclear Physics (AREA)
- Materials Engineering (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Thin Film Transistor (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
- Semiconductor Memories (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Kinetics & Catalysis (AREA)
Description
(1)nチャネル導電型MISFETのドレイン電流は、圧縮応力で減少し、引っ張り応力で増加すること、
(2)pチャネル導電型MISFETのドレイン電流は、圧縮応力で増加し、引っ張り応力で減少することが知られている。
(1)半導体基板の一主面の第1の領域にチャネル形成領域が構成されたnチャネル導電型電界効果トランジスタと、前記半導体基板の一主面の第1の領域と異なる第2の領域にチャネル形成領域が構成されたpチャネル導電型電界効果トランジスタとを有する半導体装置であって、
前記nチャネル導電型電界効果トランジスタのチャネル形成領域に発生する内部応力は引っ張り応力であり、
前記pチャネル導電型電界効果トランジスタのチャネル形成領域に発生する内部応力は圧縮応力である。
(2)半導体基板の一主面の第1の領域にチャネル形成領域が構成されたnチャネル導電型電界効果トランジスタと、前記半導体基板の一主面の第1の領域と異なる第2の領域にチャネル形成領域が構成されたpチャネル導電型電界効果トランジスタとを有する半導体装置であって、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのチャネル形成領域に発生する内部応力が圧縮応力の場合、前記pチャネル導電型電界効果トランジスタのチャネル形成領域に発生する圧縮応力の方が前記nチャネル導電型電界効果トランジスタのチャネル形成領域に発生する圧縮応力よりも大きい。
(3)半導体基板の一主面の第1の領域にチャネル形成領域が構成されたnチャネル導電型電界効果トランジスタと、前記半導体基板の一主面の第1の領域と異なる第2の領域にチャネル形成領域が構成されたpチャネル導電型電界効果トランジスタとを有する半導体装置であって、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのチャネル形成領域に発生する内部応力が引っ張り応力の場合、前記nチャネル導電型電界効果トランジスタのチャネル形成領域に発生する引っ張り応力の方が前記pチャネル導電型電界効果トランジスタのチャネル形成領域に発生する引っ張り応力よりも大きい。
(4)半導体基板の一主面の第1の領域にチャネル形成領域が構成されたnチャネル導電型電界効果トランジスタと、前記半導体基板の一主面の第1の領域と異なる第2の領域にチャネル形成領域が構成されたpチャネル導電型電界効果トランジスタとを有する半導体装置であって、
前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる膜、及び前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる膜のうち、少なくとも一方の膜を有する。
(5)前記手段(4)に記載の半導体装置において、
前記膜は窒化シリコン系の膜である。窒化シリコン系の膜としては、LP−CVD(Low Pressure−Chemical Vapor Deposition:減圧気相化学成長)法で被膜された窒化シリコン(例えばSi3N4)膜、プラズマCVD法で被膜された窒化シリコン(例えばSi3N4)膜、及び枚葉熱CVD法で被膜された窒化シリコン(例えばSi3N4)膜等である。
(6)前記手段(4)に記載の半導体装置において、
前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる膜は、前記半導体基板の一主面上に前記nチャネル導電型電界効果トランジスタを覆うようにして形成された膜であり、
前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる膜は、前記半導体基板の一主面上に前記pチャネル導電型電界効果トランジスタを覆うようにして形成された膜である。
(7)前記手段(4)に記載の半導体装置において、
前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる膜は、前記nチャネル導電型電界効果トランジスタのゲート電極、又は前記ゲート電極の側壁に形成されたサイドウォールスペーサであり、
前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる膜は、前記pチャネル導電型電界効果トランジスタのゲート電極、又は前記ゲート電極の側壁に形成されたサイドウォールスペーサである。
(8)半導体基板の一主面の第1の領域にチャネル形成領域が構成されたnチャネル導電型電界効果トランジスタと、前記半導体基板の一主面の第1の領域と異なる第2の領域にチャネル形成領域が構成されたpチャネル導電型電界効果トランジスタとを有する半導体装置の製造方法であって、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを形成した後、前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる膜、及び前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる膜のうち、少なくとも一方の膜を形成する工程を含む。
(9)前記手段(8)に記載の半導体装置の製造方法において、
前記膜は窒化シリコン系の膜である。
(10)半導体基板の一主面の第1の領域にチャネル形成領域が構成されたnチャネル導電型電界効果トランジスタと、前記半導体基板の一主面の第1の領域と異なる第2の領域にチャネル形成領域が構成されたpチャネル導電型電界効果トランジスタとを有する半導体装置の製造方法であって、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを形成する工程と、
前記半導体基板の一主面の第1の領域上及び第2の領域上に、前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる絶縁膜を形成する工程と、
前記半導体基板の一主面の第2の領域上における前記絶縁膜に不純物を選択的に導入して、前記nチャネル導電型電界効果トランジスタのチャネル形成領域に発生する圧縮応力を緩和する工程とを含む。
(11)半導体基板の一主面の第1の領域にチャネル形成領域が構成されたnチャネル導電型電界効果トランジスタと、前記半導体基板の一主面の第1の領域と異なる第2の領域にチャネル形成領域が構成されたpチャネル導電型電界効果トランジスタとを有する半導体装置の製造方法であって、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを形成する工程と、
前記半導体基板の一主面の第1の領域上及び第2の領域上に、前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる絶縁膜を形成する工程と、
前記半導体基板の一主面の第1の領域上における前記絶縁膜に不純物を選択的に導入して、前記pチャネル導電型電界効果トランジスタのチャネル形成領域に発生する引っ張り応力を緩和する工程とを含む。
1)nチャネル導電型電界効果トランジスタのチャネル形成領域に対して引っ張り応力、pチャネル導電型電界効果トランジスタのチャネル形成領域に対して圧縮応力が働くように、半導体基板の一主面上に形成される膜の材料をnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタで変更する。
2)圧縮応力がnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタのチャネル形成領域に働く場合には、nチャネル導電型電界効果トランジスタのチャネル形成領域に働く圧縮応力がpチャネル導電型電界効果トランジスタのチャネル形成領域に働く圧縮応力よりも小さくなるように、半導体基板の一主面上に形成される膜の材料を変える。
3)引っ張り応力がnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタのチャネル形成領域に働く場合には、pチャネル導電型電界効果トランジスタのチャネル形成領域に働く引っ張り応力がnチャネル導電型電界効果トランジスタのチャネル形成領域に働く引っ張り応力よりも小さくなるように、半導体基板の一主面上に形成される膜の材料を変える。
本実施形態1では、電源電圧が1〜1.5V、ゲート長が0.1〜0.14μm程度の相補型MISFETを有する半導体装置に本発明を適用した例について説明する。
1)原料ガスを変える方法として、窒化シリコン膜13の形成にはSiH4とNH3とN2を使用し、窒化シリコン膜14の形成にはNH3を除いてSiH4とN2を使用する、
2)形成温度を変える方法として、窒化シリコン膜14の形成時よりも、窒化シリコン膜13の形成時の温度を高くする、
3)圧力を変える方法として、窒化シリコン膜14の形成時よりも、窒化シリコン膜13の形成時の圧力を高くする、
などである。むろん、前記いずれの組み合わせを複合させてもよい。要はいかに窒化シリコン膜13を引っ張り応力側に、窒化シリコン膜14を圧縮応力側にするかが重要である。
本実施形態2は、前記実施形態1の製造工程を簡略化することを狙ったものである。第4図は、本発明の実施形態2の半導体装置を製造するプロセスフロー((a),(b),(c)及び(d)は模式的断面図)である。
本実施形態3では、電源電圧が1〜1.5V、ゲート長が0.1〜0.14μm程度の相補型MISFETを有する半導体装置に本発明を適用した例について説明する。
本実施形態4は、本発明の実施形態1の半導体装置の製造方法の変形例である。これを第6図((a),(b)及び(c)は模式的断面図)を用いて説明する。
第7図は、本発明の実施形態5の半導体装置の概略構成を示す模式的断面図であり、図中23は、引っ張り応力をもつ塗布酸化膜(SOG(Spin On Glass)膜)である。
第8図は、本発明の実施形態6の半導体装置の概略構成を示す断面図であり、図中20は圧縮応力をもつ窒化シリコン膜からなるサイドウォールスペーサ、21は引っ張り応力をもつゲート電極、22は圧縮応力をもつゲート電極である。
第9図は、本発明の実施形態7の半導体装置の概略構成を示す模式的断面図である。本実施形態の半導体装置は、第9図に示すように、応力を制御する膜、構造を変えたものとして、前記実施形態1と同様に層間絶縁膜の一部としての窒化シリコン膜を適用するが、nチャネル導電型MISFETのゲート電極6上に引っ張り応力をもつ窒化シリコン膜13を、pチャネル導電型MISFETのゲート電極6上に圧縮応力をもつ窒化シリコン膜14を直接形成するのではなく、層間絶縁膜15の表面を平坦化した後に、nチャネル導電型MISFETのゲート電極6上における層間絶縁膜15上に引っ張り応力をもつ窒化シリコン膜24をpチャネル導電型MISFETのゲート電極6上における層間絶縁膜15上に圧縮応力をもつ窒化シリコン膜25を形成したものである。
第10図は、本発明の実施形態8の半導体装置の概略構成を示す模式的断面図である。
Claims (26)
- 半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置の製造方法であって、
(a)前記nチャネル導電型電界効果トランジスタの前記チャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に引張応力を発生させる第1の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
(b)前記(a)工程後に、前記nチャネル導電型電界効果トランジスタ上の前記第1の膜を残しつつ、前記pチャネル導電型電界効果トランジスタ上の前記第1の膜を除去する工程、
(c)前記(b)工程後に、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
を有することを特徴とする半導体装置の製造方法。 - 請求項1に記載の半導体装置の製造方法において、
前記第2の膜は自己整合コンタクト用絶縁膜であることを特徴とする半導体装置の製造方法。 - ゲート絶縁膜、ゲート電極、サイドウォールスペーサ、ソース領域およびドレイン領域を有し、その動作時に、前記ゲート絶縁膜を介した前記ゲート電極下の半導体基板にチャネルが形成されるチャネル形成領域を有するnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを含む半導体装置の製造方法であって、
(a)前記nチャネル導電型電界効果トランジスタの前記チャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に引張応力を発生させる第1の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
(b)前記(a)工程後に、前記nチャネル導電型電界効果トランジスタ上の前記第1の膜を残しつつ、前記pチャネル導電型電界効果トランジスタ上の前記第1の膜を除去する工程、
(c)前記(b)工程後に、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
(d)前記(c)工程後に、前記第2の膜上に層間絶縁膜を形成する工程、
(e)前記(d)工程後に、前記第2の膜をエッチングストッパとして、前記層間絶縁膜をエッチングすることで、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記ソース領域および前記ドレイン領域に接続するための複数のコンタクト孔を形成する工程、
を有することを特徴とする半導体装置の製造方法。 - 請求項1〜3のいずれか1項に記載の半導体装置の製造方法において、
前記第1の膜の膜厚は、前記第2の膜の膜厚よりも厚いことを特徴とする半導体装置の製造方法。 - 半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置の製造方法であって、
(a)前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
(b)前記(a)工程後に、前記pチャネル導電型電界効果トランジスタ上の前記第2の膜を残しつつ、前記nチャネル導電型電界効果トランジスタ上の前記第2の膜を除去する工程、
(c)前記(b)工程後に、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に引張応力を発生させる第1の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
を有することを特徴とする半導体装置の製造方法。 - 請求項5に記載の半導体装置の製造方法において、
前記第1の膜は自己整合コンタクト用絶縁膜であることを特徴とする半導体装置の製造方法。 - ゲート絶縁膜、ゲート電極、サイドウォールスペーサ、ソース領域およびドレイン領域を有し、その動作時に、前記ゲート絶縁膜を介した前記ゲート電極下の半導体基板にチャネルが形成されるチャネル形成領域を有するnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを含む半導体装置の製造方法であって、
(a)前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
(b)前記(a)工程後に、前記pチャネル導電型電界効果トランジスタ上の前記第2の膜を残しつつ、前記nチャネル導電型電界効果トランジスタ上の前記第2の膜を除去する工程、
(c)前記(b)工程後に、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に引張応力を発生させる第1の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
(d)前記(c)工程後に、前記第1の膜上に層間絶縁膜を形成する工程、
(e)前記(d)工程後に、前記第1の膜をエッチングストッパとして、前記層間絶縁膜をエッチングすることで、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記ソース領域および前記ドレイン領域に接続するための複数のコンタクト孔を形成する工程、
を有することを特徴とする半導体装置の製造方法。 - 請求項1〜7のいずれか1項に記載の半導体装置の製造方法において、
前記第1の膜は、窒化シリコン膜であることを特徴とする半導体装置の製造方法。 - 請求項1〜8のいずれか1項に記載の半導体装置の製造方法において、
前記第2の膜は、窒化シリコン膜であることを特徴とする半導体装置の製造方法。 - 請求項1〜9のいずれか1項に記載の半導体装置の製造方法において、
前記第1の膜及び前記第2の膜は、プラズマCVD法で形成することを特徴とする半導体装置の製造方法。 - 請求項10に記載の半導体装置の製造方法において、
前記第2の膜の形成時における高周波電力は、前記第1の膜の形成時における高周波電力よりも高いことを特徴とする半導体装置の製造方法。 - 請求項10または11のいずれか1項に記載の半導体装置の製造方法において、
前記第1の膜の形成に使用される原料ガスはSiH4とNH3とN2であり、
前記第2の膜の形成に使用される原料ガスはSiH4とN2であることを特徴とする半導体装置の製造方法。 - 請求項10〜12のいずれか1項に記載の半導体装置の製造方法において、
前記第1の膜の形成時の温度は、前記第2の膜の形成時の温度よりも高いことを特徴とする半導体装置の製造方法。 - 請求項10〜13のいずれか1項に記載の半導体装置の製造方法において、
前記第1の膜の形成時の圧力は、前記第2の膜の形成時の圧力よりも高いことを特徴とする半導体装置の製造方法。 - 請求項1〜9のいずれか1項に記載の半導体装置の製造方法において、
前記第1の膜は熱CVD法で形成し、
前記第2の膜はプラズマCVD法で形成することを特徴とする半導体装置の製造方法。 - 請求項1〜15のいずれか1項に記載の半導体装置の製造方法において、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記ゲート電極のゲート長方向における長さは、それぞれ0.1μm以下であることを特徴とする半導体装置の製造方法。 - 半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置であって、
前記nチャネル導電型電界効果トランジスタの前記チャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に引張応力を発生させる第1の膜が、前記nチャネル導電型電界効果トランジスタを覆うように、且つ、前記pチャネル導電型電界効果トランジスタを覆わないように被膜され、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜が、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように被膜されていることを特徴とする半導体装置。 - 請求項17に記載の半導体装置において、
前記第2の膜は自己整合コンタクト用絶縁膜であることを特徴とする半導体装置。 - 半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置であって、
前記nチャネル導電型電界効果トランジスタを覆い、且つ、前記pチャネル導電型電界効果トランジスタを覆わないように形成され、前記nチャネル導電型電界効果トランジスタの前記チャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に引張応力を発生させる第1の膜と、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成され、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜と、
前記第1の膜および前記第2の膜の上方に形成された層間絶縁膜と、
前記層間絶縁膜、前記第1の膜および前記第2の膜に形成され、且つ、前記nチャネル導電型電界効果トランジスタのソース領域およびドレイン領域に接続する複数の第1コンタクト孔と、
前記層間絶縁膜および前記第2の膜に形成され、且つ、前記pチャネル導電型電界効果トランジスタのソース領域およびドレイン領域に接続する複数の第2コンタクト孔と、
を有することを特徴とする半導体装置。 - 請求項17〜19のいずれか1項に記載の半導体装置において、
前記第1の膜の膜厚は、前記第2の膜の膜厚よりも厚いことを特徴とする半導体装置。 - 半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置であって、
前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜が、前記pチャネル導電型電界効果トランジスタを覆うように、且つ、前記nチャネル導電型電界効果トランジスタを覆わないように被膜され、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのチャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に引張応力を発生させる第1の膜が、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように被膜されていることを特徴とする半導体装置。 - 請求項21に記載の半導体装置において、
前記第1の膜は自己整合コンタクト用絶縁膜であることを特徴とする半導体装置。 - 半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置であって、
前記pチャネル導電型電界効果トランジスタを覆い、且つ、前記nチャネル導電型電界効果トランジスタを覆わないように形成され、前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜と、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成され、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に引張応力を発生させる第1の膜と、
前記第1の膜および前記第2の膜の上方に形成された層間絶縁膜と、
前記層間絶縁膜および前記第1の膜に形成され、且つ、前記nチャネル導電型電界効果トランジスタのソース領域およびドレイン領域に接続する複数の第1コンタクト孔と、
前記層間絶縁膜、前記第1の膜および前記第2の膜に形成され、且つ、前記pチャネル導電型電界効果トランジスタのソース領域およびドレイン領域に接続する複数の第2コンタクト孔と、
を有することを特徴とする半導体装置。 - 請求項17〜23のいずれか1項に記載の半導体装置において、
前記第1の膜は、窒化シリコン膜であることを特徴とする半導体装置。 - 請求項17〜24のいずれか1項に記載の半導体装置において、
前記第2の膜は、窒化シリコン膜であることを特徴とする半導体装置。 - 請求項17〜25のいずれか1項に記載の半導体装置において、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記ゲート電極のゲート長さは、それぞれ0.1μm以下であることを特徴とする半導体装置。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2008171181A JP4932795B2 (ja) | 2000-11-22 | 2008-06-30 | 半導体装置及びその製造方法 |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2000356497 | 2000-11-22 | ||
JP2000356497 | 2000-11-22 | ||
JP2008171181A JP4932795B2 (ja) | 2000-11-22 | 2008-06-30 | 半導体装置及びその製造方法 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2002544785A Division JP4597479B2 (ja) | 2000-11-22 | 2001-06-29 | 半導体装置及びその製造方法 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012013908A Division JP5311521B2 (ja) | 2000-11-22 | 2012-01-26 | 半導体装置の製造方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2008288606A JP2008288606A (ja) | 2008-11-27 |
JP4932795B2 true JP4932795B2 (ja) | 2012-05-16 |
Family
ID=18828740
Family Applications (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2002544785A Expired - Lifetime JP4597479B2 (ja) | 2000-11-22 | 2001-06-29 | 半導体装置及びその製造方法 |
JP2008171182A Expired - Lifetime JP4949329B2 (ja) | 2000-11-22 | 2008-06-30 | 半導体装置及びその製造方法 |
JP2008171181A Expired - Lifetime JP4932795B2 (ja) | 2000-11-22 | 2008-06-30 | 半導体装置及びその製造方法 |
JP2012013908A Expired - Lifetime JP5311521B2 (ja) | 2000-11-22 | 2012-01-26 | 半導体装置の製造方法 |
Family Applications Before (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2002544785A Expired - Lifetime JP4597479B2 (ja) | 2000-11-22 | 2001-06-29 | 半導体装置及びその製造方法 |
JP2008171182A Expired - Lifetime JP4949329B2 (ja) | 2000-11-22 | 2008-06-30 | 半導体装置及びその製造方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012013908A Expired - Lifetime JP5311521B2 (ja) | 2000-11-22 | 2012-01-26 | 半導体装置の製造方法 |
Country Status (8)
Country | Link |
---|---|
US (9) | US7115954B2 (ja) |
JP (4) | JP4597479B2 (ja) |
KR (2) | KR100784603B1 (ja) |
CN (2) | CN101465295A (ja) |
AU (1) | AU2001267880A1 (ja) |
MY (1) | MY135557A (ja) |
TW (1) | TW536726B (ja) |
WO (1) | WO2002043151A1 (ja) |
Families Citing this family (222)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2000183346A (ja) * | 1998-12-15 | 2000-06-30 | Toshiba Corp | 半導体装置及びその製造方法 |
JP4831885B2 (ja) | 2001-04-27 | 2011-12-07 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
JP2003060076A (ja) * | 2001-08-21 | 2003-02-28 | Nec Corp | 半導体装置及びその製造方法 |
JP2003179157A (ja) * | 2001-12-10 | 2003-06-27 | Nec Corp | Mos型半導体装置 |
US20030227057A1 (en) | 2002-06-07 | 2003-12-11 | Lochtefeld Anthony J. | Strained-semiconductor-on-insulator device structures |
US6995430B2 (en) * | 2002-06-07 | 2006-02-07 | Amberwave Systems Corporation | Strained-semiconductor-on-insulator device structures |
US7358121B2 (en) | 2002-08-23 | 2008-04-15 | Intel Corporation | Tri-gate devices and methods of fabrication |
JP4030383B2 (ja) * | 2002-08-26 | 2008-01-09 | 株式会社ルネサステクノロジ | 半導体装置およびその製造方法 |
JP4384988B2 (ja) * | 2002-11-25 | 2009-12-16 | インターナショナル・ビジネス・マシーンズ・コーポレーション | 歪みFinFETCMOSデバイス構造 |
US7388259B2 (en) | 2002-11-25 | 2008-06-17 | International Business Machines Corporation | Strained finFET CMOS device structures |
JP4406200B2 (ja) * | 2002-12-06 | 2010-01-27 | 株式会社東芝 | 半導体装置 |
US7001837B2 (en) * | 2003-01-17 | 2006-02-21 | Advanced Micro Devices, Inc. | Semiconductor with tensile strained substrate and method of making the same |
CN100437970C (zh) * | 2003-03-07 | 2008-11-26 | 琥珀波系统公司 | 一种结构及用于形成半导体结构的方法 |
JP2004317891A (ja) | 2003-04-17 | 2004-11-11 | Nec Saitama Ltd | カメラ付き携帯型電子機器 |
JP4557508B2 (ja) | 2003-06-16 | 2010-10-06 | パナソニック株式会社 | 半導体装置 |
US6909151B2 (en) | 2003-06-27 | 2005-06-21 | Intel Corporation | Nonplanar device with stress incorporation layer and method of fabrication |
US7303949B2 (en) | 2003-10-20 | 2007-12-04 | International Business Machines Corporation | High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture |
US8008724B2 (en) * | 2003-10-30 | 2011-08-30 | International Business Machines Corporation | Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers |
US7319258B2 (en) * | 2003-10-31 | 2008-01-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor-on-insulator chip with<100>-oriented transistors |
US7247534B2 (en) | 2003-11-19 | 2007-07-24 | International Business Machines Corporation | Silicon device on Si:C-OI and SGOI and method of manufacture |
US7105390B2 (en) | 2003-12-30 | 2006-09-12 | Intel Corporation | Nonplanar transistors with metal gate electrodes |
US7161169B2 (en) * | 2004-01-07 | 2007-01-09 | International Business Machines Corporation | Enhancement of electron and hole mobilities in <110> Si under biaxial compressive strain |
US7268058B2 (en) | 2004-01-16 | 2007-09-11 | Intel Corporation | Tri-gate transistors and methods to fabricate same |
US20050186722A1 (en) * | 2004-02-25 | 2005-08-25 | Kuan-Lun Cheng | Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions |
US7064396B2 (en) * | 2004-03-01 | 2006-06-20 | Freescale Semiconductor, Inc. | Integrated circuit with multiple spacer insulating region widths |
US6995456B2 (en) * | 2004-03-12 | 2006-02-07 | International Business Machines Corporation | High-performance CMOS SOI devices on hybrid crystal-oriented substrates |
KR101025761B1 (ko) * | 2004-03-30 | 2011-04-04 | 삼성전자주식회사 | 디지탈 회로 및 아날로그 회로를 가지는 반도체 집적회로및 그 제조 방법 |
CN1684246B (zh) * | 2004-03-30 | 2010-05-12 | 三星电子株式会社 | 低噪声和高性能电路以及制造方法 |
US7154118B2 (en) | 2004-03-31 | 2006-12-26 | Intel Corporation | Bulk non-planar transistor having strained enhanced mobility and methods of fabrication |
JP2005294360A (ja) * | 2004-03-31 | 2005-10-20 | Nec Electronics Corp | 半導体装置の製造方法 |
US7220630B2 (en) * | 2004-05-21 | 2007-05-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for selectively forming strained etch stop layers to improve FET charge carrier mobility |
US20050266632A1 (en) * | 2004-05-26 | 2005-12-01 | Yun-Hsiu Chen | Integrated circuit with strained and non-strained transistors, and method of forming thereof |
WO2005119760A1 (en) * | 2004-05-28 | 2005-12-15 | Advanced Micro Devices, Inc. | Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress |
DE102004026149B4 (de) * | 2004-05-28 | 2008-06-26 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Erzeugen eines Halbleiterbauelements mit Transistorelementen mit spannungsinduzierenden Ätzstoppschichten |
DE102004026142B3 (de) * | 2004-05-28 | 2006-02-09 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Steuern der mechanischen Spannung in einem Kanalgebiet durch das Entfernen von Abstandselementen und ein gemäß dem Verfahren gefertigtes Halbleiterbauelement |
US6984564B1 (en) * | 2004-06-24 | 2006-01-10 | International Business Machines Corporation | Structure and method to improve SRAM stability without increasing cell area or off current |
TWI463526B (zh) * | 2004-06-24 | 2014-12-01 | Ibm | 改良具應力矽之cmos元件的方法及以該方法製備而成的元件 |
JP4994581B2 (ja) * | 2004-06-29 | 2012-08-08 | 富士通セミコンダクター株式会社 | 半導体装置 |
US20050287747A1 (en) * | 2004-06-29 | 2005-12-29 | International Business Machines Corporation | Doped nitride film, doped oxide film and other doped films |
US7042009B2 (en) | 2004-06-30 | 2006-05-09 | Intel Corporation | High mobility tri-gate devices and methods of fabrication |
JP4444027B2 (ja) * | 2004-07-08 | 2010-03-31 | 富士通マイクロエレクトロニクス株式会社 | nチャネルMOSトランジスタおよびCMOS集積回路装置 |
JP2006041118A (ja) * | 2004-07-26 | 2006-02-09 | Toshiba Corp | 半導体装置及びその製造方法 |
US7402535B2 (en) * | 2004-07-28 | 2008-07-22 | Texas Instruments Incorporated | Method of incorporating stress into a transistor channel by use of a backside layer |
SG119256A1 (en) * | 2004-07-28 | 2006-02-28 | Taiwan Semiconductor Mfg | Semiconductor-on-insulator chip with <100> oriented transistors |
US7348284B2 (en) | 2004-08-10 | 2008-03-25 | Intel Corporation | Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow |
JP4794838B2 (ja) * | 2004-09-07 | 2011-10-19 | 富士通セミコンダクター株式会社 | 半導体装置およびその製造方法 |
US7332439B2 (en) | 2004-09-29 | 2008-02-19 | Intel Corporation | Metal gate transistors with epitaxial source and drain regions |
US7422946B2 (en) | 2004-09-29 | 2008-09-09 | Intel Corporation | Independently accessed double-gate and tri-gate transistors in same process flow |
US7361958B2 (en) | 2004-09-30 | 2008-04-22 | Intel Corporation | Nonplanar transistors with metal gate electrodes |
DE102004047631B4 (de) * | 2004-09-30 | 2010-02-04 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Ausbilden einer Halbleiterstruktur in Form eines Feldeffekttransistors mit einem verspannten Kanalgebiet und Halbleiterstruktur |
US20060079046A1 (en) * | 2004-10-12 | 2006-04-13 | International Business Machines Corporation | Method and structure for improving cmos device reliability using combinations of insulating materials |
US7098536B2 (en) * | 2004-10-21 | 2006-08-29 | International Business Machines Corporation | Structure for strained channel field effect transistor pair having a member and a contact via |
US20060086977A1 (en) | 2004-10-25 | 2006-04-27 | Uday Shah | Nonplanar device with thinned lower body portion and method of fabrication |
DE102004052578B4 (de) * | 2004-10-29 | 2009-11-26 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung |
JP4643223B2 (ja) * | 2004-10-29 | 2011-03-02 | 株式会社東芝 | 半導体装置 |
DE102004057762B4 (de) * | 2004-11-30 | 2010-11-11 | Advanced Micro Devices Inc., Sunnyvale | Verfahren zur Herstellung einer Halbleiterstruktur mit Ausbilden eines Feldeffekttransistors mit einem verspannten Kanalgebiet |
US7193254B2 (en) * | 2004-11-30 | 2007-03-20 | International Business Machines Corporation | Structure and method of applying stresses to PFET and NFET transistor channels for improved performance |
KR100613451B1 (ko) | 2004-12-02 | 2006-08-21 | 주식회사 하이닉스반도체 | 반도체 장치 및 그 제조방법 |
US7348635B2 (en) * | 2004-12-10 | 2008-03-25 | International Business Machines Corporation | Device having enhanced stress state and related methods |
US7306983B2 (en) * | 2004-12-10 | 2007-12-11 | International Business Machines Corporation | Method for forming dual etch stop liner and protective layer in a semiconductor device |
US7262087B2 (en) | 2004-12-14 | 2007-08-28 | International Business Machines Corporation | Dual stressed SOI substrates |
US7195969B2 (en) * | 2004-12-31 | 2007-03-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Strained channel CMOS device with fully silicided gate electrode |
KR100702006B1 (ko) * | 2005-01-03 | 2007-03-30 | 삼성전자주식회사 | 개선된 캐리어 이동도를 갖는 반도체 소자의 제조방법 |
US7271442B2 (en) * | 2005-01-12 | 2007-09-18 | International Business Machines Corporation | Transistor structure having stressed regions of opposite types underlying channel and source/drain regions |
US7193279B2 (en) | 2005-01-18 | 2007-03-20 | Intel Corporation | Non-planar MOS structure with a strained channel region |
US7432553B2 (en) * | 2005-01-19 | 2008-10-07 | International Business Machines Corporation | Structure and method to optimize strain in CMOSFETs |
JP4453572B2 (ja) * | 2005-02-22 | 2010-04-21 | ソニー株式会社 | 半導体集積回路の製造方法 |
US7518196B2 (en) | 2005-02-23 | 2009-04-14 | Intel Corporation | Field effect transistor with narrow bandgap source and drain regions and method of fabrication |
JP4361886B2 (ja) * | 2005-02-24 | 2009-11-11 | 富士通マイクロエレクトロニクス株式会社 | 半導体集積回路装置およびその製造方法 |
KR100703967B1 (ko) * | 2005-02-28 | 2007-04-05 | 삼성전자주식회사 | 씨모스 트랜지스터 및 그 제조 방법 |
JP2006253317A (ja) * | 2005-03-09 | 2006-09-21 | Fujitsu Ltd | 半導体集積回路装置およびpチャネルMOSトランジスタ |
US7282402B2 (en) * | 2005-03-30 | 2007-10-16 | Freescale Semiconductor, Inc. | Method of making a dual strained channel semiconductor device |
US7396724B2 (en) * | 2005-03-31 | 2008-07-08 | International Business Machines Corporation | Dual-hybrid liner formation without exposing silicide layer to photoresist stripping chemicals |
US7585704B2 (en) * | 2005-04-01 | 2009-09-08 | International Business Machines Corporation | Method of producing highly strained PECVD silicon nitride thin films at low temperature |
US7238990B2 (en) * | 2005-04-06 | 2007-07-03 | Freescale Semiconductor, Inc. | Interlayer dielectric under stress for an integrated circuit |
CN100392830C (zh) * | 2005-04-08 | 2008-06-04 | 联华电子股份有限公司 | 制作金属氧化物半导体晶体管的方法 |
US20060228843A1 (en) * | 2005-04-12 | 2006-10-12 | Alex Liu | Method of fabricating semiconductor devices and method of adjusting lattice distance in device channel |
US7545004B2 (en) * | 2005-04-12 | 2009-06-09 | International Business Machines Corporation | Method and structure for forming strained devices |
FR2884968B1 (fr) * | 2005-04-20 | 2007-09-21 | St Microelectronics Sa | Circuit electronique integre a etat electrique stabilise |
DE102005020133B4 (de) * | 2005-04-29 | 2012-03-29 | Advanced Micro Devices, Inc. | Verfahren zur Herstellung eines Transistorelements mit Technik zur Herstellung einer Kontaktisolationsschicht mit verbesserter Spannungsübertragungseffizienz |
US7276755B2 (en) * | 2005-05-02 | 2007-10-02 | Advanced Micro Devices, Inc. | Integrated circuit and method of manufacture |
US7445978B2 (en) * | 2005-05-04 | 2008-11-04 | Chartered Semiconductor Manufacturing, Ltd | Method to remove spacer after salicidation to enhance contact etch stop liner stress on MOS |
JP2006324278A (ja) * | 2005-05-17 | 2006-11-30 | Sony Corp | 半導体装置およびその製造方法 |
US7732342B2 (en) * | 2005-05-26 | 2010-06-08 | Applied Materials, Inc. | Method to increase the compressive stress of PECVD silicon nitride films |
US7566655B2 (en) * | 2005-05-26 | 2009-07-28 | Applied Materials, Inc. | Integration process for fabricating stressed transistor structure |
US8138104B2 (en) * | 2005-05-26 | 2012-03-20 | Applied Materials, Inc. | Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure |
US8129290B2 (en) * | 2005-05-26 | 2012-03-06 | Applied Materials, Inc. | Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure |
JP2006339398A (ja) * | 2005-06-02 | 2006-12-14 | Sony Corp | 半導体装置の製造方法 |
JP4701850B2 (ja) * | 2005-06-14 | 2011-06-15 | ソニー株式会社 | 半導体装置およびその製造方法 |
US7858481B2 (en) | 2005-06-15 | 2010-12-28 | Intel Corporation | Method for fabricating transistor with thinned channel |
US8105908B2 (en) * | 2005-06-23 | 2012-01-31 | Applied Materials, Inc. | Methods for forming a transistor and modulating channel stress |
JP2007005627A (ja) * | 2005-06-24 | 2007-01-11 | Sony Corp | 半導体装置の製造方法 |
US7279375B2 (en) | 2005-06-30 | 2007-10-09 | Intel Corporation | Block contact architectures for nanoscale channel transistors |
DE102005030583B4 (de) * | 2005-06-30 | 2010-09-30 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung von Kontaktisolationsschichten und Silizidgebieten mit unterschiedlichen Eigenschaften eines Halbleiterbauelements und Halbleiterbauelement |
GB2442174B (en) * | 2005-06-30 | 2008-11-12 | Advanced Micro Devices Inc | Technique for forming contact insulation layers and silicide regions with different characteristics |
WO2007005136A1 (en) * | 2005-06-30 | 2007-01-11 | Advanced Micro Devices, Inc. | Technique for forming contact insulation layers silicide regions with different characteristics |
US7060549B1 (en) * | 2005-07-01 | 2006-06-13 | Advanced Micro Devices, Inc. | SRAM devices utilizing tensile-stressed strain films and methods for fabricating the same |
JP4486056B2 (ja) * | 2005-07-20 | 2010-06-23 | パナソニック株式会社 | 半導体装置およびその製造方法 |
CN1901194A (zh) * | 2005-07-20 | 2007-01-24 | 松下电器产业株式会社 | 半导体装置及其制造方法 |
US7244644B2 (en) * | 2005-07-21 | 2007-07-17 | International Business Machines Corporation | Undercut and residual spacer prevention for dual stressed layers |
US7589385B2 (en) * | 2005-07-26 | 2009-09-15 | United Microelectronics Corp. | Semiconductor CMOS transistors and method of manufacturing the same |
CN100407424C (zh) * | 2005-08-04 | 2008-07-30 | 联华电子股份有限公司 | 互补式金属氧化物半导体晶体管元件及其制作方法 |
JP2007049092A (ja) * | 2005-08-12 | 2007-02-22 | Toshiba Corp | Mos型半導体装置 |
US7402875B2 (en) | 2005-08-17 | 2008-07-22 | Intel Corporation | Lateral undercut of metal gate in SOI device |
JP4703324B2 (ja) | 2005-08-30 | 2011-06-15 | 株式会社東芝 | 半導体装置 |
DE102005041225B3 (de) * | 2005-08-31 | 2007-04-26 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren |
JP4940682B2 (ja) * | 2005-09-09 | 2012-05-30 | 富士通セミコンダクター株式会社 | 電界効果トランジスタおよびその製造方法 |
US7400031B2 (en) * | 2005-09-19 | 2008-07-15 | International Business Machines Corporation | Asymmetrically stressed CMOS FinFET |
JP4546371B2 (ja) * | 2005-09-20 | 2010-09-15 | パナソニック株式会社 | 半導体装置およびその製造方法 |
JP5109660B2 (ja) * | 2005-09-21 | 2012-12-26 | 日本電気株式会社 | 半導体装置 |
JP4618068B2 (ja) * | 2005-09-21 | 2011-01-26 | ソニー株式会社 | 半導体装置 |
US20070090416A1 (en) | 2005-09-28 | 2007-04-26 | Doyle Brian S | CMOS devices with a single work function gate electrode and method of fabrication |
WO2007036998A1 (ja) * | 2005-09-28 | 2007-04-05 | Fujitsu Limited | 半導体装置及びその製造方法 |
DE102005046974B3 (de) * | 2005-09-30 | 2007-04-05 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Erzeugen einer unterschiedlichen mechanischen Formung in unterschiedlichen Substratgebieten durch bilden einer Schicht mit verschieden modifizierter innerer Spannung und mit dem Verfahren hergestelltes Bauteil |
US7772635B2 (en) * | 2005-10-27 | 2010-08-10 | Micron Technology, Inc. | Non-volatile memory device with tensile strained silicon layer |
US7615432B2 (en) * | 2005-11-02 | 2009-11-10 | Samsung Electronics Co., Ltd. | HDP/PECVD methods of fabricating stress nitride structures for field effect transistors |
US7541234B2 (en) * | 2005-11-03 | 2009-06-02 | Samsung Electronics Co., Ltd. | Methods of fabricating integrated circuit transistors by simultaneously removing a photoresist layer and a carbon-containing layer on different active areas |
US7655511B2 (en) * | 2005-11-03 | 2010-02-02 | International Business Machines Corporation | Gate electrode stress control for finFET performance enhancement |
JP5209196B2 (ja) * | 2005-11-07 | 2013-06-12 | 三星電子株式会社 | 半導体装置の製造方法 |
US7420202B2 (en) * | 2005-11-08 | 2008-09-02 | Freescale Semiconductor, Inc. | Electronic device including a transistor structure having an active region adjacent to a stressor layer and a process for forming the electronic device |
US7785950B2 (en) * | 2005-11-10 | 2010-08-31 | International Business Machines Corporation | Dual stress memory technique method and related structure |
JP2007134577A (ja) * | 2005-11-11 | 2007-05-31 | Toshiba Corp | 半導体装置 |
US7709317B2 (en) * | 2005-11-14 | 2010-05-04 | International Business Machines Corporation | Method to increase strain enhancement with spacerless FET and dual liner process |
US20070108529A1 (en) * | 2005-11-14 | 2007-05-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained gate electrodes in semiconductor devices |
US7550356B2 (en) * | 2005-11-14 | 2009-06-23 | United Microelectronics Corp. | Method of fabricating strained-silicon transistors |
JP2007157924A (ja) * | 2005-12-02 | 2007-06-21 | Fujitsu Ltd | 半導体装置および半導体装置の製造方法 |
JP4765598B2 (ja) * | 2005-12-08 | 2011-09-07 | ソニー株式会社 | 半導体装置の製造方法 |
US7511360B2 (en) * | 2005-12-14 | 2009-03-31 | Freescale Semiconductor, Inc. | Semiconductor device having stressors and method for forming |
US7635620B2 (en) | 2006-01-10 | 2009-12-22 | International Business Machines Corporation | Semiconductor device structure having enhanced performance FET device |
US20070158743A1 (en) * | 2006-01-11 | 2007-07-12 | International Business Machines Corporation | Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners |
US8729635B2 (en) * | 2006-01-18 | 2014-05-20 | Macronix International Co., Ltd. | Semiconductor device having a high stress material layer |
JP2007200961A (ja) * | 2006-01-24 | 2007-08-09 | Sharp Corp | 半導体装置およびその製造方法 |
JP4760414B2 (ja) * | 2006-02-06 | 2011-08-31 | ソニー株式会社 | 半導体装置の製造方法 |
WO2007091316A1 (ja) | 2006-02-08 | 2007-08-16 | Fujitsu Limited | pチャネルMOSトランジスタおよび半導体集積回路装置 |
KR100714479B1 (ko) * | 2006-02-13 | 2007-05-04 | 삼성전자주식회사 | 반도체 집적 회로 장치 및 그 제조 방법 |
CN100466207C (zh) * | 2006-02-28 | 2009-03-04 | 联华电子股份有限公司 | 半导体晶体管元件及其制作方法 |
JP5262711B2 (ja) * | 2006-03-29 | 2013-08-14 | 富士通セミコンダクター株式会社 | 半導体装置及びその製造方法 |
US7485517B2 (en) | 2006-04-07 | 2009-02-03 | United Microelectronics Corp. | Fabricating method of semiconductor device |
CN101060099B (zh) * | 2006-04-21 | 2010-05-12 | 联华电子股份有限公司 | 半导体器件及其制造方法 |
US7528029B2 (en) * | 2006-04-21 | 2009-05-05 | Freescale Semiconductor, Inc. | Stressor integration and method thereof |
US7361539B2 (en) * | 2006-05-16 | 2008-04-22 | International Business Machines Corporation | Dual stress liner |
US7504336B2 (en) * | 2006-05-19 | 2009-03-17 | International Business Machines Corporation | Methods for forming CMOS devices with intrinsically stressed metal silicide layers |
US7514370B2 (en) * | 2006-05-19 | 2009-04-07 | International Business Machines Corporation | Compressive nitride film and method of manufacturing thereof |
KR100703986B1 (ko) * | 2006-05-22 | 2007-04-09 | 삼성전자주식회사 | 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법 |
US7374992B2 (en) * | 2006-05-31 | 2008-05-20 | Oimonda Ag | Manufacturing method for an integrated semiconductor structure |
US20070281405A1 (en) * | 2006-06-02 | 2007-12-06 | International Business Machines Corporation | Methods of stressing transistor channel with replaced gate and related structures |
KR100799887B1 (ko) * | 2006-06-02 | 2008-01-31 | 인터내셔널 비지네스 머신즈 코포레이션 | Pfet에서 붕소 확산도를 감소시키는 방법 및 장치 |
US20070278541A1 (en) * | 2006-06-05 | 2007-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Spacer engineering on CMOS devices |
US7598540B2 (en) * | 2006-06-13 | 2009-10-06 | International Business Machines Corporation | High performance CMOS devices comprising gapped dual stressors with dielectric gap fillers, and methods of fabricating the same |
US7670928B2 (en) | 2006-06-14 | 2010-03-02 | Intel Corporation | Ultra-thin oxide bonding for S1 to S1 dual orientation bonding |
JP2008004577A (ja) * | 2006-06-20 | 2008-01-10 | Sony Corp | 半導体装置 |
US20070296027A1 (en) * | 2006-06-21 | 2007-12-27 | International Business Machines Corporation | Cmos devices comprising a continuous stressor layer with regions of opposite stresses, and methods of fabricating the same |
WO2008001458A1 (en) | 2006-06-30 | 2008-01-03 | Fujitsu Microelectronics Limited | Semiconductor device and semiconductor manufacturing method |
US7585720B2 (en) * | 2006-07-05 | 2009-09-08 | Toshiba America Electronic Components, Inc. | Dual stress liner device and method |
JP5190189B2 (ja) * | 2006-08-09 | 2013-04-24 | パナソニック株式会社 | 半導体装置及びその製造方法 |
US7790540B2 (en) | 2006-08-25 | 2010-09-07 | International Business Machines Corporation | Structure and method to use low k stress liner to reduce parasitic capacitance |
US7462522B2 (en) * | 2006-08-30 | 2008-12-09 | International Business Machines Corporation | Method and structure for improving device performance variation in dual stress liner technology |
KR100773352B1 (ko) * | 2006-09-25 | 2007-11-05 | 삼성전자주식회사 | 스트레스 인가 모스 트랜지스터를 갖는 반도체소자의제조방법 및 그에 의해 제조된 반도체소자 |
KR100772901B1 (ko) * | 2006-09-28 | 2007-11-05 | 삼성전자주식회사 | 반도체 소자 및 이의 제조 방법 |
KR101008277B1 (ko) | 2006-09-29 | 2011-01-14 | 후지쯔 세미컨덕터 가부시키가이샤 | 반도체 장치 및 그 제조 방법 |
KR100827443B1 (ko) * | 2006-10-11 | 2008-05-06 | 삼성전자주식회사 | 손상되지 않은 액티브 영역을 가진 반도체 소자 및 그 제조방법 |
JP2008103607A (ja) * | 2006-10-20 | 2008-05-01 | Matsushita Electric Ind Co Ltd | 半導体装置及びその製造方法 |
US7388267B1 (en) | 2006-12-19 | 2008-06-17 | International Business Machines Corporation | Selective stress engineering for SRAM stability improvement |
US7538339B2 (en) * | 2006-12-22 | 2009-05-26 | International Business Machines Corporation | Scalable strained FET device and method of fabricating the same |
US7521308B2 (en) * | 2006-12-26 | 2009-04-21 | International Business Machines Corporation | Dual layer stress liner for MOSFETS |
US7888197B2 (en) * | 2007-01-11 | 2011-02-15 | International Business Machines Corporation | Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer |
US8558278B2 (en) * | 2007-01-16 | 2013-10-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained transistor with optimized drive current and method of forming |
US20080179638A1 (en) * | 2007-01-31 | 2008-07-31 | International Business Machines Corporation | Gap fill for underlapped dual stress liners |
JP2008192686A (ja) * | 2007-02-01 | 2008-08-21 | Matsushita Electric Ind Co Ltd | 半導体装置及びその製造方法 |
DE102007009901B4 (de) * | 2007-02-28 | 2011-07-07 | Globalfoundries Inc. | Technik zum Strukturieren unterschiedlich verspannter Schichten, die über Transistoren ausgebildet sind, durch verbesserte Ätzsteuerungsstrategien |
US7935588B2 (en) * | 2007-03-06 | 2011-05-03 | International Business Machines Corporation | Enhanced transistor performance by non-conformal stressed layers |
US20080246061A1 (en) * | 2007-04-03 | 2008-10-09 | United Microelectronics Corp. | Stress layer structure |
CN101330053B (zh) * | 2007-06-18 | 2010-04-21 | 中芯国际集成电路制造(上海)有限公司 | 互补金属氧化物半导体器件应力层的形成方法 |
US20080315317A1 (en) * | 2007-06-22 | 2008-12-25 | Chartered Semiconductor Manufacturing Ltd. | Semiconductor system having complementary strained channels |
US20090014807A1 (en) * | 2007-07-13 | 2009-01-15 | Chartered Semiconductor Manufacturing, Ltd. | Dual stress liners for integrated circuits |
JP4994139B2 (ja) * | 2007-07-18 | 2012-08-08 | パナソニック株式会社 | 半導体装置及びその製造方法 |
JP2009027008A (ja) * | 2007-07-20 | 2009-02-05 | Panasonic Corp | 半導体装置およびその製造方法 |
US7723798B2 (en) * | 2007-08-07 | 2010-05-25 | International Business Machines Corporation | Low power circuit structure with metal gate and high-k dielectric |
US7880243B2 (en) * | 2007-08-07 | 2011-02-01 | International Business Machines Corporation | Simple low power circuit structure with metal gate and high-k dielectric |
US20090039436A1 (en) * | 2007-08-07 | 2009-02-12 | Doris Bruce B | High Performance Metal Gate CMOS with High-K Gate Dielectric |
KR20090025756A (ko) * | 2007-09-07 | 2009-03-11 | 주식회사 동부하이텍 | 모스 트랜지스터 및 그 제조 방법 |
US7932542B2 (en) * | 2007-09-24 | 2011-04-26 | Infineon Technologies Ag | Method of fabricating an integrated circuit with stress enhancement |
US8115254B2 (en) | 2007-09-25 | 2012-02-14 | International Business Machines Corporation | Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same |
JP2009088421A (ja) * | 2007-10-03 | 2009-04-23 | Renesas Technology Corp | 半導体装置の製造方法 |
US8492846B2 (en) | 2007-11-15 | 2013-07-23 | International Business Machines Corporation | Stress-generating shallow trench isolation structure having dual composition |
DE102007063272B4 (de) * | 2007-12-31 | 2012-08-30 | Globalfoundries Inc. | Dielektrisches Zwischenschichtmaterial in einem Halbleiterbauelement mit verspannten Schichten mit einem Zwischenpuffermaterial |
US7727834B2 (en) * | 2008-02-14 | 2010-06-01 | Toshiba America Electronic Components, Inc. | Contact configuration and method in dual-stress liner semiconductor device |
JP2009200155A (ja) | 2008-02-20 | 2009-09-03 | Nec Electronics Corp | 半導体装置及びその製造方法 |
DE102008011928B4 (de) * | 2008-02-29 | 2010-06-02 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Herstellen eines Halbleiterbauelements unter Verwendung einer Ätzstoppschicht mit geringerer Dicke zum Strukturieren eines dielektrischen Materials |
DE102008011814B4 (de) * | 2008-02-29 | 2012-04-26 | Advanced Micro Devices, Inc. | CMOS-Bauelement mit vergrabener isolierender Schicht und verformten Kanalgebieten sowie Verfahren zum Herstellen derselben |
US7943961B2 (en) * | 2008-03-13 | 2011-05-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strain bars in stressed layers of MOS devices |
DE102008016438B4 (de) * | 2008-03-31 | 2011-03-03 | Advanced Micro Devices, Inc., Sunnyvale | Doppelabscheidung einer verspannungsinduzierenden Schicht mit dazwischenliegender Verspannungsrelaxation |
US7820518B2 (en) * | 2008-05-29 | 2010-10-26 | Infineon Technologies Ag | Transistor fabrication methods and structures thereof |
US8362566B2 (en) | 2008-06-23 | 2013-01-29 | Intel Corporation | Stress in trigate devices using complimentary gate fill materials |
JP4744576B2 (ja) * | 2008-09-10 | 2011-08-10 | パナソニック株式会社 | 半導体装置の製造方法 |
US7808051B2 (en) * | 2008-09-29 | 2010-10-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Standard cell without OD space effect in Y-direction |
JP2010141281A (ja) * | 2008-11-11 | 2010-06-24 | Renesas Technology Corp | 半導体装置およびその製造方法 |
WO2010082328A1 (ja) | 2009-01-15 | 2010-07-22 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
JP2010183022A (ja) * | 2009-02-09 | 2010-08-19 | Renesas Electronics Corp | 半導体装置およびその製造方法 |
JP2010212388A (ja) * | 2009-03-10 | 2010-09-24 | Renesas Electronics Corp | 半導体装置およびその製造方法 |
US8236709B2 (en) * | 2009-07-29 | 2012-08-07 | International Business Machines Corporation | Method of fabricating a device using low temperature anneal processes, a device and design structure |
JP5420345B2 (ja) * | 2009-08-14 | 2014-02-19 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US20110042728A1 (en) * | 2009-08-18 | 2011-02-24 | International Business Machines Corporation | Semiconductor device with enhanced stress by gates stress liner |
US8598006B2 (en) * | 2010-03-16 | 2013-12-03 | International Business Machines Corporation | Strain preserving ion implantation methods |
KR101673018B1 (ko) * | 2010-04-20 | 2016-11-07 | 삼성전자 주식회사 | 반도체 소자, 반도체 메모리 장치 및 이들의 제조 방법 |
JP5569173B2 (ja) | 2010-06-18 | 2014-08-13 | ソニー株式会社 | 半導体装置の製造方法及び半導体装置 |
US8445965B2 (en) * | 2010-11-05 | 2013-05-21 | International Business Machines Corporation | Strained semiconductor devices and methods of fabricating strained semiconductor devices |
JP5166507B2 (ja) * | 2010-12-13 | 2013-03-21 | 株式会社東芝 | 半導体装置 |
CN102683281B (zh) * | 2011-03-07 | 2015-07-08 | 中国科学院微电子研究所 | 一种半导体结构及其制造方法 |
JP5693380B2 (ja) | 2011-05-30 | 2015-04-01 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
KR101817131B1 (ko) * | 2012-03-19 | 2018-01-11 | 에스케이하이닉스 주식회사 | 게이트절연층 형성 방법 및 반도체장치 제조 방법 |
CN103325787B (zh) * | 2012-03-21 | 2017-05-03 | 中国科学院微电子研究所 | Cmos器件及其制造方法 |
JP5712984B2 (ja) * | 2012-08-27 | 2015-05-07 | ソニー株式会社 | 半導体装置 |
JP5712985B2 (ja) * | 2012-08-27 | 2015-05-07 | ソニー株式会社 | 半導体装置 |
CN103730416A (zh) * | 2012-10-10 | 2014-04-16 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制造方法 |
US8765590B2 (en) | 2012-10-31 | 2014-07-01 | International Business Machines Corporation | Insulative cap for borderless self-aligning contact in semiconductor device |
JP2013077828A (ja) * | 2012-12-05 | 2013-04-25 | Renesas Electronics Corp | 半導体装置の製造方法 |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US10515905B1 (en) | 2018-06-18 | 2019-12-24 | Raytheon Company | Semiconductor device with anti-deflection layers |
DE102018121897A1 (de) * | 2018-09-07 | 2020-03-12 | Infineon Technologies Ag | Halbleitervorrichtung mit einem silizium und stickstoff enthaltenden bereich und herstellungsverfahren |
US10957798B2 (en) | 2019-02-06 | 2021-03-23 | International Business Machines Corporation | Nanosheet transistors with transverse strained channel regions |
US20220290327A1 (en) * | 2019-10-23 | 2022-09-15 | Mitsubishi Electric Corporation | Semiconductor wafer and method for manufacturing same |
Family Cites Families (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5234850A (en) * | 1990-09-04 | 1993-08-10 | Industrial Technology Research Institute | Method of fabricating a nitride capped MOSFET for integrated circuits |
JPH04241453A (ja) * | 1991-01-16 | 1992-08-28 | Fujitsu Ltd | 半導体装置及びその製造方法 |
JPH05326445A (ja) * | 1992-05-20 | 1993-12-10 | Matsushita Electron Corp | 半導体装置の製造方法 |
JPH06232170A (ja) | 1993-01-29 | 1994-08-19 | Mitsubishi Electric Corp | 電界効果トランジスタ及びその製造方法 |
JPH07135208A (ja) * | 1993-11-10 | 1995-05-23 | Sony Corp | 絶縁膜の形成方法 |
JP3632256B2 (ja) * | 1994-09-30 | 2005-03-23 | 株式会社デンソー | 窒化シリコン膜を有する半導体装置の製造方法 |
US5633202A (en) * | 1994-09-30 | 1997-05-27 | Intel Corporation | High tensile nitride layer |
KR0138959B1 (ko) * | 1994-11-08 | 1998-04-30 | 김주용 | 상보형 모스 소자의 게이트 전극 형성 방법 |
JP3612144B2 (ja) * | 1996-06-04 | 2005-01-19 | 株式会社ルネサステクノロジ | 半導体装置の製造方法 |
JPH104145A (ja) * | 1996-06-18 | 1998-01-06 | Mitsubishi Electric Corp | 半導体装置及びその製造方法 |
JPH11135727A (ja) * | 1997-10-31 | 1999-05-21 | Sony Corp | 半導体装置およびその製造方法 |
JP3050193B2 (ja) * | 1997-11-12 | 2000-06-12 | 日本電気株式会社 | 半導体装置及びその製造方法 |
US6048494A (en) * | 1998-01-30 | 2000-04-11 | Vlsi Technology, Inc. | Autoclave with improved heating and access |
JP3425079B2 (ja) * | 1998-04-24 | 2003-07-07 | 三菱電機株式会社 | 半導体装置の製造方法 |
JP4258034B2 (ja) * | 1998-05-27 | 2009-04-30 | ソニー株式会社 | 半導体装置及び半導体装置の製造方法 |
KR100296130B1 (ko) | 1998-06-29 | 2001-08-07 | 박종섭 | 이중막 실리콘웨이퍼를 이용한 금속-산화막-반도체 전계효과트랜지스터 제조방법 |
KR100265350B1 (ko) | 1998-06-30 | 2000-09-15 | 김영환 | 매립절연층을 갖는 실리콘 기판에서의 반도체소자 제조방법 |
FR2781380B1 (fr) | 1998-07-27 | 2000-09-15 | Braun Celsa Sa | Bague pour lier un tube souple deformable et une tige resistante a l'ecrasement, et ensemble medical muni d'une telle bague |
JP3262162B2 (ja) * | 1998-12-14 | 2002-03-04 | 日本電気株式会社 | 半導体装置 |
JP2000216377A (ja) | 1999-01-20 | 2000-08-04 | Nec Corp | 半導体装置の製造方法 |
US6281532B1 (en) * | 1999-06-28 | 2001-08-28 | Intel Corporation | Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering |
US6876053B1 (en) * | 1999-08-13 | 2005-04-05 | Intel Corporation | Isolation structure configurations for modifying stresses in semiconductor devices |
JP2001244468A (ja) * | 2000-03-02 | 2001-09-07 | Sony Corp | 半導体装置およびその製造方法 |
JP2001332723A (ja) * | 2000-05-19 | 2001-11-30 | Nec Corp | 半導体装置の製造方法 |
JP2002016337A (ja) * | 2000-06-29 | 2002-01-18 | Sony Corp | プリント基板の配線構造チェックシステム |
JP2003086708A (ja) | 2000-12-08 | 2003-03-20 | Hitachi Ltd | 半導体装置及びその製造方法 |
US6657276B1 (en) * | 2001-12-10 | 2003-12-02 | Advanced Micro Devices, Inc. | Shallow trench isolation (STI) region with high-K liner and method of formation |
US7335545B2 (en) * | 2002-06-07 | 2008-02-26 | Amberwave Systems Corporation | Control of strain in device layers by prevention of relaxation |
US6825529B2 (en) * | 2002-12-12 | 2004-11-30 | International Business Machines Corporation | Stress inducing spacers |
US7759142B1 (en) * | 2008-12-31 | 2010-07-20 | Intel Corporation | Quantum well MOSFET channels having uni-axial strain caused by metal source/drains, and conformal regrowth source/drains |
US8759232B2 (en) * | 2012-08-17 | 2014-06-24 | Globalfoundries Inc. | Compressive stress transfer in an interlayer dielectric of a semiconductor device by providing a bi-layer of superior adhesion and internal stress |
-
2001
- 2001-06-29 AU AU2001267880A patent/AU2001267880A1/en not_active Abandoned
- 2001-06-29 CN CNA2008101748438A patent/CN101465295A/zh active Pending
- 2001-06-29 CN CN01814948A patent/CN1449585A/zh active Pending
- 2001-06-29 KR KR1020037002918A patent/KR100784603B1/ko active IP Right Grant
- 2001-06-29 JP JP2002544785A patent/JP4597479B2/ja not_active Expired - Lifetime
- 2001-06-29 US US10/363,065 patent/US7115954B2/en not_active Expired - Lifetime
- 2001-06-29 KR KR1020077016359A patent/KR100767950B1/ko not_active IP Right Cessation
- 2001-06-29 WO PCT/JP2001/005633 patent/WO2002043151A1/ja active Application Filing
- 2001-10-12 TW TW090125240A patent/TW536726B/zh not_active IP Right Cessation
- 2001-10-16 MY MYPI20014802A patent/MY135557A/en unknown
-
2006
- 2006-10-03 US US11/541,575 patent/US7414293B2/en not_active Expired - Fee Related
- 2006-12-20 US US11/641,758 patent/US7411253B2/en not_active Expired - Fee Related
-
2008
- 2008-06-30 JP JP2008171182A patent/JP4949329B2/ja not_active Expired - Lifetime
- 2008-06-30 JP JP2008171181A patent/JP4932795B2/ja not_active Expired - Lifetime
- 2008-08-12 US US12/190,433 patent/US7705402B2/en not_active Expired - Fee Related
- 2008-10-15 US US12/251,536 patent/US8963250B2/en not_active Expired - Fee Related
-
2012
- 2012-01-26 JP JP2012013908A patent/JP5311521B2/ja not_active Expired - Lifetime
-
2015
- 2015-01-22 US US14/602,323 patent/US9412669B2/en not_active Expired - Fee Related
-
2016
- 2016-08-09 US US15/232,519 patent/US9978869B2/en not_active Expired - Lifetime
-
2018
- 2018-05-21 US US15/984,575 patent/US20180269323A1/en not_active Abandoned
-
2020
- 2020-02-18 US US16/793,406 patent/US20200185523A1/en not_active Abandoned
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4932795B2 (ja) | 半導体装置及びその製造方法 | |
JP4173672B2 (ja) | 半導体装置及びその製造方法 | |
TWI413216B (zh) | 用於製造受應力之mos裝置之方法 | |
US7396718B2 (en) | Technique for creating different mechanical strain in different channel regions by forming an etch stop layer stack having differently modified intrinsic stress | |
US7586153B2 (en) | Technique for forming recessed strained drain/source regions in NMOS and PMOS transistors | |
JP5204645B2 (ja) | 強化した応力伝送効率でコンタクト絶縁層を形成する技術 | |
US8159030B2 (en) | Strained MOS device and methods for its fabrication | |
JP2003060076A (ja) | 半導体装置及びその製造方法 | |
JP2009503892A (ja) | 応力がかけられたmosデバイスの製造方法 | |
US7608501B2 (en) | Technique for creating different mechanical strain by forming a contact etch stop layer stack having differently modified intrinsic stress | |
JP4859884B2 (ja) | 半導体装置及びその製造方法 | |
JP4630235B2 (ja) | 半導体装置及びその製造方法 | |
JP2005276989A (ja) | 半導体装置の製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A711 | Notification of change in applicant |
Free format text: JAPANESE INTERMEDIATE CODE: A712 Effective date: 20100511 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20111129 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120126 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20120214 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20120215 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 4932795 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20150224 Year of fee payment: 3 |
|
S531 | Written request for registration of change of domicile |
Free format text: JAPANESE INTERMEDIATE CODE: R313531 |
|
R350 | Written notification of registration of transfer |
Free format text: JAPANESE INTERMEDIATE CODE: R350 |
|
S111 | Request for change of ownership or part of ownership |
Free format text: JAPANESE INTERMEDIATE CODE: R313117 |
|
S531 | Written request for registration of change of domicile |
Free format text: JAPANESE INTERMEDIATE CODE: R313531 |
|
R350 | Written notification of registration of transfer |
Free format text: JAPANESE INTERMEDIATE CODE: R350 |
|
EXPY | Cancellation because of completion of term |