AU2001267880A1 - Semiconductor device and method for fabricating the same - Google Patents

Semiconductor device and method for fabricating the same

Info

Publication number
AU2001267880A1
AU2001267880A1 AU2001267880A AU6788001A AU2001267880A1 AU 2001267880 A1 AU2001267880 A1 AU 2001267880A1 AU 2001267880 A AU2001267880 A AU 2001267880A AU 6788001 A AU6788001 A AU 6788001A AU 2001267880 A1 AU2001267880 A1 AU 2001267880A1
Authority
AU
Australia
Prior art keywords
fabricating
same
semiconductor device
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
AU2001267880A
Inventor
Katsuhiko Ichinose
Yusuke Nonaka
Nagatoshi Ooki
Akihiro Shimizu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Hitachi Solutions Technology Ltd
Original Assignee
Hitachi Ltd
Hitachi ULSI Systems Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd, Hitachi ULSI Systems Co Ltd filed Critical Hitachi Ltd
Publication of AU2001267880A1 publication Critical patent/AU2001267880A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
AU2001267880A 2000-11-22 2001-06-29 Semiconductor device and method for fabricating the same Abandoned AU2001267880A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000-356497 2000-11-22
JP2000356497 2000-11-22
PCT/JP2001/005633 WO2002043151A1 (en) 2000-11-22 2001-06-29 Semiconductor device and method for fabricating the same

Publications (1)

Publication Number Publication Date
AU2001267880A1 true AU2001267880A1 (en) 2002-06-03

Family

ID=18828740

Family Applications (1)

Application Number Title Priority Date Filing Date
AU2001267880A Abandoned AU2001267880A1 (en) 2000-11-22 2001-06-29 Semiconductor device and method for fabricating the same

Country Status (8)

Country Link
US (9) US7115954B2 (en)
JP (4) JP4597479B2 (en)
KR (2) KR100767950B1 (en)
CN (2) CN1449585A (en)
AU (1) AU2001267880A1 (en)
MY (1) MY135557A (en)
TW (1) TW536726B (en)
WO (1) WO2002043151A1 (en)

Families Citing this family (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183346A (en) * 1998-12-15 2000-06-30 Toshiba Corp Semiconductor device and manufacture thereof
JP4831885B2 (en) * 2001-04-27 2011-12-07 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP2003060076A (en) * 2001-08-21 2003-02-28 Nec Corp Semiconductor device and manufacturing method therefor
JP2003179157A (en) * 2001-12-10 2003-06-27 Nec Corp Mos semiconductor device
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
JP4030383B2 (en) * 2002-08-26 2008-01-09 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
AU2002368388A1 (en) * 2002-11-25 2004-06-18 International Business Machines Corporation Strained finfet cmos device structures
US7388259B2 (en) 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
JP4406200B2 (en) * 2002-12-06 2010-01-27 株式会社東芝 Semiconductor device
US7001837B2 (en) * 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
KR100728173B1 (en) * 2003-03-07 2007-06-13 앰버웨이브 시스템즈 코포레이션 shallow trench isolation process
JP2004317891A (en) 2003-04-17 2004-11-11 Nec Saitama Ltd Mobile electronic equipment with camera
JP4557508B2 (en) * 2003-06-16 2010-10-06 パナソニック株式会社 Semiconductor device
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7319258B2 (en) * 2003-10-31 2008-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip with<100>-oriented transistors
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7161169B2 (en) * 2004-01-07 2007-01-09 International Business Machines Corporation Enhancement of electron and hole mobilities in <110> Si under biaxial compressive strain
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US20050186722A1 (en) * 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
US7064396B2 (en) * 2004-03-01 2006-06-20 Freescale Semiconductor, Inc. Integrated circuit with multiple spacer insulating region widths
US6995456B2 (en) * 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
JP2005286341A (en) * 2004-03-30 2005-10-13 Samsung Electronics Co Ltd Low-noise and high-performance lsi element, layout, and its manufacturing method
KR101025761B1 (en) * 2004-03-30 2011-04-04 삼성전자주식회사 Semiconductor Integrated circuit and method of manufacturing the same
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
JP2005294360A (en) * 2004-03-31 2005-10-20 Nec Electronics Corp Manufacturing method of semiconductor device
US7220630B2 (en) * 2004-05-21 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
US20050266632A1 (en) * 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
WO2005119760A1 (en) * 2004-05-28 2005-12-15 Advanced Micro Devices, Inc. Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress
DE102004026149B4 (en) * 2004-05-28 2008-06-26 Advanced Micro Devices, Inc., Sunnyvale A method of producing a semiconductor device having transistor elements with voltage-inducing etch stop layers
DE102004026142B3 (en) * 2004-05-28 2006-02-09 Advanced Micro Devices, Inc., Sunnyvale A method of controlling stress in a channel region by removing spacers and a semiconductor device fabricated according to the method
US6984564B1 (en) * 2004-06-24 2006-01-10 International Business Machines Corporation Structure and method to improve SRAM stability without increasing cell area or off current
TWI463526B (en) * 2004-06-24 2014-12-01 Ibm Improved strained-silicon cmos device and method
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4994581B2 (en) * 2004-06-29 2012-08-08 富士通セミコンダクター株式会社 Semiconductor device
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
JP4444027B2 (en) * 2004-07-08 2010-03-31 富士通マイクロエレクトロニクス株式会社 N-channel MOS transistor and CMOS integrated circuit device
JP2006041118A (en) * 2004-07-26 2006-02-09 Toshiba Corp Semiconductor device and method of manufacturing same
SG119256A1 (en) * 2004-07-28 2006-02-28 Taiwan Semiconductor Mfg Semiconductor-on-insulator chip with <100> oriented transistors
US7402535B2 (en) * 2004-07-28 2008-07-22 Texas Instruments Incorporated Method of incorporating stress into a transistor channel by use of a backside layer
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
JP4794838B2 (en) * 2004-09-07 2011-10-19 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
DE102004047631B4 (en) * 2004-09-30 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale A method of forming a semiconductor structure in the form of a field effect transistor having a strained channel region and semiconductor structure
US20060079046A1 (en) * 2004-10-12 2006-04-13 International Business Machines Corporation Method and structure for improving cmos device reliability using combinations of insulating materials
US7098536B2 (en) * 2004-10-21 2006-08-29 International Business Machines Corporation Structure for strained channel field effect transistor pair having a member and a contact via
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
JP4643223B2 (en) * 2004-10-29 2011-03-02 株式会社東芝 Semiconductor device
DE102004052578B4 (en) * 2004-10-29 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale A method of creating a different mechanical strain in different channel regions by forming an etch stop layer stack having differently modified internal stress
US7193254B2 (en) * 2004-11-30 2007-03-20 International Business Machines Corporation Structure and method of applying stresses to PFET and NFET transistor channels for improved performance
DE102004057762B4 (en) * 2004-11-30 2010-11-11 Advanced Micro Devices Inc., Sunnyvale A method of fabricating a semiconductor structure comprising forming a field effect transistor having a strained channel region
KR100613451B1 (en) 2004-12-02 2006-08-21 주식회사 하이닉스반도체 Semiconductor Device and the Manufacturing Method thereof
US7306983B2 (en) * 2004-12-10 2007-12-11 International Business Machines Corporation Method for forming dual etch stop liner and protective layer in a semiconductor device
US7348635B2 (en) * 2004-12-10 2008-03-25 International Business Machines Corporation Device having enhanced stress state and related methods
US7262087B2 (en) * 2004-12-14 2007-08-28 International Business Machines Corporation Dual stressed SOI substrates
US7195969B2 (en) * 2004-12-31 2007-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Strained channel CMOS device with fully silicided gate electrode
KR100702006B1 (en) 2005-01-03 2007-03-30 삼성전자주식회사 Method of fabricating semiconductor device having improved carrier mobolity
US7271442B2 (en) * 2005-01-12 2007-09-18 International Business Machines Corporation Transistor structure having stressed regions of opposite types underlying channel and source/drain regions
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7432553B2 (en) * 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs
JP4453572B2 (en) * 2005-02-22 2010-04-21 ソニー株式会社 Manufacturing method of semiconductor integrated circuit
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
JP4361886B2 (en) * 2005-02-24 2009-11-11 富士通マイクロエレクトロニクス株式会社 Semiconductor integrated circuit device and manufacturing method thereof
KR100703967B1 (en) * 2005-02-28 2007-04-05 삼성전자주식회사 CMOS transistor and method for fabricating the same
JP2006253317A (en) * 2005-03-09 2006-09-21 Fujitsu Ltd SEMICONDUCTOR INTEGRATED CIRCUIT DEVICE AND p-CHANNEL MOS TRANSISTOR
US7282402B2 (en) * 2005-03-30 2007-10-16 Freescale Semiconductor, Inc. Method of making a dual strained channel semiconductor device
US7396724B2 (en) * 2005-03-31 2008-07-08 International Business Machines Corporation Dual-hybrid liner formation without exposing silicide layer to photoresist stripping chemicals
US7585704B2 (en) * 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7238990B2 (en) * 2005-04-06 2007-07-03 Freescale Semiconductor, Inc. Interlayer dielectric under stress for an integrated circuit
CN100392830C (en) * 2005-04-08 2008-06-04 联华电子股份有限公司 Method for making metal-oxide-semiconductor transistor
US20060228843A1 (en) * 2005-04-12 2006-10-12 Alex Liu Method of fabricating semiconductor devices and method of adjusting lattice distance in device channel
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
FR2884968B1 (en) * 2005-04-20 2007-09-21 St Microelectronics Sa INTEGRATED ELECTRONIC CIRCUIT WITH STABILIZED ELECTRIC STATE
DE102005020133B4 (en) * 2005-04-29 2012-03-29 Advanced Micro Devices, Inc. A method of fabricating a transistor element having a technique of making a contact isolation layer with improved voltage transfer efficiency
US7276755B2 (en) * 2005-05-02 2007-10-02 Advanced Micro Devices, Inc. Integrated circuit and method of manufacture
US7445978B2 (en) * 2005-05-04 2008-11-04 Chartered Semiconductor Manufacturing, Ltd Method to remove spacer after salicidation to enhance contact etch stop liner stress on MOS
JP2006324278A (en) * 2005-05-17 2006-11-30 Sony Corp Semiconductor device and manufacturing method thereof
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
JP2006339398A (en) * 2005-06-02 2006-12-14 Sony Corp Manufacturing method of semiconductor device
JP4701850B2 (en) * 2005-06-14 2011-06-15 ソニー株式会社 Semiconductor device and manufacturing method thereof
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US8105908B2 (en) * 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
JP2007005627A (en) * 2005-06-24 2007-01-11 Sony Corp Method for manufacturing semiconductor device
EP1908103B1 (en) * 2005-06-30 2011-01-05 Advanced Micro Devices, Inc. Technique for forming contact insulation layers silicide regions with different characteristics
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
GB2442174B (en) * 2005-06-30 2008-11-12 Advanced Micro Devices Inc Technique for forming contact insulation layers and silicide regions with different characteristics
DE102005030583B4 (en) * 2005-06-30 2010-09-30 Advanced Micro Devices, Inc., Sunnyvale Method for producing contact insulation layers and silicide regions having different properties of a semiconductor device and semiconductor device
US7060549B1 (en) * 2005-07-01 2006-06-13 Advanced Micro Devices, Inc. SRAM devices utilizing tensile-stressed strain films and methods for fabricating the same
JP4486056B2 (en) * 2005-07-20 2010-06-23 パナソニック株式会社 Semiconductor device and manufacturing method thereof
CN1901194A (en) * 2005-07-20 2007-01-24 松下电器产业株式会社 Semiconductor device and method for fabricating the same
US7244644B2 (en) * 2005-07-21 2007-07-17 International Business Machines Corporation Undercut and residual spacer prevention for dual stressed layers
US7589385B2 (en) * 2005-07-26 2009-09-15 United Microelectronics Corp. Semiconductor CMOS transistors and method of manufacturing the same
CN100407424C (en) * 2005-08-04 2008-07-30 联华电子股份有限公司 Complementary type metal-oxide-semiconductor transistor element and its making method
JP2007049092A (en) * 2005-08-12 2007-02-22 Toshiba Corp Mos type semiconductor device
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
JP4703324B2 (en) * 2005-08-30 2011-06-15 株式会社東芝 Semiconductor device
DE102005041225B3 (en) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Method for producing recessed, deformed drain / source regions in NMOS and PMOS transistors
JP4940682B2 (en) * 2005-09-09 2012-05-30 富士通セミコンダクター株式会社 Field effect transistor and manufacturing method thereof
US7400031B2 (en) * 2005-09-19 2008-07-15 International Business Machines Corporation Asymmetrically stressed CMOS FinFET
JP4546371B2 (en) * 2005-09-20 2010-09-15 パナソニック株式会社 Semiconductor device and manufacturing method thereof
JP4618068B2 (en) * 2005-09-21 2011-01-26 ソニー株式会社 Semiconductor device
JP5109660B2 (en) * 2005-09-21 2012-12-26 日本電気株式会社 Semiconductor device
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
JP4930375B2 (en) * 2005-09-28 2012-05-16 富士通株式会社 Semiconductor device and manufacturing method thereof
DE102005046974B3 (en) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Manufacturing semiconductor elements by producing different mechanical shaping in different substrate fields by producing layers with different modified inner voltage
US7772635B2 (en) * 2005-10-27 2010-08-10 Micron Technology, Inc. Non-volatile memory device with tensile strained silicon layer
US7615432B2 (en) 2005-11-02 2009-11-10 Samsung Electronics Co., Ltd. HDP/PECVD methods of fabricating stress nitride structures for field effect transistors
US7655511B2 (en) * 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7541234B2 (en) * 2005-11-03 2009-06-02 Samsung Electronics Co., Ltd. Methods of fabricating integrated circuit transistors by simultaneously removing a photoresist layer and a carbon-containing layer on different active areas
TWI338335B (en) * 2005-11-07 2011-03-01 Samsung Electronics Co Ltd Semiconductor devices and methods of manufacturing the same
US7420202B2 (en) * 2005-11-08 2008-09-02 Freescale Semiconductor, Inc. Electronic device including a transistor structure having an active region adjacent to a stressor layer and a process for forming the electronic device
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
JP2007134577A (en) * 2005-11-11 2007-05-31 Toshiba Corp Semiconductor device
US7550356B2 (en) * 2005-11-14 2009-06-23 United Microelectronics Corp. Method of fabricating strained-silicon transistors
US20070108529A1 (en) * 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained gate electrodes in semiconductor devices
US7709317B2 (en) * 2005-11-14 2010-05-04 International Business Machines Corporation Method to increase strain enhancement with spacerless FET and dual liner process
JP2007157924A (en) * 2005-12-02 2007-06-21 Fujitsu Ltd Semiconductor device and method of manufacturing same
JP4765598B2 (en) * 2005-12-08 2011-09-07 ソニー株式会社 Manufacturing method of semiconductor device
US7511360B2 (en) * 2005-12-14 2009-03-31 Freescale Semiconductor, Inc. Semiconductor device having stressors and method for forming
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US8729635B2 (en) * 2006-01-18 2014-05-20 Macronix International Co., Ltd. Semiconductor device having a high stress material layer
JP2007200961A (en) * 2006-01-24 2007-08-09 Sharp Corp Semiconductor device and manufacturing method thereof
JP4760414B2 (en) * 2006-02-06 2011-08-31 ソニー株式会社 Manufacturing method of semiconductor device
JP5092754B2 (en) * 2006-02-08 2012-12-05 富士通セミコンダクター株式会社 P-channel MOS transistor and semiconductor device
KR100714479B1 (en) * 2006-02-13 2007-05-04 삼성전자주식회사 Semiconductor integrated circuit device and method for fabricating the same
CN100466207C (en) * 2006-02-28 2009-03-04 联华电子股份有限公司 Semiconductor transistor element and its production
JP5262711B2 (en) * 2006-03-29 2013-08-14 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US7485517B2 (en) 2006-04-07 2009-02-03 United Microelectronics Corp. Fabricating method of semiconductor device
US7528029B2 (en) * 2006-04-21 2009-05-05 Freescale Semiconductor, Inc. Stressor integration and method thereof
CN101060099B (en) * 2006-04-21 2010-05-12 联华电子股份有限公司 Semiconductor device and its manufacture method
US7361539B2 (en) * 2006-05-16 2008-04-22 International Business Machines Corporation Dual stress liner
US7504336B2 (en) * 2006-05-19 2009-03-17 International Business Machines Corporation Methods for forming CMOS devices with intrinsically stressed metal silicide layers
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
KR100703986B1 (en) * 2006-05-22 2007-04-09 삼성전자주식회사 Semiconductor device having analog transistor with improved both operation and flicker noise characteristics and fabrication method thereof
US7374992B2 (en) * 2006-05-31 2008-05-20 Oimonda Ag Manufacturing method for an integrated semiconductor structure
KR100799887B1 (en) * 2006-06-02 2008-01-31 인터내셔널 비지네스 머신즈 코포레이션 Method and apparatus for reduction of boron diffusivity in pfets
US20070281405A1 (en) * 2006-06-02 2007-12-06 International Business Machines Corporation Methods of stressing transistor channel with replaced gate and related structures
US20070278541A1 (en) * 2006-06-05 2007-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer engineering on CMOS devices
US7598540B2 (en) * 2006-06-13 2009-10-06 International Business Machines Corporation High performance CMOS devices comprising gapped dual stressors with dielectric gap fillers, and methods of fabricating the same
US7670928B2 (en) 2006-06-14 2010-03-02 Intel Corporation Ultra-thin oxide bonding for S1 to S1 dual orientation bonding
JP2008004577A (en) * 2006-06-20 2008-01-10 Sony Corp Semiconductor device
US20070296027A1 (en) * 2006-06-21 2007-12-27 International Business Machines Corporation Cmos devices comprising a continuous stressor layer with regions of opposite stresses, and methods of fabricating the same
CN101479843B (en) 2006-06-30 2011-03-30 富士通半导体股份有限公司 Semiconductor device and semiconductor manufacturing method
US7585720B2 (en) * 2006-07-05 2009-09-08 Toshiba America Electronic Components, Inc. Dual stress liner device and method
JP5190189B2 (en) * 2006-08-09 2013-04-24 パナソニック株式会社 Semiconductor device and manufacturing method thereof
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
KR100773352B1 (en) * 2006-09-25 2007-11-05 삼성전자주식회사 Method of fabricating semiconductor device having stress enhanced mos transistor and semiconductor device fabricated thereby
KR100772901B1 (en) * 2006-09-28 2007-11-05 삼성전자주식회사 Semiconductor device and method of fabricating the same
KR101008277B1 (en) 2006-09-29 2011-01-14 후지쯔 세미컨덕터 가부시키가이샤 Semiconductor device and its manufacturing method
KR100827443B1 (en) * 2006-10-11 2008-05-06 삼성전자주식회사 Semiconductor device including damage-free active region and manufacturing method of the same
JP2008103607A (en) * 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
US7388267B1 (en) 2006-12-19 2008-06-17 International Business Machines Corporation Selective stress engineering for SRAM stability improvement
US7538339B2 (en) * 2006-12-22 2009-05-26 International Business Machines Corporation Scalable strained FET device and method of fabricating the same
US7521308B2 (en) * 2006-12-26 2009-04-21 International Business Machines Corporation Dual layer stress liner for MOSFETS
US7888197B2 (en) * 2007-01-11 2011-02-15 International Business Machines Corporation Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
US20080179638A1 (en) * 2007-01-31 2008-07-31 International Business Machines Corporation Gap fill for underlapped dual stress liners
JP2008192686A (en) * 2007-02-01 2008-08-21 Matsushita Electric Ind Co Ltd Semiconductor device and manufacturing method thereof
DE102007009901B4 (en) * 2007-02-28 2011-07-07 Globalfoundries Inc. A technique for patterning differently strained layers formed over transistors by improved etch control strategies
US7935588B2 (en) * 2007-03-06 2011-05-03 International Business Machines Corporation Enhanced transistor performance by non-conformal stressed layers
US20080246061A1 (en) * 2007-04-03 2008-10-09 United Microelectronics Corp. Stress layer structure
CN101330053B (en) * 2007-06-18 2010-04-21 中芯国际集成电路制造(上海)有限公司 Method for forming stress layer of complementary metal oxide semiconductor device
US20080315317A1 (en) * 2007-06-22 2008-12-25 Chartered Semiconductor Manufacturing Ltd. Semiconductor system having complementary strained channels
US20090014807A1 (en) * 2007-07-13 2009-01-15 Chartered Semiconductor Manufacturing, Ltd. Dual stress liners for integrated circuits
JP4994139B2 (en) * 2007-07-18 2012-08-08 パナソニック株式会社 Semiconductor device and manufacturing method thereof
JP2009027008A (en) * 2007-07-20 2009-02-05 Panasonic Corp Semiconductor device, and manufacturing method thereof
US20090039436A1 (en) * 2007-08-07 2009-02-12 Doris Bruce B High Performance Metal Gate CMOS with High-K Gate Dielectric
US7723798B2 (en) 2007-08-07 2010-05-25 International Business Machines Corporation Low power circuit structure with metal gate and high-k dielectric
US7880243B2 (en) * 2007-08-07 2011-02-01 International Business Machines Corporation Simple low power circuit structure with metal gate and high-k dielectric
KR20090025756A (en) * 2007-09-07 2009-03-11 주식회사 동부하이텍 Mos transistor and fabrication method thereof
US7932542B2 (en) * 2007-09-24 2011-04-26 Infineon Technologies Ag Method of fabricating an integrated circuit with stress enhancement
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
JP2009088421A (en) * 2007-10-03 2009-04-23 Renesas Technology Corp Semiconductor device manufacturing method
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
DE102007063272B4 (en) * 2007-12-31 2012-08-30 Globalfoundries Inc. Dielectric interlayer material in a strained layer semiconductor device with an intermediate buffer material
US7727834B2 (en) * 2008-02-14 2010-06-01 Toshiba America Electronic Components, Inc. Contact configuration and method in dual-stress liner semiconductor device
JP2009200155A (en) 2008-02-20 2009-09-03 Nec Electronics Corp Semiconductor device and method for manufacturing the same
DE102008011928B4 (en) * 2008-02-29 2010-06-02 Advanced Micro Devices, Inc., Sunnyvale A method of fabricating a semiconductor device using a lesser thickness etch stop layer for patterning a dielectric material
DE102008011814B4 (en) * 2008-02-29 2012-04-26 Advanced Micro Devices, Inc. CMOS device with buried insulating layer and deformed channel regions and method for producing the same
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
DE102008016438B4 (en) * 2008-03-31 2011-03-03 Advanced Micro Devices, Inc., Sunnyvale Double deposition of a stress-inducing layer with intermediate stress relaxation
US7820518B2 (en) * 2008-05-29 2010-10-26 Infineon Technologies Ag Transistor fabrication methods and structures thereof
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
JP4744576B2 (en) * 2008-09-10 2011-08-10 パナソニック株式会社 Manufacturing method of semiconductor device
US7808051B2 (en) * 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
JP2010141281A (en) 2008-11-11 2010-06-24 Renesas Technology Corp Semiconductor device and method of manufacturing the same
WO2010082328A1 (en) 2009-01-15 2010-07-22 ルネサスエレクトロニクス株式会社 Semiconductor device, and method for manufacturing the same
JP2010183022A (en) * 2009-02-09 2010-08-19 Renesas Electronics Corp Semiconductor device, and method of manufacturing the same
JP2010212388A (en) * 2009-03-10 2010-09-24 Renesas Electronics Corp Semiconductor device, and method of manufacturing the same
US8236709B2 (en) * 2009-07-29 2012-08-07 International Business Machines Corporation Method of fabricating a device using low temperature anneal processes, a device and design structure
JP5420345B2 (en) * 2009-08-14 2014-02-19 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US20110042728A1 (en) * 2009-08-18 2011-02-24 International Business Machines Corporation Semiconductor device with enhanced stress by gates stress liner
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
KR101673018B1 (en) * 2010-04-20 2016-11-07 삼성전자 주식회사 Semiconductor device, semiconductor memory device and methods of fabricating the same
JP5569173B2 (en) 2010-06-18 2014-08-13 ソニー株式会社 Semiconductor device manufacturing method and semiconductor device
US8445965B2 (en) * 2010-11-05 2013-05-21 International Business Machines Corporation Strained semiconductor devices and methods of fabricating strained semiconductor devices
JP5166507B2 (en) * 2010-12-13 2013-03-21 株式会社東芝 Semiconductor device
CN102683281B (en) * 2011-03-07 2015-07-08 中国科学院微电子研究所 Semiconductor structure and preparation method of semiconductor structure
JP5693380B2 (en) 2011-05-30 2015-04-01 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
KR101817131B1 (en) * 2012-03-19 2018-01-11 에스케이하이닉스 주식회사 Method of fabricating gate insulating layer and method of fabricating semiconductor device
CN103325787B (en) * 2012-03-21 2017-05-03 中国科学院微电子研究所 Cmos device and manufacturing method thereof
JP5712985B2 (en) * 2012-08-27 2015-05-07 ソニー株式会社 Semiconductor device
JP5712984B2 (en) * 2012-08-27 2015-05-07 ソニー株式会社 Semiconductor device
CN103730416A (en) * 2012-10-10 2014-04-16 中芯国际集成电路制造(上海)有限公司 Semiconductor device and manufacturing method thereof
US8765590B2 (en) 2012-10-31 2014-07-01 International Business Machines Corporation Insulative cap for borderless self-aligning contact in semiconductor device
JP2013077828A (en) * 2012-12-05 2013-04-25 Renesas Electronics Corp Method for manufacturing semiconductor device
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10515905B1 (en) 2018-06-18 2019-12-24 Raytheon Company Semiconductor device with anti-deflection layers
DE102018121897A1 (en) * 2018-09-07 2020-03-12 Infineon Technologies Ag SEMICONDUCTOR DEVICE WITH A AREA CONTAINING SILICON AND NITROGEN AND PRODUCTION METHOD
US10957798B2 (en) 2019-02-06 2021-03-23 International Business Machines Corporation Nanosheet transistors with transverse strained channel regions
CN114556529A (en) * 2019-10-23 2022-05-27 三菱电机株式会社 Semiconductor wafer and method for manufacturing the same

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5234850A (en) * 1990-09-04 1993-08-10 Industrial Technology Research Institute Method of fabricating a nitride capped MOSFET for integrated circuits
JPH04241453A (en) * 1991-01-16 1992-08-28 Fujitsu Ltd Semiconductor device and manufacture thereof
JPH05326445A (en) * 1992-05-20 1993-12-10 Matsushita Electron Corp Manufacture of semiconductor device
JPH06232170A (en) * 1993-01-29 1994-08-19 Mitsubishi Electric Corp Field effect transistor and its manufacture
JPH07135208A (en) * 1993-11-10 1995-05-23 Sony Corp Insulating film forming method
JP3632256B2 (en) * 1994-09-30 2005-03-23 株式会社デンソー Manufacturing method of semiconductor device having silicon nitride film
US5633202A (en) * 1994-09-30 1997-05-27 Intel Corporation High tensile nitride layer
KR0138959B1 (en) * 1994-11-08 1998-04-30 김주용 Manufacture of gate electrode of cmos device
JP3612144B2 (en) * 1996-06-04 2005-01-19 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
JPH104145A (en) * 1996-06-18 1998-01-06 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
JPH11135727A (en) * 1997-10-31 1999-05-21 Sony Corp Semiconductor and its manufacture
JP3050193B2 (en) * 1997-11-12 2000-06-12 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6048494A (en) * 1998-01-30 2000-04-11 Vlsi Technology, Inc. Autoclave with improved heating and access
JP3425079B2 (en) * 1998-04-24 2003-07-07 三菱電機株式会社 Method for manufacturing semiconductor device
JP4258034B2 (en) * 1998-05-27 2009-04-30 ソニー株式会社 Semiconductor device and manufacturing method of semiconductor device
KR100296130B1 (en) * 1998-06-29 2001-08-07 박종섭 Manufacturing Method of Metal-Oxide-Semiconductor Field Effect Transistor Using Double-Layer Silicon Wafer
KR100265350B1 (en) 1998-06-30 2000-09-15 김영환 Method for fabricating semiconductor device on silicon on insulator substrate
FR2781380B1 (en) * 1998-07-27 2000-09-15 Braun Celsa Sa RING FOR CONNECTING A DEFORMABLE FLEXIBLE TUBE AND A CRUSH-RESISTANT ROD, AND MEDICAL ASSEMBLY PROVIDED WITH SUCH A RING
JP3262162B2 (en) * 1998-12-14 2002-03-04 日本電気株式会社 Semiconductor device
JP2000216377A (en) * 1999-01-20 2000-08-04 Nec Corp Method for manufacturing semiconductor device
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6876053B1 (en) * 1999-08-13 2005-04-05 Intel Corporation Isolation structure configurations for modifying stresses in semiconductor devices
JP2001244468A (en) * 2000-03-02 2001-09-07 Sony Corp Semiconductor device and method for its manufacture
JP2001332723A (en) * 2000-05-19 2001-11-30 Nec Corp Method of manufacturing semiconductor device
JP2002016337A (en) * 2000-06-29 2002-01-18 Sony Corp Wiring structure check system for printed board
JP2003086708A (en) * 2000-12-08 2003-03-20 Hitachi Ltd Semiconductor device and manufacturing method thereof
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US7759142B1 (en) * 2008-12-31 2010-07-20 Intel Corporation Quantum well MOSFET channels having uni-axial strain caused by metal source/drains, and conformal regrowth source/drains
US8759232B2 (en) * 2012-08-17 2014-06-24 Globalfoundries Inc. Compressive stress transfer in an interlayer dielectric of a semiconductor device by providing a bi-layer of superior adhesion and internal stress

Also Published As

Publication number Publication date
US20180269323A1 (en) 2018-09-20
US20150132904A1 (en) 2015-05-14
US20090039427A1 (en) 2009-02-12
US9978869B2 (en) 2018-05-22
US8963250B2 (en) 2015-02-24
US7115954B2 (en) 2006-10-03
MY135557A (en) 2008-05-30
KR20030082538A (en) 2003-10-22
JPWO2002043151A1 (en) 2004-04-02
US7705402B2 (en) 2010-04-27
US20070102768A1 (en) 2007-05-10
JP5311521B2 (en) 2013-10-09
WO2002043151A1 (en) 2002-05-30
KR20070087135A (en) 2007-08-27
US20070023843A1 (en) 2007-02-01
KR100784603B1 (en) 2007-12-11
JP4597479B2 (en) 2010-12-15
US7411253B2 (en) 2008-08-12
KR100767950B1 (en) 2007-10-18
US20040029323A1 (en) 2004-02-12
CN1449585A (en) 2003-10-15
JP2012124507A (en) 2012-06-28
US9412669B2 (en) 2016-08-09
JP4932795B2 (en) 2012-05-16
JP2008294457A (en) 2008-12-04
US20200185523A1 (en) 2020-06-11
US7414293B2 (en) 2008-08-19
US20160351713A1 (en) 2016-12-01
TW536726B (en) 2003-06-11
US20080303091A1 (en) 2008-12-11
CN101465295A (en) 2009-06-24
JP4949329B2 (en) 2012-06-06
JP2008288606A (en) 2008-11-27

Similar Documents

Publication Publication Date Title
AU2001267880A1 (en) Semiconductor device and method for fabricating the same
AU2002217545A1 (en) Semiconductor device and its manufacturing method
AU2003242012A1 (en) Semiconductor device and method for fabricating the same
GB2353404B (en) Semiconductor device and method for manufacturing the same
AU2002219529A1 (en) Semiconductor device and method for fabricating the same
AU2002214580A1 (en) Semiconductor structure and process for fabricating same
AU2002300573A1 (en) Photovoltaic Device and Method for Preparing the Same
SG100696A1 (en) Semiconductor device and method for manufacturing same
EP1130626A3 (en) Method and apparatus for manufacturing semiconductor device
AU2002300570A1 (en) Photovoltaic Device and Method for Preparing the Same
EP1202350A3 (en) Semiconductor device and manufacturing method thereof
AU2001294188A1 (en) Device and method for manufacturing semiconductor
AU2001287141A1 (en) Semiconductor device and process for forming the same
AU2002349581A1 (en) Semiconductor device and manufacturing method thereof
EP1261040A4 (en) Semiconductor device and method for fabricating the same
AU2001276981A1 (en) Semiconductor device and a process for forming the same
EP1179749B8 (en) Resist composition and method for manufacturing semiconductor device using the resist composition
EP1318581A1 (en) Semiconductor laser device and method for manufacturing the same
AU2001257346A1 (en) Semiconductor device and method for manufacturing the same
EP1174916A3 (en) Semiconductor device and semiconductor device manufacturing method
EP1318581A4 (en) Semiconductor laser device and method for manufacturing the same
AU4875601A (en) Polishing compound for polishing semiconductor device and method for manufacturing semiconductor device using the same
EP1174914A3 (en) Semiconductor device and semiconductor device manufacturing method
AU2003268710A1 (en) Semiconductor device and process for fabricating the same
AU3230801A (en) Semiconductor device fabrication method and semiconductor device fabrication device