DE102004026142B3 - Verfahren zum Steuern der mechanischen Spannung in einem Kanalgebiet durch das Entfernen von Abstandselementen und ein gemäß dem Verfahren gefertigtes Halbleiterbauelement - Google Patents

Verfahren zum Steuern der mechanischen Spannung in einem Kanalgebiet durch das Entfernen von Abstandselementen und ein gemäß dem Verfahren gefertigtes Halbleiterbauelement Download PDF

Info

Publication number
DE102004026142B3
DE102004026142B3 DE102004026142A DE102004026142A DE102004026142B3 DE 102004026142 B3 DE102004026142 B3 DE 102004026142B3 DE 102004026142 A DE102004026142 A DE 102004026142A DE 102004026142 A DE102004026142 A DE 102004026142A DE 102004026142 B3 DE102004026142 B3 DE 102004026142B3
Authority
DE
Germany
Prior art keywords
transistor
spacer
dielectric layer
stress
transistor element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102004026142A
Other languages
English (en)
Inventor
Kai Frohberg
Matthias Schaller
Massud Aminpur
Martin Mazur
Roberto Klingler
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE102004026142A priority Critical patent/DE102004026142B3/de
Priority to US11/047,129 priority patent/US7314793B2/en
Application granted granted Critical
Publication of DE102004026142B3 publication Critical patent/DE102004026142B3/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • H01L21/32155Doping polycristalline - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/938Lattice strain control or utilization

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Während der Herstellung eines Transistorelements werden Seitenwandabstandselemente nach einer Ionenplantation und Silizidierung entfernt oder zumindest teilweise zurückgeätzt, wodurch die mechanische Ankopplung einer Kontaktätzstoppschicht an die darunterliegenden Drain- und Sourcegebiete effizienter wird. Somit kann die mechanische Spannung im Wesentlichen von der Kontaktätzstoppschicht anstatt durch eine Kombination des Abstandselements und der Ätzstoppschicht hervorgerufen werden, wodurch die Spannungsgestaltung in dem Kanalgebiet deutlich vereinfacht wird. Durch zusätzliches Ausführen einer Plasmabehandlung können unterschiedliche Beträge an Spannung in unterschiedlichen Transistorelementen erzeugt werden, ohne dass dies zu einer übermäßigen Prozesskomplexität beiträgt.

Description

  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung eines Feldeffektstransistors, der in seinem Kanalgebiet für ein besseres Leistungsverhalten eine induzierte mechanische Spannung aufweist.
  • Die Herstellung integrierter Schaltungen erfordert das Ausbilden einer großen Anzahl an Schaltungselementen auf einer vorgegebenen Chipoberfläche gemäß einem spezifizierten Schaltungsplan. Im Allgemeinen werden mehrere Prozesstechnologien gegenwärtig angewendet, wobei für komplexe Schaltungen, etwa Mikroprozessoren, Speicherchips und dergleichen, die CMOS-Technologie gegenwärtig der vielversprechendste Ansatz aufgrund der überlegenen Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder die Leistungsaufnahme darstellt. Während der Herstellung komplexer integrierter Schaltungen unter Anwendungen der CMOS-Technologie werden Millionen komplementärer Transistoren, d.h. N-Kanal-Transistoren und P-Kanal-Transistoren, auf einem Substrat gebildet, das eine kristalline Halbleiterschicht aufweist. Ein MOS-Transistor besitzt, unabhängig davon, ob ein N-Kanal-Transistor oder ein P-Kanal-Transistor betrachtet wird, sogenannte PN-Übergänge, die durch eine Grenzfläche stark dotierter Drain- und Sourcegebiete mit einem invers dotierten Kanalgebiet, das zwischen dem Draingebiet und dem Sourcegebiet angeordnet ist, gebildet werden. Die Leitfähigkeit des Kanalgebiets, d.h. das Stromtreibervermögen des leitenden Kanals, wird durch eine Gate-Elektrode gesteuert, die über dem Kanalgebiet ausgebildet und davon durch eine dünne, isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets bei Ausbildung eines leitenden Kanals aufgrund des Anlegens einer geeigneten Steuerspannung an die Gate-Elektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Ladungsträger und – für eine gegebene Ausdehnung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Source- und dem Draingebiet, der auch als Kanallänge bezeichnet wird, ab. Somit bestimmt in Kombination mit der Fähig keit, schnell einen leitenden Kanal unterhalb der isolierenden Schicht beim Anlegen der Steuerspannung an die Gate-Elektrode aufzubauen, die Leitfähigkeit des Kanalgebiets im Wesentlichen das Verhalten der MOS-Transistoren. Somit macht die Verringerung der Kanallänge – und damit verknüpft die Verringerung des Kanalwiderstands – die Kanallänge zu einem wesentlichen Entwurfskriterium, um eine Zunahme der Arbeitsgeschwindigkeit der integrierten Schaltungen zu erreichen.
  • Das Verringern der Transistorabmessungen zieht jedoch eine Reihe von damit verknüpften Problemen nach sich, die es zu lösen gilt, um die durch das stetige Reduzieren der Kanallänge von MOS-Transistoren gewonnenen Vorteile nicht unnötig aufzuheben. Ein großes Problem in dieser Hinsicht ist die Entwicklung verbesserter Fotolithografie- und Ätzstrategien, um zuverlässig und reproduzierbar Schaltungselemente mit kritischen Abmessungen, etwa die Gate-Elektrode der Transistoren, für eine neue Bauteilgeneration herzustellen. Ferner sind äußerst komplexe Dotierstoffprofile in der vertikalen Richtung sowie in der lateralen Richtung in den Drain- und Sourcegebieten erforderlich, um einen geringen Schicht- und Kontaktwiderstand in Verbindung mit einer gewünschten Kanalsteuerbarkeit zu gewährleisten. Ferner stellt die vertikale Lage der PN-Übergänge in Bezug auf die Gate-Isolationsschicht ebenso ein wichtiges Entwurfskriterium im Hinblick auf die Einstellung der Leckströme dar. Als allgemeine Regel gilt, dass beim Verringern der Kanallänge auch eine Verringerung der Tiefe der Drain- und Sourcegebiete in Bezug auf die Grenzfläche erforderlich ist, die durch die Gate-Isolationsschicht und das Kanalgebiet gebildet wird, wodurch anspruchsvolle Implantationstechniken erforderlich sind. In anderen Vorgehensweisen werden epitaktisch gewachsene Gebiete mit einem spezifiziertem Versatz zu der Gate-Elektrode gebildet, die als erhöhte Drain- und Sourcegebiete bezeichnet werden, um eine erhöhte Leitfähigkeit der erhöhten Drain- und Sourcegebiete zu schaffen, wobei gleichzeitig ein flacher PN-Übergang im Bezug auf die Gate-Isolationsschicht bewahrt wird.
  • Unabhängig von dem technologischen Ansatz, der verwendet wird, sind anspruchsvolle Verfahren für die Abstandselementsherstellung erforderlich, um das äußerst komplexe Dotierstoffprofil zu schaffen und um eine Maske bei der Herstellung von Metallsilizidgebiete in der Gate-Elektrode und den Drain- und Sourcegebieten in einer selbstjustierenden Weise bereitzustellen. Da die ständige Verringerung der kritischen Abmessungen, d.h. der Gatelänge der Transistoren, das Anpassen und möglicherweise das neue Ent wickeln von Prozessverfahren erfordert, die die zuvor genannten Prozessschritte betreffen, wurde vorgeschlagen, das Bauteilverhalten der Transistorelemente auch durch Erhöhen der Ladungsträgerbeweglichkeit in dem Kanalgebiet für eine vorgegebene Kanallänge zu verbessern. Im Prinzip können mindestens zwei Mechanismen in Kombination oder separat angewendet werden, um die Beweglichkeit der Ladungsträger in dem Kanalgebiet zu erhöhen. Zunächst kann die Dotierstoffkonzentration in dem Kanalgebiet reduziert werden, wodurch die Streuereignisse verringert werden und damit die Leitfähigkeit erhöht wird. Das Verringern der Dotierstoffkonzentration in dem Kanalgebiet beeinflusst jedoch auch die Schwellwertspannung des Transistorbauelements entscheidend, wodurch die Verringerung der Dotierstoffkonzentration ein wenig attraktiver Anasatz ist, sofern nicht andere Mechanismen entwickelt werden, um eine gewünschte Schwellwertspannung einzustellen. Zweitens, es kann die Gitterstruktur in dem Kanalgebiet modifiziert werden, beispielsweise durch Erzeugen einer Zug- oder Druckspannung, was zu einer modifizierten Beweglichkeit für Elektronen und Löcher führt. Beispielsweise erhöht das Erzeugen einer Zugspannung im Kanalgebiet die Beweglichkeit von Elektronen um bis zu 20 %, was sich wiederum direkt in einer entsprechenden Erhöhung der Leitfähigkeit auswirkt. Andererseits kann eine Druckspannung in dem Kanalgebiet die Beweglichkeit von Löchern erhöhen, wodurch die Möglichkeit zur Verbesserung des Leistungsverhaltens von P-Transistoren gegeben ist. Folglich wurde vorgeschlagen, beispielsweise eine Silizium/Germaniumschicht oder eine Silizium/Kohlenstoffschicht in oder unterhalb des Kanalgebiets einzubauen, um Zug- oder Druckspannung zu Erzeugen. Obwohl das Transistorverhalten durch das Einführen von spannungserzeugenden Schichten in oder unterhalb des Kanalgebiets deutlich verbessert werden kann, müssen große Anstrengungen unternommen werden, um die Herstellung entsprechender Spannungsschichten in die konventionelle und gut erprobte CMOS-Technik zu übernehmen. Beispielsweise müssen weitere epitaktische Wachstumstechniken entwickelt und in den Prozessablauf integriert werden, um die Germanium oder Kohlenstoff enthaltenden Spannungsschichten an geeigneten Stellen in oder unterhalb des Kanalgebiets zu bilden. Somit wird die Prozesskomplexität deutlich erhöht, woraus ein Anstieg der Herstellungskosten und die Gefahr der Verringerung der Produktionsausbeute resultiert.
  • Die Veröffentlichung der Patentanmeldung US 2004/0029323 A1 offenbart komplementäre MISFETs mit einer darüber gebildeten Siliziumnitridschicht, die eine mechanische Druck- bzw. Zugspannung in der Kanalzone der MISFETs bewirkt. Die mechanische Spannung kann, durch eine geeignete Wahl der Abscheidverfahren und -parameter oder durch selektives Einbringen von Verunreinigungen in die Schicht, gesteuert werden.
  • Die Veröffentlichung der Patentanmeldung US 2003/0040158 A1 offenbart ein Siliziumsubstrat mit komplementären MOSFETs, die ebenfalls mit einer Siliziumnitridschicht bedeckt sind. Die Siliziumnitridschicht bewirkt eine mechanische Spannung, um die Mobilität der Elektronen in n-Kanal MOSFETS zu erhöhen und die Verformung des Substrates zu reduzieren. Die Siliziumnitridschicht kann mittels LPCVD abgeschieden werden, um eine Zugspannung zu bewirken bzw. mittels PECVD, um eine Druckspannung zu erzeugen.
  • Angesichts der zuvor beschriebenen Situation besteht ein Bedarf für eine alternatives Verfahren, die ein effektiveres Einstellen der Spannung in der Transistorstruktur ermöglicht, sowie für ein mit diesem Verfahren hergestellten Bauelement.
  • Im Allgemeinen richtet sich die vorliegende Erfindung an ein Verfahren, welches das Erzeugen einer spezifizierten, mechanischen Spannung in einem Transistorelement, beispielsweise insbesondere in dem Kanalgebiet des Transistorelements, ermöglicht, indem der Herstellungsprozess für zwei Komponenten, d.h. ein Abstandselement der Gate-Elektrodenstruktur und eine dielektrische Ätzstoppschicht, die zur Herstellung von Kontaktöffnungen nach der Herstellung des Transistorelements verwendet wird, koordiniert wird, wobei beide Komponenten einen deutlichen Einfluss auf die Spannungserzeugung aufgrund ihrer Nähe zu dem Kanalgebiet des Transistorelements besitzen. Wie zuvor erläutert ist, sind typischerweise anspruchsvolle Verfahren zur Herstellung von Abstandselementen erforderlich, um genau festgelegte Prozessbedingungen während der Herstellung von Drain- und Sourceimplantationsgebieten und Silizidgebieten zu gewährleisten, so dass nur eine geringe Flexibilität bei der Gestaltung von Abstandselementen und Fertigungsprozessen für die Abstandselemente auch im Hinblick auf die mechanische Spannung betreffende Aspekte geboten wird. Gemäß der vorliegenden Erfindung bietet das Entfernen zumindest eines Teils des Abstandselements nach dem Fertigstellen des Transistorelements eine erhöhte Flexibilität bei dem Erzeugen von Spannung, da die schließlich gewünschte Spannung effizienter einstellbar ist durch die nachfolgende Herstellung der Ätzstoppschicht aufgrund der vergrößerten freigelegten Bereiche, die nunmehr für das Übertragen von Spannung von der Ätzstoppschicht zu der Gatestruktur und dem Kanalgebiet zur Verfügung steht.
  • Gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das Bilden eines Transistorelements in einem Halbleitergebiet und das Bilden eines ersten Abstandselements benachbart zu Seitenwänden einer Gate-Elektrodenstruktur des Transistorelements. Ferner wird eine Metallverbindung in der Gate-Elektrodenstruktur und Bereichen des Halbleitergebiets gebildet, die nicht von der Gate-Elektrodenstruktur und dem Abstandselement bedeckt sind. Anschließend wird zumindest ein Teil des Abstandselements entfernt und es wird eine erste dielektrische Schicht über dem Transistorelement gebildet, wobei die dielektrische Schicht einen ersten spezifizierten Spannungspegel auf ein Kanalgebiet des Transistorelements ausübt, wobei der erste spezifizierte Spannungspegel eingestellt wird, indem eine Größe des entfernten Bereichs des ersten Abstandselements gesteuert wird.
  • Gemäß einer weiteren anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Halbleiterbauelement ein erstes Transistorelement mit einem ersten Kanalgebiet mit einer ersten spezifizierten mechanischen Spannung. Das Halbleiterbauelement umfasst ferner ein zweites Transistorelement mit einem zweiten Kanalgebiet mit einer zweiten spezifizierten Spannung, die sich von der ersten mechanischen Spannung unterscheidet, wobei das erste und das zweite Kanalgebiet aus dem gleichen kristallinen Halbleitermaterial hergestellt sind, und wobei eine vertikale Abmessung eines ersten Abstandselements, das benachbart zu einer Gate-Elektrodenstruktur des ersten Transistorelements ausgebildet ist, kleiner ist als eine vertikale Abmessung eines zweiten Abstandselements, das benachbart zu einer Gate-Elektrodenstruktur des zweiten Transistorelements gebildet ist.
  • Weitere Vorteile und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird; es zeigen:
  • 1a-1c schematisch Querschnittsansichten eines Transistorelements während diverser Herstellungsstadien, wobei Spannung in einem Kanalgebiet eingestellt wird, indem ein Bereich eines Abstandselements in Verbindung mit dem Abscheiden einer Kontaktätzstoppschicht mit einer spezifizierten inneren Spannung entfernt wird;
  • 1d schematisch einen Graphen, der Messergebnisse für ein Halbleiterbauelement zeigt, das in einer konventionellen Weise und gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung hergestellt ist; und
  • 2a-2f schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Stadien eines Herstellungsprozesses, wobei zwei Transistorelemente, die in einem gemeinsamen Herstellungsprozess gebildet sind, unterschiedliche mechanische Spannungen in den entsprechenden Kanalgebieten aufweisen.
  • Die vorliegende Erfindung beruht auf dem Konzept, dass eine wirksame "Spannungsbearbeitung" eines Transistorelements erreicht werden kann, indem der Herstellungsprozess und/oder die Abmessungen von Transistorkomponenten, die einen äußerst signifikanten Einfluss auf die letztlich erhaltene Spannung in dem Kanalgebiet aufweisen, gesteuert werden. Das heißt, Abstandselemente, die typischerweise zum Steuern einer Implantationssequenz zur Definierung von Source- und Draingebieten und für die Funktion als eine Maske während der Herstellung von Metallsilizidgebieten in einer selbstjustierenden Weise verwendet werden, und eine dielektrische Ätzstoppschicht, die zum Steuern eines Ätzprozesses zur Herstellung von Kontaktöffnungen zu dem Transistorelement verwendet wird, sind benachbart zu dem Kanalgebiet angeordnet und beeinflussen daher deutlich die Spannung darin. In der vorliegenden Erfindung wird zumindest ein Abstandselement abgetragen – teilweise oder vollständig – um die Auswirkung des reduzierten oder entfernten Abstandselements auf die Spannungserzeugung in dem Kanalgebiet zu verringern, die dann im Wesentlichen durch die Kontaktätzstoppschicht bestimmt ist, wodurch die Steuerung der Gesamtspannung des Transistorelements mit dem reduzierten oder entfernten Abstandelement deutlich vereinfacht wird.
  • Mit Bezug zu den Zeichnungen werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Transistorelements 100, das ein Substrat 101 aufweist mit einer darauf ausgebildeten kristallinen Halbleiterschicht 103, die eine Siliziumschicht repräsentieren kann, wenn Halbleiterbauelemente auf Sili ziumbasis betrachtet werden. Es sollte jedoch beachtet werden, dass die Halbleiterschicht 103 eine beliebige Materialzusammensetzung oder Schichtzusammensetzung aufweisen kann, wie sie für das Transistorelement 100 in Bezug auf die Gestaltungs- und Leistungserfordernisse als geeignet angesehen werden. Da die Mehrheit der modernen Halbleiterbauelemente, etwa CPUs, Speicherchips, ASICs, und dergleichen auf der Grundlage von Silizium hergestellt werden, wird in der weiteren Beschreibung die Schicht 103 als eine Siliziumschicht betrachtet, wobei die vorliegende Erfindung jedoch nicht auf Silizium eingeschränkt ist, sofern derartige Einschränkungen nicht explizit in den angefügten Patentansprüchen aufgeführt sind.
  • Die Halbleiterschicht 103 kann von dem Substrat 101 durch eine isolierende Schicht 102, etwa eine Siliziumdioxidschicht getrennt sein, wenn das Transistorelement 100 ein SOI (Silizium auf Isolator) Bauelement repräsentieren soll. In anderen Ausfürungsformen kann das Transistorelement 100 ein Bauteil darstellen, das auf einem Balk-Silizium substrat ausgebildet ist, wobei die Halbleiterschicht 103 einen oberen Oberfläachenbereich davon oder einen Halbleiterbereich repräsentieren kann, der auf dem Balksubstrat epitaktisch aufgewachsen ist. Das Transistorelement 100 umfasst ferner eine Isolationsstruktur 120, die beispielsweise in Form einer Flachgrabenisolation vorgesehen ist, um das Transistorelement 100 von anderen Bauelementen, die auf dem Substrat 101 ausgebildet sind, zu isolieren. Des weiteren ist eine Gate-Elektrodenstruktur 105 über der Halbleiterschicht 103 ausgebildet und davon durch eine Gate-Isolationsschicht 107 getrennt. Die Gate-Elektrodenstruktur 105 kann aus einem Polysiliziumbereich 106 und einem Metallsilizidbereich 108 aufgebaut sein, wobei das Metallsilizid Cobaltsilizid, Nickelsilizid oder eine andere geeignete Materialzusammensetzung mit einem geringen elektrischen Widerstand enthalten kann.
  • Wie zuvor erläutert wurde, kann die Spannungsbearbeitung besonders vorteilhaft für äußerst größenreduzierte Transistorbauelemente sein und somit kann eine Abmessung 113 der Gate-Elektrodenstruktur 105 entlang einer Transistorlängenrichtung, die auch als die Gatelänge 113 bezeichnet wird, deutlich kleiner als 100 nm und kann ungefähr 45 nm für Halbleiterbauelemente sein, die durch eine 90 nm-Technologie hergestellt sind, die gegenwärtig in modernen CPUs und Speicherchips angewendet wird. Ferner umfasst das Transistorelement 100 Source- und Draingebiete 111, die möglicherweise entsprechende Erweiterungsgebiete 114 aufweisen, wobei die Source- und Draingebiete 111 durch ein Kanalgebiet 104 getrennt sind, das im Vergleich zu den Source- und Draingebieten 111 invers dotiert ist und ferner eine deutlich geringere Dotierstoffkonzentration aufweist. Des Weiteren sind Seitenwandabstandselemente 110 benachbart zu den Seitenwänden der Gate-Elektrodenstruktur 105 ausgebildet und sind davon und von der Halbleiterschicht 103 durch eine Beschichtung 109 getrennt, die eine Materialzusammensetzung aufweist, die sich von jener des Seitenwandabstandselements 110 unterscheidet. Beispielsweise können die Seitenwandabstandselemente 110 aus Siliziumnitrid aufgebaut sein und die Beschichtung 109 kann aus Siliziumdioxid hergestellt sein. Jedoch sind andere Materialzusammensetzungen für die Seitenwandabstandselemente 110 und die Beschichtung 109 entsprechend den Entwurfs- und Leistungserfordernissen verwendbar. Beispielsweise kann das Seitenwandabstandselement 110 aus Siliziumdioxid aufgebaut sein, während die Beschichtung 109 aus Siliziumnitrid hergestellt sein kann.
  • In diesem Herstellungsstadium ist eine mechanische Spannung 115 innerhalb des Kanalgebiets 104 im Wesentlichen durch die von den Seitenwandabstandselementen 110 erzeugte Spannung bestimmt. Wenn beispielsweise die Seitenwandabstandselemente 110 aus Siliziumnitrid aufgebaut sind, kann eine Druck- oder Zugspannung während der Ausbildung der Seitenwandabstandselemente 110 abhängig von den Entwurfserfordernissen erzeugt werden. Beispielsweise können die Seitenwandabstandselemente 110 eine Druckspannung aufweisen, wodurch die Druckspannung 115 in dem Kanalgebiet 104 erzeugt wird, was vorteilhaft für p-Transistoren sein kann, da die Druckspannung 115 die Beweglichkeit der Löcher in dem Kanalgebiet 104 erhöhen kann.
  • Ein typischer Prozessablauf zur Herstellung des Transistorelements 100, wie es in 1a gezeigt ist, kann die folgenden Prozesse enthalten. Zunächst wird die Halbleiterschicht 103 durch einen epitaktischen Wachstumsprozess oder durch moderne Scheibenverbundtechniken hergestellt. Danach wird die Gate-Isolationsschicht 107 durch Abscheiden und/oder Oxidation auf der Grundlage gut etablierter Prozesstechniken hergestellt. Anschließend wird das Gate-Elektrodenmaterial beispielsweise in Form von Polysilizium durch eine chemische Dampfabscheidung (CVD) bei kleinem Druck entsprechend gut bekannter Rezepte abgeschieden. Danach werden das Gate-Elektrodenmaterial und die Gate-Isolationsschicht 107 durch moderne Fotolithografie- und Ätztechniken auf der Grundlage gut etablierter Prozesse strukturiert. Das Erweite rungsgebiet 114 wird, wenn dieses erforderlich ist, gebildet, wobei möglicherweise Offset-Abstandselemente (nicht gezeigt) gebildet werden, woran sich die Herstellung der Beschichtung 109 und einer Abstandsschicht anschließt, die nachfolgend durch eine anisotrope Ätzung strukturiert wird, um die Seitenwandabstandselemente 110 zu erhalten. Das Abscheiden der Abstandsschicht für die Herstellung der Seitenwandabstandselemente 110 kann auf der Grundlage gut etablierter Prozessrezepte auf der Basis von Silan (SiH4) und Ammoniak (NH3), Stickstoffoxid (N2O) oder Stickstoff (N2) in einer Abscheideanlage für plasmaunterstütztes CVD für eine Siliziumnitridschicht ausgeführt werden, wobei eine Abstandselementsbreite 110a durch Festlegen der anfänglichen Schichtdicke der Abstandsschicht eingestellt wird. Ferner kann die Spannung in den Seitenwandabstandselementen 110 durch die Abscheidebedingungen festgelegt werden, wobei beispielsweise eine Druckspannung in Siliziumnitrid von ungefähr 150 MPa mit gut erprobten Abscheiderezepten erreicht werden kann, während in anderen Ausführungsformen eine Zugspannung von ungefähr 0-1000 MPa erreichbar ist. Im Allgemeinen hängt die in dem Siliziumnitrid während der Abscheidung erzeugte Spannung von der Gasmischung, der Abscheiderate, der Temperatur und dem Ionenbeschuss ab. Gemäß gut bekannter Rezepte kann der entsprechende Betrag an Zugspannung oder Druckspannung in der Abstandsschicht eingestellt werden, indem beispielsweise Prozessparameter variiert werden, die die Plasmaatmosphäre während des Abscheidens der Abstandsschicht mittels plasmaunterstützter chemischer Dampfabscheidung festlegen. Insbesondere die Vorspannungsenergie, die der Plasmaatmosphäre zugeführt wird, kann so variiert werden, um das Maß an Ionenbeschuss während des Abscheideprozesses einzustellen, um damit Zugspannung oder Druckspannung in der Siliziumnitridschicht zu erzeugen. Wenn beispielsweise die Zufuhr der Niederfrequenzleistung deutlich reduziert oder abgeschaltet wird, wird eine Siliziumnitridabstandsschicht mit einer Zugspannung erzeugt. Andererseits erzeugt eine moderat hohe Vorspannung eine Druckspannung in der Siliziumnitridschicht. Ein entsprechender Abscheideprozess kann mit einer beliebigen Abscheideanlage durchgeführt werden, die das Erzeugen einer geeigneten Plasmaatmosphäre ermöglicht.
  • Nach der Ausbildung der Seitenwandabstandselemente 110 können die Source- und Draingebiete 111 durch Ionenimplantation hergestellt werden, wobei die Gate-Elektrodenstruktur 105 und die Seitenwandabstandselemente 110 als eine Implantationsmaske dienen. Es sollte jedoch beachtet werden, dass, obwohl ein einzelnes Sei tenwandabstandselement 110 in 1a gezeigt ist, zwei oder mehrere unterschiedliche Abstandselemente vorgesehen werden können, um eine verbesserte Implantationsmaske zu schaffen, wenn ein ausgeprägteres laterales Profilieren der Drain- und Sourcegebiete 111 erforderlich ist. Das heißt, in einigen Ausführungsformen kann das Seitenwandabstandselement 111 aus zwei oder mehreren Abstandselementen aufgebaut sein, die voneinander durch entsprechende Beschichtungen getrennt sind. Hinsichtlich der Herstellung der einzelnen Abstandselemente einschließlich ihrer inneren mechanischen Spannungen gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu dem Seitenwandabstandselement 110 erläutert sind.
  • Nach den Implantationssequenzen zur Herstellung der Drain- und Sourcegebiete 111 können Ausheizprozesse ausgeführt werden, um Dotierstoffe zu aktivieren und Gitterschäden, die während der Implantation hervorgerufen wurden, zumindest teilweise auszuheilen. Danach können die Metallsilizidgebiete 108, 112 durch Abscheiden eines geeigneten hochschmelzenden Metalls und durch Ingangsetzen einer chemischen Reaktion mit dem darunterliegenden Silizium oder Halbleitermaterial gebildet werden, wobei das Seitenwandabstandselement 110 als eine Reaktionsmaske dient, da im Wesentlichen keine Reaktion zwischen dem hochschmelzenden Metall und dem Material des Seitenwandabstandselements 110 auftritt, so dass nach der chemischen Reaktion das nicht reagierte hochschmelzende Metall einfach durch gut bekannte selektive Ätztechniken entfernt werden kann, wodurch die Ausbildung leitender Pfade zwischen der Gate-Elektrodenstruktur 105 und den Drain- und Sourcegebieten 111 vermieden wird. In einigen Ausführungsformen kann das Seitenwandabstandselement 110 während des anisotropen Ätzprozesses zur Herstellung des Seitenwandabstandselements 110 vertieft werden, wodurch obere Seitenwandbereiche der Beschichtung 109 freigelegt werden, die dann auch entfernt werden können, um obere Seitenwandbereiche der Gate-Elektrodenstruktur 105 freizulegen. In diesem Falle wird die chemische Reaktion zwischen dem hochschmelzenden Metall und dem Polysilizium 106 verstärkt, um ein größeres Metallsilizidgebiet 108 in der Gate-Elektrodenstruktur 105 zu bilden. Das Maß an Vertiefung oder Zurückätzung des Seitenwandabstandselements 110 ist durch den Be trag an abschattenden Wirkung bestimmt, die während der Implantationszyklen erforderlich ist, und somit kann das Seitenwandabstandselement 110 nicht in beliebiger Weise vertieft werden. In anderen Ausführungsformen kann das Seitenwandabstandselement 110 nach der Herstellung der Drain- und Sourcegebiete 111 und vor dem Ausbilden der Metallsilizidgebiete 108 und 112 vertieft werden. In diesem Falle ist das Maß an Vertiefung des Seitenwandabstandselements 110 durch die erforderliche maskierende Wirkung während der chemischen Reaktion zwischen dem hochschmelzenden Metall und dem Halbleitermaterial in der Gate-Elektrodenstruktur 105 und den Drain- und Sourcegebieten 111 bestimmt, um zuverlässig die Ausbildung leitender Pfade zwischen diesen Gebieten zu vermeiden.
  • 1b zeigt schematisch das Transistorelement 100 nach dem Entfernen eines Teils des Seitenwandabstandselements 110, das in 1a gezeigt ist, um ein reduziertes oder vertieftes Seitenwandabstandselement 110b zu erhalten, wobei in der in 1b gezeigten Ausführungsform die Beschichtung 109 im Wesentlichen beibehalten bleibt. In diesem Falle kann das Entfernen eines Teils des Seitenwandabstandselements 110 (1a) nach der Ausbildung der Metallsilizidgebiete 108 und 112 durch einen selektiven Ätzprozess ausgeführt werden, wobei die Beschichtung 109 als eine Ätzstoppschicht dient. Wenn beispielsweise das Seitenwandabstandselement 110 aus Siliziumnitrid aufgebaut ist, kann ein selektiver Ätzprozess auf der Grundlage heißer Phosphorsäure ausgeführt werden. Während des Entfernens eines Bereichs des Seitenwandabstandselements 110 kann eine Lackmaske (nicht gezeigt) die Source- und Draingebiete 111 abdecken, wie dies auch mit Bezug zu 2b später erläutert ist.
  • Da die Metallsilizidgebiete 108 und 112 vor dem Entfernen eines Teils des Seitenwandabstandselements 110 gemäß einer speziellen Ausführungsform gebildet werden können, können die Abmessungen des reduzierten Seitenwandabstandselements 110b in Übereinstimmung mit den Erfordernissen für die Spannungsbearbeitung ausgewählt werden, da eine maskierende Wirkung des Seitenwandabstandselements 110b für eine Implantation oder Silizidbildung nicht mehr erforderlich ist. Somit kann in einigen Ausführungsformen das Seitenwandabstandselement 110 im Wesentlichen vollständig entfernt werden. In anderen Ausführungsformen, wenn das reduzierte Seitenwandabstandselement 110b nach der Implantation der Source- und Draingebiete 111 und vor der Ausbildung der Metallsilizidgebiete 108 und 112 gebildet wird, können die Abmessungen so festgelegt werden, dass das reduzierte Seitenwandabstandselement 110b dennoch zuverlässig die Ausbildung eines leitenden Pfades während des Silizidierungsprozesses verhindert. Es sollte in diesem Falle beachtet werden, dass die Beschichtung 109 eben so entfernt werden kann, um größere Bereiche der Halbleiterschicht 103 und der Polysiliziumleitung 106 freizulegen. Eine entsprechende Entfernung freigelegter Bereiche der Beschichtung 109 kann durch einen Nassätzprozess auf der Grundlage wässrigen Fluorsäure erreicht werden, wenn die Beschichtung aus Siliziumdioxid aufgebaut ist.
  • Im Hinblick auf eine verbesserte Flexibilität bei der Verringerung der Wirkung des Seitenwandabstandselements 110b auf die Gesamtspannung 115, die in dem Kanalgebiet 104 erzeugt wird, kann es vorteilhaft sein, das Seitenwandabstandselement 110 (1a) vor der Ausbildung der Metallsilizidgebiete 108 und 112 zu reduzieren oder abzutragen, wie dies in 1b gezeigt ist. Unabhängig von dem Prozess zum Reduzieren oder Entfernen des Seitenwandabstandselements 110 ist die Spannung in dem Kanalgebiet 104 während dieses Herstellungsstadiums deutlich reduziert im Vergleich zu dem Bauelement aus 1a, da die für das Übertragen der Spannung von dem Seitenwandabstandselement 110b zu der Gate-Elektrodenstruktur 105 und den Drain- und Sourcegebieten 111 verfügbare Fläche deutlich verringert ist. Folglich ist der Spannungserzeugungsmechanismus für das Kanalgebiet 104 im Wesentlichen von dem Seitenwandabstandselement 110b "entkoppelt".
  • 1c zeigt schematisch das Transistorelement 100 in einem weiteren fortgeschrittenen Herstellungsstadium. Das Transistorelement 100 umfasst ferner eine erste dielektrische Schicht 116, die über den Drain- und Sourcegebieten 111 angeordnet und auf der Gate-Elektrodenstruktur 105 und dem reduzierten Seitenwandabstandselement 110b und der Beschichtung 109 ausgebildet ist. Die erste dielektrische Schicht 116 kann aus einem beliebigen geeigneten Material aufgebaut sein, das als eine Ätzstoppschicht während einer nachfolgenden Kontaktätzung zur Herstellung von Kontaktöffnungen 118 in einer zweiten dielektrischen Schicht 117, die auf der ersten dielektrischen Schicht 116 ausgebildet ist, dienen können. Ferner ist die erste dielektrische Schicht 116 so ausgebildet, um eine spezifizierte mechanische Spannung, beispielsweise eine spezifizierte Druckspannung aufzuweisen, die so ausgewählt ist, dass die gewünschte Gesamtspannung 115 in dem Kanalgebiet 104 erzeugt wird. Beispielsweise kann die erste dielektrische Schicht 116 aus Siliziumnitrid aufgebaut sein, das mittels geeignet ausgewählter Abscheideparameter hergestellt ist, um damit die gewünschte Spannung in der Schicht 116 zu erreichen. Die Spannung in der dielektrischen Schicht 116 kann entsprechend den Entwurfserfordernissen ausgewählt werden und kann von der Art des Transistors ab hängen, den das Element 100 repräsentiert. Zum Beispiel kann die dielektrische Schicht 116 so gebildet sein, dass diese eine darin erzeugte innere Druckspannung aufweist, die wiederum benutzt werden kann, um eine spezifizierte Druckspannung 115 in dem Kanalgebiet 104 zu erzeugen, wodurch die Beweglichkeit der Löcher verbessert wird, wenn das Element 100 ein p-Transistor ist. Dabei ist die schließlich erhaltene Druckspannung 115 im Wesentlichen durch die dielektrische Schicht 116 bestimmt anstelle einer komplizierteren Kombination aus einem Abstandselement, etwa dem Abstandselement 110 (1a) und der entsprechenden Ätzstoppschicht 116, wie dies in dem konventionellen Prozessablauf der Fall ist, so dass die Erzeugung und die Steuerung der Spannung 115 deutlich verbessert wird. Eine effektive und zuverlässige Spannungssteuerung kann vorteilhaft für Transistoren sein, die eine Gatelänge 113 von 50 nm oder sogar weniger aufweisen. In anderen Ausführungsformen kann das Transistorelement 100 einen n-Transistor repräsentieren, wobei das anfängliche Seitenwandabstandselement 110 (1a) eine Druckspannung oder eine Zugspannung aufweisen kann, wobei auch hier die letztlich erhaltene Spannung 115 im Wesentlichen durch die innere Spannung der ersten dielektrischen Schicht 116 eingestellt werden kann. Selbst wenn beispielsweise das anfängliche Seitenwandabstandselement 110 eine Druckspannung aufweist, kann die Schicht 116 mit innerer Zugspannung gebildet werden, wodurch die restliche geringe Druckspannung des reduzierten Abstandselements 110b (1b) in wirksamer Weise überkompensiert wird. In ähnlicher Weise kann das anfängliche Seitenwandabstandselement 110 eine Zugspannung aufweisen, wobei deren Auswirkung vernachlässigbar wird, indem das Seitenwandabstandselement 110 zu dem reduzierten Abstandselement 110b zurückgeätzt wird, wodurch die schließlich erhaltene Zugspannung 115 durch die dielektrische Schicht 116 anstatt durch eine Kombination des Abstandselements 110 und der Schicht 116 bestimmt ist, wie das mit Bezug zu der in 1c gezeigten Druckspannung erläutert ist.
  • Ein typischer Prozessablauf zur Herstellung des Transistorelements 100, wie es in 1c gezeigt ist, kann die folgenden Prozesse umfassen. Nach der Ausbildung der dielektrischen Schicht 116 durch gut etablierte plasmaunterstützte CVD-Techniken, in denen Prozessparameter so eingestellt sind, um eine gewünschte innere Spannung in der Schicht 116 zu erzeugen, wird die dielektrische Schicht 117 beispielsweise in Form von Siliziumdioxid mit plasmaunterstützter chemischer Dampfabscheidung abgeschieden. Danach werden die Kontaktöffnungen 118 mittels einer entsprechenden Fotolithografie und anisotroper Ätztechniken gebildet, wie dies im Stand der Technik bekannt ist, wobei die Schicht 116 als eine Ätzstoppschicht dient. Der Einfachheit halber wird eine Kontaktöffnung für die Gate-Elektrodenstruktur 105 mit einer reduzierten Tiefe im Vergleich zu den Öffnungen 118 nicht gezeigt, da diese typischerweise in einer anderen Ebene in Bezug auf die Transistorbreitenrichtung liegt, d.h. in der Richtung senkrecht zu der Zeichenebene aus 1.
  • Es gilt also, aufgrund der teilweisen oder vollständigen Entfernung des Seitenwandabstandselements 110, nachdem dessen maskierende Wirkung nicht mehr erforderlich ist, wird eine verbesserte Flexibilität in der Gestaltung der mechanischen Spannung in dem Kanalgebiet 104 erreicht, wodurch auch eine verbesserte Steuerung des Transistorverhaltens ermöglicht wird.
  • 1d zeigt schematisch einen Graphen, der die Messergebnisse für mehrere Transistorelemente 100 zeigt, die entsprechend den oben beschriebenen Ausführungsformen hergestellt sind, im Vergleich zu konventionell hergestellten Transistorelementen mit den gleichen Entwurfsregeln, in denen die Spannungserzeugung in den entsprechenden Kanalgebieten jedoch durch eine konventionelle Gestaltung ohne Reduzieren oder Entfernen des Seitenwandabstandselements nach der Fertigstellung der grundlegenden Transistorstruktur erreicht wurde. Für das Bewerten des Leistungsverhaltens wurden entsprechende Testschaltungen gebildet mittels mehrerer Transistorelemente, die so verbunden sind, um einen Ringoszillator zu bilden, wobei die Arbeitsgeschwindigkeit des Ringoszillators, d.h. die Taktsignalfrequenz, variiert und der sich ergebende Leckstrom der Ringoszillatorschaltung überwacht wird. Die Kurve A in 1d repräsentiert eine Fitkurve für Messergebnisse, die sich auf Transistorelemente 100 gemäß der vorliegenden Erfindung beziehen, während die Kurve B die Fitkurve der Messergebnisse der konventionellen Bauelemente repräsentiert. Wie aus 1d hervorgeht, wird eine deutliche Verbesserung in der Geschwindigkeit für den gleichen Pegel an Leckströmen erreicht, wodurch ein verbessertes Transistorleistungsverhalten angezeigt wird. Die Messergebnisse können so interpretiert werden, dass für eine gegebene grundlegende Transistorgestalt, d.h. für eine gegebene Gatelänge, eine vorgegebene Dicke der Gate-Isolationsschicht 107 und eine vorgegebene Gestalt und der Drain- und Sourcegebiete eine erhöhte Leitfähigkeit des Kanalgebiets 104 erreicht werden kann, während die Leckströme, die im Wesentlichen durch die geometrische Konfiguration der Gatestruktur und die Eigenschaften der pn-Übergänge, die durch die Drain- und Sourcegebiete definiert sind, bestimmt sind, im Wesentlichen unverändert bleiben. Die Verbesserung des Bauteilverhaltens kann für eine Art von Transistorelementen erreicht werden, d.h. für n-Transistoren oder p-Transistoren, wobei die Verbesserung eines Transistortyps auch das Gesamtbauteilverhalten verbessert. Ferner kann in Halbleiterbauelementen, die darin im Wesentlichen nur eine Art an Transistoren ausgebildet aufweisen, beispielsweise NMOS-Bauelemente, das Gesamtleistungsverhalten in noch höherem Maße verbessert sein.
  • Mit Bezug zu den 2a-2f werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben, wobei die Spannungsbearbeitung in einem Transistor in ähnlicher Weise ausgeführt werden kann, wie dies mit Bezug zu den 1a-1c beschrieben ist, wobei im Wesentlichen ein Einfluss der Spannungsbearbeitung des ersten Transistors auf einen zweiten Transistor vermieden wird.
  • In 2a umfasst ein Halbleiterbauelement 250 ein erstes Transistorelement 200n und ein zweites Transistorelement 200p, die beide eine ähnliche Konfiguration aufweisen, wie dies auch in 1a gezeigt ist. Hierbei kann der erste Transistor 200n einen n-Transistor repräsentieren, während der zweite Transistor 200p einen p-Transistor darstellen kann. Jedoch können die Transistoren 200n, 200p Transistoren der gleichen Art oder unterschiedlicher Art repräsentieren, die mit relativ großem Abstand zueinander innerhalb des gleichen Chips oder in unterschiedlichen Chipbereichen angeordnet sind. Wenn beispielsweise Geschwindigkeitsvariationen über eine Halbleiterscheibe hinweg beobachtet werden, können unterschiedliche Gebiete der Scheibe eine unterschiedliche mechanische Kanalspannung erfordern, um eine gleichförmigere Geschwindigkeitsverteilung bereitzustellen.
  • Der erste Transistor 200n umfasst das Substrat 201, eine Isolationsschicht 202 und eine Halbleiterschicht 203. Eine Gatestruktur 205 ist über der Schicht 203 ausgebildet und ist von dieser durch eine Gate-Isolationsschicht 207 getrennt, wobei die Gate-Elektrodenstruktur 205 eine Polysiliziumleitung 206 und ein Metallsilizidgebiet 208 enthalten kann. Ein Abstandselement 210 ist an Seitenwänden der Gate-Elektrodenstruktur 205 ausgebildet und ist davon durch eine Beschichtung 209 getrennt. Drain- und Sour cegebiete 211, die optional Erweiterungsgebiete 214 enthalten können, sind in der Halbleiterschicht 203 ausgebildet und voneinander durch ein Kanalgebiet 204 getrennt.
  • Der zweite Transistor 200p kann die gleichen Komponenten aufweisen, wobei die Leitfähigkeitsart des Kanalgebiets 204 und der Drain- und Sourcegebiete 211 invers im Vergleich zu den entsprechenden Gebieten des ersten Transistors 200n sein kann, wenn die Transistoren 200n, 200p ein komplementäres Transistorpaar repräsentieren, die in unmittelbarer Nachbarschaft zueinander ausgebildet und durch eine Flachgrabenisolation 220 getrennt sind.
  • Hinsichtlich der Herstellungsprozesse können die gleichen Techniken und Rezepte angewendet werden, wie sie mit Bezug zu dem Transistorelement 100 beschrieben sind, das in 1a gezeigt ist.
  • 2b zeigt schematisch das Halbleiterbauelement 250 mit entsprechenden Lackmasken 230n und 230p, wobei die Lackmaske 230n so gestaltet ist, um im Wesentlichen das erste Transistorelement 200n freizulegen, während die Lackmaske 230p im Wesentlichen vollständig den zweiten Transistor 200p bedeckt. Die Lackmasken 230n und 230p können durch gut etablierte Fotolithografietechniken gebildet werden, wobei die Problematik der Überlagerung für die Lackmaske 230n weniger kritisch ist, da der nachfolgende Ätzprozess als ein selektiver Ätzprozess mit einer geringeren Abtragsrate für die Metallsilizidgebiete 208 und 212 ausgeführt werden kann, so dass freigelegte Bereiche der Metallsilizidgebiete 212, die durch eine geringfügige Fehljustierung der Lackmaske 230 hervorgerufen werden, keine ernstzunehmenden Schäden an den darunterliegenden Bereichen hervorrufen. Ferner sollte beachtet werden, dass das Abstandselement 210 des ersten Transistors 200n zu einem gewissen Maße vertieft werden kann, bevor die Metallsilizidgebiete 208, 212 hergestellt werden, wie dies auch mit Bezug zu den 1a und 1b erläutert ist. Daher kann in einem nachfolgenden selektiven Ätzprozess unter Verwendung der Maske 230n die Ätzzeit entsprechend kürzer gewählt werden, um die gewünschte Materialabtragung der Abstandselement 210 zu erreichen, wodurch Vorgaben im Bezug auf Justierfehler zur Herstellung der Lackmaske 230n noch weniger kritisch sein können. In einigen Ausführungsformen kann, wenn die Materialschädigung in dem Metallsilizidgebiet 208 weniger kritisch ist, der Ätzprozess zum Abtragen des Materials des Abstandselements 210 als ein anisotroper Ätzprozess anstelle eines äußerst selektiven nasschemischen Prozesses ausgeführt werden.
  • 2c zeigt schematisch das Halbleiterbauelement 250 nach dem Ätzprozess für das Entfernen eines Teils des Abstandselements 210 und nachdem die Lackmasken 230n und 230p entfernt sind. Somit ist in der in 2c gezeigten Ausführungsform ein reduziertes Abstandselement 210b gebildet, während in anderen Ausführungsformen das Abstandselement 210 im Wesentlichen vollständig entfernt sein kann. Folglich ist eine Spannung 215n in dem Kanalgebiet 204 des Transistors 230n aufgrund des Abstandselements 210 deutlich verringert, wobei eine mechanische Spannung 215p in dem Transistor 200p weiterhin im Wesentlichen durch die innere Spannung, beispielsweise eine Druckspannung, bestimmt ist, die in den Abstandselementen 210 des Transistors 200p vorherrscht. Wenn der Transistor 200p einen p-Transistor repräsentiert, kann dieser vorzugsweise eine Druckspannung 215p in dem Kanalgebiet 21 aufweisen, um damit die Beweglichkeit der Löcher zu erhöhen. Der Transistor 200p kann jedoch einen anderen Transistortyp repräsentieren, etwa einen n-Transistor, wobei beispielsweise die anfängliche während der Ausbildung der Abstandselemente 210 erzeugte Spannung eine Zugspannung ist, wodurch eine Zugspannung in dem entsprechenden Kanalgebiet 204 erzeugt wird, wohingegen die Zugspannung in dem Transistor 200n deutlich verringert ist.
  • 2d zeigt schematisch das Halbleiterbauelement 250 mit einer darauf ausgebildeten ersten dielektrischen Schicht 216, die als eine Ätzstoppschicht in einem nachfolgenden Prozess zur Herstellung von Kontaktöffnungen zu den Drain- und Sourcegebieten 211 und der Gate-Elektrodenstruktur 205 dienen soll. Die dielektrische Schicht 216 kann beispielsweise als eine Siliziumnitridschicht bereitgestellt werden, deren innere Spannung durch entsprechendes Festlegen der Abscheideparameter eingestellt werden kann, wie dies zuvor erläutert ist. Beispielsweise kann die Siliziumnitridschicht 216 mit einer spezifizierten Zugspannung abgeschieden werden, wenn der erste Transistor 200n einen n-Transistor repräsentiert, um damit eine Zugspannung 215 in dem entsprechenden Kanalgebiet 204 zu erzeugen, wobei die Steuerung der Größe der Zugspannung im Wesentlichen durch die dielektrische Schicht 216 aufgrund der vergrößerten Oberfläche bestimmt ist, die eine wirksame mechanische Ankopplung der Schicht 216 an die darunterliegenden Source- und Draingebiete 211 ermöglicht. In einer Ausführungsform kann die Spannung in dem Kanalgebiet 204 des ersten Transistors 200n auf einen entsprechend geringen Wert eingestellt werden, der durch das reduzierte Abstandselement 210b erreicht wird, indem die dielektrische Schicht 216 einer gerichteten Plasmabehandlung unterzogen wird, wodurch die Spannung in der Schicht 216 deutlich verringert wird. Folglich kann ein relativ geringer Betrag an Spannung in dem Transistor 200n verbleiben, während ein deutlicher Betrag an Spannung in dem zweiten Transistor 200p vorhanden sein kann. Wenn beispielsweise der erste Transistor 200n einen n-Transistor repräsentiert, kann eine reduzierte Druckspannung oder eine Spannung von im Wesentlichen gleich Null aufgrund der intrinsisch höheren Beweglichkeit von Elektronen im Vergleich zu der geringeren Beweglichkeit der Löcher in dem p-Transistor 200p angemessen sein. Daher kann es in einigen Ausführungsformen geeignet sein, eine moderat hohe Druckspannung in dem p-Transistor beizubehalten, während die Druckspannung in dem n-Transistor reduziert oder zu Null gemacht wird, um ein im Wesentlichen symmetrisches elektrisches Verhalten zu erreichen. Dazu kann die dielektrische Schicht 216 mit keiner oder einem sehr geringen Betrag an inhärenter Spannung abgeschieden werden, oder in anderen Ausführungsformen kann die dielektrische Schicht 216 mit Druckspannung oder Zugspannung entsprechend konventionellen Prozessrezepten abgeschieden werden und die innere Spannung kann durch eine entsprechende Plasmabehandlung entspannt werden, beispielsweise mittels nicht reaktiver Ionen, etwa Argon oder Helium. Eine entsprechende Plasmabehandlung kann in einer beliebigen geeigneten Plasmaätzanlage oder Abscheideanlage durchgeführt werden, die zum Erzeugen einer gerichteten Plasmaatmosphäre ausgestattet ist. In anderen Ausführungsformen kann die dielektrische Schicht 216 durch einen Ionenbeschuss entspannt werden, der durch eine Ionenimplantationsanlage auf Grundlage moderat geringer Implantationsenergien und einer moderat hohen Implantationsdosis mit einer Ionengattung, etwa Xenon, Germanium und dergleichen erzeigt wird.
  • Für die weitere Beschreibung wird angenommen, dass die dielektrische Schicht 216 eine intrinsische Zugspannung aufweist, um die Spannung 215n als Zugspannung zu erzeugen, um damit eine Elektronenbeweglichkeit in dem Kanalgebiet 204 des Transistors 200n zu erhöhen.
  • 2e zeigt schematisch das Halbleiterbauelement 250 mit einer Lackmaske 240n, die über dem Transistor 200n ausgebildet ist, und mit einer Lackmaske 240p, die über dem zweiten Transistor 200p gebildet ist, wobei die Lackmaske 240p wesentliche Bereiche der dielektrischen Schicht 216 über der Transistorstruktur freilegt. Die Lackmasten 240n und 240p können durch Fotolithografie auf der Grundlage eines Maskensatzes hergestellt werden, der auch für die selektive Ausbildung der Drain- und Sourcegebiete der Transistoren 200n und 200p verwendet wird, so dass die zusätzliche Prozesskomplexität gering bleibt. Freigelegte Bereiche des zweiten Transistors 200p werden einem Ionenbeschuss 260 unterzogen, um die inhärente Zugspannung in dem freigelegten Bereich der Schicht 216 zu relaxieren oder zu reduzieren, um damit im Wesentlichen die Druckspannung 215p beizubehalten, die durch die intakten Abstandselemente 210 des Transistors 200p erzeugt wird. Der Ionenbeschuss kann mittels Ionenimplantation oder Plasmabehandlung ausgeführt werden, wobei beispielsweise die Implantationsenergie und Dosis an die Dicke der Schicht 216 und die Art der verwendeten Ionenspezies angepasst sind. In ähnlicher Weise können die Parameter einer gerichteten Plasmaatmosphäre auf der Grundlage der Schichteigenschaften festgelegt werden. Geeignete Parameterwerte können einfach durch Testdurchläufe und/oder Simulationsberechnung ermittelt werden.
  • 2f zeigt schematisch das Halbleiterbauelement 250 ohne die Lackmasken 240n und 240p, wobei die noch in der dielektrischen Schicht 216 vorhandene Zugspannung eine Zugspannung 215n in dem Kanalgebiet 204 des Transistors 200n hervorruft, wohingegen eine im Wesentlichen relaxierte dielektrische Schicht 216p über dem zweiten Transistor 200p gebildet ist und damit die Druckspannung 215p, die von den Abstandselementen 210 erzeugt wird, nicht beeinflusst. Es sollte jedoch beachtet werden, dass eine andere geeignete Konfiguration von Spannungsdifferenzen zwischen dem ersten Transistor 200n und dem zweiten Transistor 200p durch die zuvor beschriebenen Ausführungsformen erreicht werden kann, wobei eine verbesserte Spannungssteuerung in einem Transistor erreicht wird, indem die Auswirkung der Abstandselemente deutlich verringert wird, während der zweite Transistor 200p im Wesentlichen ohne Beeinflussung durch die Wirkung der dielektrischen Schicht 216 ausgebildet wird, wodurch ein hohes Maß an Entwurfsflexibilität und ein hohes Maß an Zuverlässigkeit und Reproduzierbarkeit in der Erzeugung unterschiedlicher Spannungspegel in unterschiedlichen Transistorbauelementen sichergestellt wird. In anderen Ausführungsformen kann eine kombinierte Wirkung der Seitenwandabstandselemente 210 und der dielektrischen Schicht 216 verwendet werden, wenn eine hohe Beweglichkeit für einen spezifizierten Ladungs trägertyp in einem Transistor erforderlich ist, wohingegen eine moderat hohe intrinsische Beweglichkeit in dem anderen Transistor ausreichend ist. Beispielsweise kann die Schicht 216 mit Druckspannung abgeschieden werden, um die Druckspannung 215p noch mehr zu erhöhen, wobei die Schicht 216 über dem Transistor 200n durch Ionenbeschuss relaxiert wird, so dass in Kombination mit den reduzierten Abstandselementen 210b das Kanalgebiet im Wesentlichen spannungsfrei ist, wodurch ebenso das Leistungsverhalten verbessert wird im Vergleich zu einem konventionellen n-Transistor mit einem Abstandselement mit Druckspannung und einer Ätzstoppschicht mit Druckspannung.
  • Wie zuvor erläutert ist, werden häufig erhöhte Drain- und Sourcegebiete für modernste Transistoren vorgesehen. Die vorliegende Erfindung ist auch auf eine derartige Transistorkonfiguration ohne wesentlichen Modifizierung der oben beschriebenen Prozesse anwendbar.
  • Es gilt also: die vorliegende Erfindung stellt ein Verfahren bereit, welches das Herstellen eines Transistorbauelements mit erhöhter Flexibilität und Steuerung der Spannung in dem entsprechenden Kanalgebiet ermöglicht, indem zumindest ein Teil eines Seitenwandabstandselements entfernt wird, oder indem das Seitenwandabstandselement vollständig entfernt wird, um den Einfluss des Seitenwandabstandselements auf die in dem Kanalgebiet erzeugte Spannung zu reduzieren oder zu vermeiden. Somit kann die Spannung in effizienterer Weise durch eine entsprechend gestaltete Kontaktätzstoppschicht übertragen und gesteuert werden. Ferner können mittels eines Ionenbeschusses für das teilweise oder vollständige Entspannen der Kontaktätzstoppschicht unterschiedliche Beträge an mechanischer Spannung auf unterschiedlichen Substratgebieten erreicht werden, ohne dass dies zu einem deutlichen Anstieg der Prozesskomplexität beiträgt. Die vorliegende Erfindung bietet somit die Möglichkeit, das Bauteilverhalten auf einem "lokalen Maßstab" zu verbessern, indem beispielsweise Zugspannung in n-Transistoren und Druckspannung in p-Transistoren eingeführt wird, und kann ferner die Bauteilgleichförmigkeit auf einem "globalen Maßstab" verbessern, d.h. über das Substrat hinweg oder von Substrat zu Substrat, indem der Spannungspegel unterschiedlicher Substratpositionen in unterschiedlicher Weise angepasst wird. Selbst eine mehrstufige Spannungsanpassung kann erreicht werden, indem beispielsweise die Schichtspannungsrelaxierung, die zuvor mit Bezug zu 2e beschrieben ist, mit aufeinanderfolgenden Io nenbeschussschritten ausgeführt wird, wobei jeder Schritt eine anders gestaltete Lackmaske verwendet. Somit kann die Spannungsbearbeitung auf der Grundlage von Spannungsmessungen und/oder elektrischen Daten zuvor bearbeiteter Substrate ausgeführt werden, wodurch zu einer erhöhten Leistungsfähigkeit und Ausbeute für modernste Halbleiterbauelemente beigetragen wird.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der vorliegenden Erfindung zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (23)

  1. Verfahren mit: Bilden eines Transistorelements in einem Halbleitergebiet; Bilden eines ersten Abstandselements benachbart zu Seitenwänden einer Gate-Elektrodenstruktur des Transistorelements; Bilden einer Metallverbindung in der Gate-Elektrodenstruktur und Bereichen des Halbleitergebiets, die nicht von der Gate-Elektrodenstruktur und dem ersten Abstandselement bedeckt sind; Entfernen mindestens eines Bereichs des ersten Abstandselements; und Bilden einer ersten dielektrischen Schicht über dem Transistorelement, wobei die erste dielektrische Schicht einen ersten spezifizierten Spannungspegel in einem Kanalgebiet des Transistorelements hervorruft, wobei der erste spezifizierte Spannungspegel eingestellt wird, indem eine Größe des entfernten Bereichs des ersten Abstandselements gesteuert wird.
  2. Verfahren nach Anspruch 1, wobei die erste spezifizierte Spannung eine Druckspannung und das Transistorelement ein p-Transistor ist.
  3. Verfahren nach Anspruch 1, wobei die erste spezifizierte Spannung eine Zugspannung und das Transistorelement ein n-Transistor ist.
  4. Verfahren nach Anspruch 1, wobei das erste Abstandselement im Wesentlichen vollständig entfernt wird.
  5. Verfahren nach Anspruch 1, das ferner umfasst: Abscheiden einer zweiten dielektrischen Schicht über der ersten dielektrischen Schicht und Bilden einer Kontaktöffnung in der ersten dielektrischen Schicht und der zweiten dielektrischen Schicht, wobei die erste dielektrische Schicht als eine Ätzstoppschicht dient.
  6. Verfahren nach Anspruch 1, das ferner umfasst: Bilden eines zweiten Transistorelements in einem zweiten Halbleitergebiet, wobei die erste dielektrische Schicht so gebildet wird, um einen zweiten spezifizierten Spannungspegel in einem Kanalgebiet des zweiten Transistors hervorzurufen, wobei sich der zweite spezifizierte Spannungspegel von dem ersten spezifizierten Spannungspegel unterscheidet.
  7. Verfahren nach Anspruch 6, wobei der erste spezifizierte Spannungspegel und/oder der zweite spezifizierte Spannungspegel eingestellt wird durch Beschießen mindestens eines Teils der ersten dielektrischen Schicht mit Ionen.
  8. Verfahren nach Anspruch 7, wobei Bilden des ersten Abstandselements umfasst: Bilden eines zweiten Abstandselements benachbart zu einer zweiten Gate-Elektrodenstruktur des zweiten Transistorelements, wobei das erste Abstandselement und das zweite Abstandselement einen dritten spezifizierten Spannungspegel aufweisen.
  9. Verfahren nach Anspruch 8, wobei die dritte spezifizierte Spannung eine Druckspannung aufweist und wobei die erste spezifizierte Spannung eine Zugspannung aufweist.
  10. Verfahren nach Anspruch 8, wobei die dritte spezifizierte Spannung eine Zugspannung und die erste spezifizierte Spannung eine Druckspannung aufweist.
  11. Verfahren nach Anspruch 1 oder 6, wobei Entfernen mindestens eines Teils des ersten Abstandselements umfasst: Bilden einer Lackmaske, um die Gate-Elektrodenstruktur und das erste Abstandselement freizulegen, und selektives Ätzen des ersten Abstandselements.
  12. Verfahren nach Anspruch 11, das ferner Bedecken des zweiten Transistorelements beim selektiven Ätzen des ersten Abstandselements umfasst.
  13. Verfahren nach Anspruch 7, wobei der Ionenbeschuss zum Reduzieren einer Spannung in dem Bereich der ersten dielektrischen Schicht ausgeführt wird, indem eine erste Lackmaske gebildet wird, die den Bereich der ersten dielektrischen Schicht einer ersten Dosis des Ionenbeschusses aussetzt.
  14. Verfahren nach Anspruch 13, das ferner umfasst: Entfernen der ersten Lackmaske und Bilden einer zweiten Lackmaske, die einen zweiten Bereich der ersten dielektrischen Schicht einer zweiten Dosis des Ionenbeschusses aussetzt.
  15. Halbleiterbauelement mit: einem ersten Transistorelement mit einem ersten Kanalgebiet mit einer ersten spezifizierten mechanischen Spannung; und einem zweiten Transistorelement mit einem zweiten Kanalgebiet mit einer zweiten spezifizierten mechanischen Spannung, die sich von der ersten mechanischen Spannung unterscheidet, wobei das erste und das zweite Kanalgebiet in einer gemeinsamen kristallinen Halbleiterschicht ausgebildet sind, und wobei eine vertikale Abmessung eines ersten Abstandselements, das benachbart zu einer Gate-Elektrodenstruktur des ersten Transistorelements ausgebildet ist, kleiner ist als eine vertikale Abmessung eines zweiten Abstandselements, das benachbart zu einer Gate-Elektrodenstruktur des zweiten Transistorelements gebildet ist.
  16. Halbleiterbauelement nach Anspruch 15, wobei das erste und das zweite Transistorelement ein komplementäres Transistorpaar bilden.
  17. Halbleiterbauelement nach Anspruch 15, das ferner eine dielektrische Schicht aufweist, die über dem ersten und dem zweiten Transistorelement ausgebildet ist, wobei eine mechanische Spannung der dielektrischen Schicht an einem ersten Bereich, der über dem ersten Transistorelements ausgebildet ist, sich von einer mechanischen Spannung der dielektrischen Schicht an einem zweiten Bereich, der über dem zweiten Transistorelement angeordnet ist, unterscheidet.
  18. Halbleiterbauelement nach Anspruch 17, wobei der erste Bereich der dielektrischen Schicht eine Zugspannung und das erste Abstandselement eine Druckspannung aufweist.
  19. Halbleiterbauelement nach Anspruch 17, wobei der erste Bereich der dielektrischen Schicht eine Druckspannung und das erste Abstandselement eine Zugspannung aufweist.
  20. Halbleiterbauelement nach Anspruch 15, wobei eine Gatelänge des ersten und/oder des zweiten Transistorelements kleiner als 50 nm ist.
  21. Halbleiterbauelement nach Anspruch 15, wobei die erste spezifizierte mechanische Spannung eine Zugspannung und die zweite spezifizierte mechanische Spannung eine Druckspannung ist.
  22. Halbleiterbauelement nach Anspruch 15, wobei die erste und die zweite mechanische Spannung Zugspannungen sind.
  23. Halbleiterbauelement nach Anspruch 15, wobei die erste und die zweite mechanische Spannung Druckspannungen sind.
DE102004026142A 2004-05-28 2004-05-28 Verfahren zum Steuern der mechanischen Spannung in einem Kanalgebiet durch das Entfernen von Abstandselementen und ein gemäß dem Verfahren gefertigtes Halbleiterbauelement Expired - Fee Related DE102004026142B3 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102004026142A DE102004026142B3 (de) 2004-05-28 2004-05-28 Verfahren zum Steuern der mechanischen Spannung in einem Kanalgebiet durch das Entfernen von Abstandselementen und ein gemäß dem Verfahren gefertigtes Halbleiterbauelement
US11/047,129 US7314793B2 (en) 2004-05-28 2005-01-31 Technique for controlling mechanical stress in a channel region by spacer removal

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102004026142A DE102004026142B3 (de) 2004-05-28 2004-05-28 Verfahren zum Steuern der mechanischen Spannung in einem Kanalgebiet durch das Entfernen von Abstandselementen und ein gemäß dem Verfahren gefertigtes Halbleiterbauelement

Publications (1)

Publication Number Publication Date
DE102004026142B3 true DE102004026142B3 (de) 2006-02-09

Family

ID=35425901

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102004026142A Expired - Fee Related DE102004026142B3 (de) 2004-05-28 2004-05-28 Verfahren zum Steuern der mechanischen Spannung in einem Kanalgebiet durch das Entfernen von Abstandselementen und ein gemäß dem Verfahren gefertigtes Halbleiterbauelement

Country Status (2)

Country Link
US (1) US7314793B2 (de)
DE (1) DE102004026142B3 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007009916A1 (de) * 2007-02-28 2008-09-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Entfernen unterschiedlicher Abstandshalter durch einen nasschemischen Ätzprozess und Bauteil mit einer Struktur mit unterschiedlichen Abstandshaltern

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7164163B2 (en) * 2005-02-22 2007-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with hybrid-strain inducing layer
US7429775B1 (en) 2005-03-31 2008-09-30 Xilinx, Inc. Method of fabricating strain-silicon CMOS
DE102005020133B4 (de) * 2005-04-29 2012-03-29 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Transistorelements mit Technik zur Herstellung einer Kontaktisolationsschicht mit verbesserter Spannungsübertragungseffizienz
US7423283B1 (en) * 2005-06-07 2008-09-09 Xilinx, Inc. Strain-silicon CMOS using etch-stop layer and method of manufacture
US7569888B2 (en) * 2005-08-10 2009-08-04 Toshiba America Electronic Components, Inc. Semiconductor device with close stress liner film and method of manufacturing the same
KR100618908B1 (ko) * 2005-08-12 2006-09-05 삼성전자주식회사 게이트 저항을 개선한 반도체 소자 및 제조 방법
US7470943B2 (en) * 2005-08-22 2008-12-30 International Business Machines Corporation High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
US7655991B1 (en) * 2005-09-08 2010-02-02 Xilinx, Inc. CMOS device with stressed sidewall spacers
US7936006B1 (en) 2005-10-06 2011-05-03 Xilinx, Inc. Semiconductor device with backfilled isolation
DE102005052054B4 (de) * 2005-10-31 2010-08-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauteil mit Transistoren mit verformten Kanalgebieten und Verfahren zu seiner Herstellung
US20070197011A1 (en) * 2006-02-22 2007-08-23 Freescale Semiconductor Inc. Method for improving self-aligned silicide extendibility with spacer recess using a stand-alone recess etch integration
JP4899085B2 (ja) 2006-03-03 2012-03-21 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US7560318B2 (en) * 2006-03-13 2009-07-14 Freescale Semiconductor, Inc. Process for forming an electronic device including semiconductor layers having different stresses
US7495280B2 (en) * 2006-05-16 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with corner spacers
US20070275530A1 (en) * 2006-05-24 2007-11-29 Wen-Han Hung Semiconductor structure and fabricating method thereof
JP2007324391A (ja) * 2006-06-01 2007-12-13 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7479465B2 (en) * 2006-07-28 2009-01-20 Freescale Semiconductor, Inc. Transfer of stress to a layer
US7629273B2 (en) * 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US8338245B2 (en) * 2006-12-14 2012-12-25 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing stress-engineered spacers
US7868390B2 (en) * 2007-02-13 2011-01-11 United Microelectronics Corp. Method for fabricating strained-silicon CMOS transistor
US20080242020A1 (en) * 2007-03-28 2008-10-02 Jei-Ming Chen Method of manufacturing a mos transistor device
US20080237734A1 (en) * 2007-03-29 2008-10-02 United Microelectronics Corp. Complementary metal-oxide-semiconductor transistor and method of fabricating the same
DE102008016512B4 (de) * 2008-03-31 2009-12-03 Advanced Micro Devices, Inc., Sunnyvale Erhöhen der Verspannungsübertragungseffizienz in einem Transistor durch Verringern der Abstandshalterbreite während der Drain- und Source-Implantationssequenz
US7955964B2 (en) * 2008-05-14 2011-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Dishing-free gap-filling with multiple CMPs
US8048752B2 (en) 2008-07-24 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer shape engineering for void-free gap-filling process
US9318571B2 (en) * 2009-02-23 2016-04-19 United Microelectronics Corp. Gate structure and method for trimming spacers
US8349684B2 (en) * 2009-11-19 2013-01-08 Freescale Semiconductor, Inc. Semiconductor device with high K dielectric control terminal spacer structure
DE102009055435B4 (de) * 2009-12-31 2017-11-09 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung
US8222100B2 (en) * 2010-01-15 2012-07-17 International Business Machines Corporation CMOS circuit with low-k spacer and stress liner
US8450834B2 (en) * 2010-02-16 2013-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer structure of a field effect transistor with an oxygen-containing layer between two oxygen-sealing layers
CN102315125A (zh) * 2010-07-01 2012-01-11 中国科学院微电子研究所 一种半导体器件及其形成方法
DE102010063780A1 (de) * 2010-12-21 2012-06-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
US8211775B1 (en) 2011-03-09 2012-07-03 United Microelectronics Corp. Method of making transistor having metal gate
DE102011005641B4 (de) * 2011-03-16 2018-01-04 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Leistungssteigerung in Transistoren durch Reduzierung der Absenkung aktiver Gebiete und durch Entfernen von Abstandshaltern
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8502288B2 (en) 2011-04-01 2013-08-06 United Microelectronics Corp. Semiconductor structure and method for slimming spacer
US8765561B2 (en) 2011-06-06 2014-07-01 United Microelectronics Corp. Method for fabricating semiconductor device
US8735268B2 (en) 2011-06-22 2014-05-27 United Microelectronics Corp. Method for fabricating metal-oxide-semiconductor field-effect transistor
US8921944B2 (en) 2011-07-19 2014-12-30 United Microelectronics Corp. Semiconductor device
JP5792550B2 (ja) * 2011-08-02 2015-10-14 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
CN102956492B (zh) * 2011-08-24 2015-03-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其制作方法、mos晶体管及其制作方法
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8492236B1 (en) * 2012-01-12 2013-07-23 Globalfoundries Singapore Pte. Ltd. Step-like spacer profile
US8975673B2 (en) 2012-04-16 2015-03-10 United Microelectronics Corp. Method of trimming spacers and semiconductor structure thereof
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8772120B2 (en) 2012-05-24 2014-07-08 United Microelectronics Corp. Semiconductor process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US20140131804A1 (en) * 2012-11-12 2014-05-15 United Microelectronics Corp. Semiconductor structure
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
CN106684041B (zh) * 2015-11-10 2020-12-08 联华电子股份有限公司 半导体元件及其制作方法
US10468529B2 (en) * 2017-07-11 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with etch stop layer
FR3076077B1 (fr) * 2017-12-22 2020-02-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Realisation de transistors a canaux contraints
CN109979986B (zh) * 2017-12-28 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
TWI804632B (zh) * 2019-06-05 2023-06-11 聯華電子股份有限公司 半導體元件及其製作方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030040158A1 (en) * 2001-08-21 2003-02-27 Nec Corporation Semiconductor device and method of fabricating the same
US20040029323A1 (en) * 2000-11-22 2004-02-12 Akihiro Shimizu Semiconductor device and method for fabricating the same

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7164189B2 (en) * 2004-03-31 2007-01-16 Taiwan Semiconductor Manufacturing Company Ltd Slim spacer device and manufacturing method
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040029323A1 (en) * 2000-11-22 2004-02-12 Akihiro Shimizu Semiconductor device and method for fabricating the same
US20030040158A1 (en) * 2001-08-21 2003-02-27 Nec Corporation Semiconductor device and method of fabricating the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102007009916A1 (de) * 2007-02-28 2008-09-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Entfernen unterschiedlicher Abstandshalter durch einen nasschemischen Ätzprozess und Bauteil mit einer Struktur mit unterschiedlichen Abstandshaltern
DE102007009916B4 (de) * 2007-02-28 2012-02-23 Advanced Micro Devices, Inc. Verfahren zum Entfernen unterschiedlicher Abstandshalter durch einen nasschemischen Ätzprozess

Also Published As

Publication number Publication date
US7314793B2 (en) 2008-01-01
US20050266639A1 (en) 2005-12-01

Similar Documents

Publication Publication Date Title
DE102004026142B3 (de) Verfahren zum Steuern der mechanischen Spannung in einem Kanalgebiet durch das Entfernen von Abstandselementen und ein gemäß dem Verfahren gefertigtes Halbleiterbauelement
DE102004026149B4 (de) Verfahren zum Erzeugen eines Halbleiterbauelements mit Transistorelementen mit spannungsinduzierenden Ätzstoppschichten
DE102004052578B4 (de) Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
DE102008063427B4 (de) Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
DE102005052055B3 (de) Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
DE102007009914B4 (de) Halbleiterbauelement in Form eines Feldeffekttransistors mit einem Zwischenschichtdielektrikumsmaterial mit erhöhter innerer Verspannung und Verfahren zur Herstellung desselben
DE102005041225B3 (de) Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
DE102004031710B4 (de) Verfahren zum Herstellen unterschiedlich verformter Halbleitergebiete und Transistorpaar in unterschiedlich verformten Halbleitergebieten
DE10360000B4 (de) Abstandselement für eine Gateelektrode mit Zugspannung eines Transistorelements und ein Verfahren zur Herstellung
DE102008049725B4 (de) CMOS-Bauelement mit NMOS-Transistoren und PMOS-Transistoren mit stärkeren verformungsinduzierenden Quellen und Metallsilizidgebieten mit geringem Abstand und Verfahren zur Herstellung des Bauelements
DE102006040765B4 (de) Verfahren zur Herstellung eines Feldeffekttransistors mit einer verspannten Kontaktätzstoppschicht mit geringerer Konformität und Feldeffekttransistor
DE102005004411B4 (de) Verfahren für die Herstellung eines in-situ-gebildeten Halo-Gebietes in einem Transistorelement
DE102005051994A1 (de) Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
DE102005009023A1 (de) Gateelektrodenstruktur und Transistor mit asymmetrischen Abstandselementen und Verfahren zum Herstellen derselben
DE102005030583A1 (de) Technik zur Herstellung von Kontaktisolationsschichten und Silizidgebieten mit unterschiedlichen Eigenschaften
DE102005020133A1 (de) Technik zur Herstellung einer Kontaktisolationsschicht mit verbesserter Spannungsübertragungseffizienz
DE102006040762B4 (de) N-Kanalfeldeffekttransistor mit einer Kontaktätzstoppschicht in Verbindung mit einer Zwischenschichtdielektrikumsteilschicht mit der gleichen Art an innerer Verspannung
DE102005052054A1 (de) Technik zur Bereitstellung mehrerer Quellen für mechanische Spannungen in NMOS- und PMOS-Transistoren
DE102010064280B4 (de) Verfahren zur Verringerung der Defektraten in PFET-Transistoren, die ein Si/GE Halbleitermaterial aufweisen, durch Vorsehen einer graduellen Ge-Konzentration, und entsprechende PFET-Transistoren
DE102006019937A1 (de) SOI-Transistor mit eingebetteter Verformungsschicht und einem reduzierten Effekt des potentialfreien Körpers und ein Verfahren zur Herstellung des Transistors
DE102006009225A1 (de) Herstellung von Silizidoberflächen für Silizium/Kohlenstoff-Source/Drain-Gebieten
DE102008011814A1 (de) CMOS-Bauelement mit einem NMOS-Transistor mit abgesenkten Drain- und Sourcebereichen und einem PMOS-Transistor mit einem Si/Ge-Material in den Drain- und Sourcebereichen
DE102016105520B4 (de) Bildung eines Übergangs mit symmetrischer Erweiterung mit einem Abstandshalter mit niedrigem K und zweifacher epitaxialer Prozess in einer FinFET-Einheit
DE102007004859A1 (de) SOI-Bauelement mit einer Substratdiode mit Prozess toleranter Konfiguration und Verfahren zur Herstellung des SOI-Bauelements
DE102004042156A1 (de) Transistor mit asymmetrischem Source/Drain- und Halo- Implantationsgebiet und Verfahren zum Herstellen desselben

Legal Events

Date Code Title Description
8100 Publication of the examined application without publication of unexamined application
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8328 Change in the person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee