DE10360000B4 - Abstandselement für eine Gateelektrode mit Zugspannung eines Transistorelements und ein Verfahren zur Herstellung - Google Patents

Abstandselement für eine Gateelektrode mit Zugspannung eines Transistorelements und ein Verfahren zur Herstellung Download PDF

Info

Publication number
DE10360000B4
DE10360000B4 DE10360000A DE10360000A DE10360000B4 DE 10360000 B4 DE10360000 B4 DE 10360000B4 DE 10360000 A DE10360000 A DE 10360000A DE 10360000 A DE10360000 A DE 10360000A DE 10360000 B4 DE10360000 B4 DE 10360000B4
Authority
DE
Germany
Prior art keywords
gate electrode
spacer layer
spacer
deposition
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10360000A
Other languages
English (en)
Other versions
DE10360000A1 (de
Inventor
Hartmut Rülke
Katja Huy
Markus Lenski
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE10360000A priority Critical patent/DE10360000B4/de
Priority to US10/987,484 priority patent/US8557667B2/en
Publication of DE10360000A1 publication Critical patent/DE10360000A1/de
Application granted granted Critical
Publication of DE10360000B4 publication Critical patent/DE10360000B4/de
Priority to US14/023,966 priority patent/US8847205B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Verfahren zum Herstellen von Abstandselementen in einem Herstellungsverfahren für Halbleiterbauelemente, wobei das Verfahren umfasst:
Bilden einer Gateelektrode über einem Halbleitergebiet;
Abscheiden einer Abstandsschicht über der Gateelektrode und dem Halbleitergebiet in einer Hochfrequenzplasmaatmosphäre;
Steuern der Abscheidung der Abstandsschicht derart, dass Zugspannung darin erzeugt wird, die ausreichend ist um Einfluss auf die Ladungsträgerbeweglichkeit in einem unterhalb des Gates ausgebildeten Kanalbereich auszuüben; und
Ätzen der Abstandsschicht, um Abstandselements mit Zugspannung an den Seitenwänden der Gateelektrode zu bilden.

Description

  • GEBIET DER VORLIEGENDEN ERFINDUNG
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung integrierter Schaltungen und dabei die Herstellung von Abstandselementen während des Herstellungsprozesses einer Gateelektrode eines Feldeffekttransistors.
  • BESCHREIBUNG DES STANDS DER TECHNIK
  • Die Herstellung integrierter Schaltungen erfordert das Ausbilden einer großen Anzahl von Schaltungselementen auf einer gegebenen Chipfläche gemäß einer spezifizierten Schaltungsanordnung. Generell werden eine Vielzahl von Prozesstechnologien gegenwärtig in der Praxis ausgeführt, wobei für komplexe Schaltungen, etwa Mikroprozessoren, Speicherchips und dergleichen, die CMOS-Technologie gegenwärtig die am vielversprechendste Möglichkeit auf Grund der überlegenen Eigenschaften im Hinblick auf die Betriebsgeschwindigkeit und/oder die Leistungsaufnahme darstellt. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung der CMOS-Technologie werden Millionen komplementärer Transistoren, d. h. N-Kanaltransistoren und P-Kanaltransistoren, auf einem Substrat ausgebildet, das eine kristalline Halbleiterschicht aufweist. Ein MOS-Transistor umfasst, unabhängig davon, ob ein N-Kanaltransistor oder P-Kanaltransistor betrachtet wird, sogenannte PN-Übergänge, die an einer Grenzfläche eines hochdotierten Drain- und Sourcegebietes zu einem invers dotierten Kanalgebiet, das zwischen dem Draingebiet und dem Sourcegebiet angeordnet ist, ausgebildet sind. Die Leitfähigkeit des Kanalgebiets, d. h. die Stromtreiberfähigkeit des leitenden Kanals, wird durch eine Gateelektrode gesteuert, die über dem Kanalgebiet ausgebildet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets bei der Ausbildung eines leitenden Kanals auf Grund des Anlegens einer geeigneten Steuerspannung an die Gateelektrode hängt von der Dotierstoffkonzentration, der Mobilität der Majoritätsladungsträger und – für eine gegebene Abmessung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Source- und dem Draingebiet ab, der auch als Kanallänge bezeichnet wird. Somit bestimmt die Leitfähigkeit des Kanalgebiets im Wesentlichen das Verhalten der MOS-Transistoren in Verbindung mit der Fähigkeit, schnell einen leitenden Kanal unterhalb der isolierenden Schicht beim Anlegen der Steuerspannung an die Gateelektrode zu bilden. Somit wird durch das Verringern der Kanallänge – und demgemäß mit der Verringerung des Kanalwiderstands – die Kanallänge zu einem wichtigen Entwurfskriterium, um einen Anstieg der Betriebsgeschwindigkeit der integrierten Schaltungen zu erreichen.
  • Die Abnahme der Transistorabmessungen zieht jedoch eine Reihe von Problemen nach sich, die damit verknüpft sind und die es zu lösen gilt, um nicht unnötigerweise die Vorteile aufzuheben, die das stetige Verringern der Kanallänge von MOS-Transistoren mit sich bringt. Ein großes Problem in dieser Hinsicht ist die Entwicklung verbesserter Photolithographie- und Ätzverfahren, um zuverlässig und reproduzierbar Schaltungselemente mit kritischen Abmessungen, etwa die Gateelektrode der Transistoren, für eine neue Bauteilgeneration zu schaffen. Ferner sind äußerst anspruchsvolle Dotierstoffprofile in der vertikalen Richtung sowie auch in der lateralen Richtung in den Drain- und Sourcegebieten erforderlich, um niedrige Schichtwiderstände und Kontaktwiderstände in Verbindung mit einer gewünschten Kanalsteuerbarkeit bereitzustellen. Ferner stellt die vertikale Lage der PN-Übergänge in Bezug auf die Gateisolationsschicht ebenso ein wichtiges Entwurfskriterium in Hinblick auf die Steuerung von Leckströmen dar. Somit erfordert die Reduzierung der Kanallänge auch eine Verringerung der Tiefe der Drain- und Sourcegebiete in Bezug auf die Grenzfläche, die von der Gateisolationsschicht und dem Kanalgebiet gebildet wird, so dass anspruchsvolle Implantationsverfahren erforderlich sind. Gemäß weiterer Lösungsansätze werden epitaktisch gewachsene Gebiete mit einem spezifizierten Versatz zu der Gateelektrode gebildet, die als erhöhte Drain- und Sourcegebiete bezeichnet werden, um eine erhöhte Leitfähigkeit der erhöhten Drain- und Sourcegebiete bereitzustellen, wobei gleichzeitig ein flacher PN-Übergang in Bezug auf die Gateisolationsschicht beibehalten wird.
  • Unabhängig von dem angewendeten technologischen Vorgehen werden anspruchsvolle Techniken für Abstandselemente benötigt, um das äußerst komplexe Dotierstoffprofil zu erzeugen und um eine Maske beim Herstellen von Metallsilizidgebieten in der Gateelektrode und dem Drain- und Sourcegebiet in einer selbstjustierenden Weise bereitzustellen. Da die ständige Größenreduzierung der kritischen Abmessungen, d. h. der Gatelänge der Transistoren, die Anpassung und möglicherweise die Neuentwicklung von Prozessverfahren erfordert, die die zuvor bezeichneten Prozessschritte betreffen, wurde vorgeschlagen, das Leistungsvermögen der Transistorelemente zu verbessern, indem die Ladungsträgermobilität in dem Kanalgebiet bei einer gegebenen Kanallänge erhöht wird. Im Prinzip kön nen zumindest zwei Mechanismen – kombiniert oder einzeln – angewendet werden, um die Mobilität der Ladungsträger in dem Kanalgebiet zu steigern. Erstens, die Dotierstoffkonzentration in dem Kanalgebiet kann reduziert werden, wodurch Streuereignisse für die Ladungsträger reduziert werden und damit die Leitfähigkeit erhöht wird. Das Verringern der Dotierstoffkonzentration in dem Kanalgebiet beeinflusst jedoch deutlich die Schwellwertspannung des Transistorbauteils, wodurch ein Verringern der Dotierstoffkonzentration ein wenig attraktiver Ansatz ist, sofern nicht andere Mechanismen entwickelt werden, um eine gewünschte Schwellwertspannung einzustellen. Zweitens, die Gitterstruktur in dem Kanalgebiet kann beispielsweise durch Erzeugen einer Zug- oder Druckspannung modifiziert werden, was zu einer modifizierten Mobilität für Elektronen und Löcher führt. Beispielsweise erhöht das Erzeugen einer Zugspannung in dem Kanalgebiet die Mobilität von Elektronen, wobei abhängig von der Größe der Zugspannung ein Anstieg der Mobilität bis zu 20% erreicht werden kann, das sich wiederum direkt auf eine entsprechende Erhöhung der Leitfähigkeit auswirkt. Andererseits kann eine Druckspannung in dem Kanalgebiet die Mobilität von Löchern erhöhen, wodurch die Möglichkeit bereitgestellt wird, die Leistung von P-Transistoren zu verbessern. Folglich wurde vorgeschlagen, beispielsweise eine Silizium/Germaniumschicht oder Silizium/Kohlenstoffschicht in oder unterhalb dem Kanalgebiet einzufügen, um damit Zug- oder Druckspannung zu erzeugen. Obwohl das Transistorverhalten durch das Einführen spannungserzeugender Schichten in oder unterhalb des Kanalgebiets deutlich verbessert werden kann, müssen merkliche Anstrengungen unternommen werden, um die Ausbildung entsprechender Spannungsschichten in der konventionellen und gut bewährten CMOS-Technologie zu implementieren. Beispielsweise müssen zusätzliche epitaktische Aufwachstechniken entwickelt und in den Prozessablauf eingefügt werden, um die germanium- oder kohlenstoffenthaltenden Spannungsschichten an geeigneten Stellen in oder unterhalb des Kanalgebiets zu bilden. Somit wird die Prozesskomplexität deutlich vergrößert, wodurch die Produktionskosten ansteigen und die Gefahr einer Verringerung der Produktionsausbeute steigt.
  • Angesichts der zuvor beschriebenen Situation besteht ein Bedarf für eine alternative Technik, die das Erzeugen von Spannung in dem Kanalgebiet ermöglicht, ohne dass komplexe und teuere epitaktische Wachstumsverfahren erforderlich sind.
  • Weiterer Stand der Technik ist aus der US 2002/0142535 A1 bekannt. Dort wird ein Verfahren zur Herstellung eines Seitenwandabstandselements aus Siliziumnitrid vorgestellt, wobei zwischen dem Abstandselement und der Gateelektrode noch eine Oxidschicht vorgesehen ist, um einen Stressabbau zwischen den Materialien mit unterschiedlichem Elastizitätsmodul zu bewirken.
  • Aus der US 2003/0008453 A1 ist allgemein ein Halbleiterelement bekannt, bei dem Seitenwandabstandselemente an den Gateelektroden ausgebildet sind. Eine besondere Behandlung der Seitenwandabstandselemente zur Erhöhung und Induzierung von Zugspannung in einem Kanalgebiet unterhalb der Gateelektrode ist dort nicht bekannt.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Die vorliegende Erfindung schafft ein Verfahren zum Herstellen von Seitenwandabstandselementen in einem Herstellungsverfahren für Halbleiterbauelemente mit den Merkmalen des Patentanspruchs 1. Weiterhin wird erfindungsgemäß ein Verfahren zur Herstellung von Abstandselementen in einem Herstellungsverfahren für Halbleiterbauelemente mit den Merkmalen des Anspruchs 17 geschaffen, sowie ein Transistorelement mit den Merkmalen des Anspruchs 31.
  • Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik, die die Herstellung von Abstandselementen benachbart zu einer Gateelektrode ermöglicht, wobei die Abstandselemente eine Zugspannung aufweisen, die wiederum die Ladungsträgermobilität in dem Kanalgebiet, das unter der Gateelektrode gebildet ist, beeinflussen kann und auf das Diffusionsverhalten von Dotierstoffen, etwa von Bor, Phosphor und dergleichen in einem schnellen thermischen Ausheizprozess zum Aktivieren von Dotierstoffen und zum Ausheizen von implantationsinduzierten Schäden in der Kristallstruktur beeinflussen kann.
  • Gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren zur Herstellung von Abstandselementen das Bilden einer Gateelektrode über einem Halbleitergebiet und das Abscheiden einer Abstandsschicht, etwa einer silizium- und stickstoffenthaltenden Schicht, die eine Zugspannung aufweist, in einer Hochfrequenzplasmaatmosphäre über der Gateelektrode und dem Halbleitergebiet. Anschließend wird die Abstandsschicht geätzt, um ein Abstandselement mit Zugspannung herzustellen.
  • Gemäß einer noch weiteren anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren zur Herstellung von Abstandselementen das Bilden einer ersten Gateelektrode und einer zweiten Gateelektrode über einem Halbleitergebiet. Danach wird eine erste Abstandsschicht mit einer ersten Spannungseigenschaft in einer Hochfrequenzplasmaatmosphäre über der ersten und der zweiten Gateelektrode und dem Halbleitergebiet abgeschieden. Anschließend wird die erste Abstandsschicht selektiv von der ersten Gateelektrode entfernt und eine zweite Abstandsschicht mit einer zweiten Spannungseigenschaft, die sich von der ersten Spannungseigenschaft unterscheidet, wird über der ersten Gateelektrode abgeschieden. Schließlich werden die erste und die zweite Abstandsschicht geätzt, um ein erstes Abstandselement benachbart zu der ersten Gateelektrode und ein zweites Abstandselement benachbart zu der zweiten Gateelektrode zu bilden.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird; es zeigen:
  • 1a bis 1e schematisch Querschnittsansichten eines Transistorelements während diverser Herstellungsschritte, wobei ein Siliziumnitridabstandselement gebildet wird, das eine Zugspannung aufweist;
  • 2 eine Darstellung einer Herstellungssequenz mit mehreren Prozessanlagen, die bei der Herstellung von Transistoren mit Abstandselementen, die einen einstellbaren Betrag an Spannung aufweisen, beteiligt sind, wobei diverse Beziehungen zwischen den Prozessanlagen so erstellt werden, um die Steuerbarkeit zur Schaffung der gewünschten Spannung zu verbessern; und
  • 3a bis 3f schematisch Querschnittsansichten zweier Transistorelemente während diverser Herstellungsstadien, wobei Siliziumnitridabstandselemente mit unterschiedlichen Spannungseigenschaften durch plasmaunterstützte chemische Dampfabscheidung gebildet werden.
  • DETAILLIERTE BESCHREIBUNG
  • Wie zuvor erläutert ist, werden die Seitenwandabstandselemente, die benachbart zu einer Gateelektrode gebildet sind, häufig in gegenwärtig angewandten CMOS-Technologien eingesetzt, um das laterale Dotierstoffprofil zu strukturieren und/oder eine Maske für einen selbstjustierenden Silizidierungsprozess bereitzustellen. Die Abstandselemente, die typischerweise aus Siliziumdioxid oder Siliziumnitrid hergestellt sind, werden gebildet, indem eine Siliziumdioxidschicht oder Siliziumnitridschicht durch chemische Dampfabscheidung und – in anspruchsvollen Prozesstechniken – durch plasmaunterstütztes CVD, da Siliziumnitrid nicht bei moderat geringen Temperaturen in thermischen CVD-Prozessen abgeschieden werden kann, aufgebracht und diese anschließend anisotrop geätzt wird. Während des konventionellen plasmaunterstützten Abscheideprozesses zur Herstellung der Siliziumdioxidschicht und/oder der Siliziumnitridschicht werden Prozessparameter so eingestellt, um eine hohe Materialdichte zu erreichen, woraus eine Druckspannung in den entsprechenden Abstandsschichten und in den schließlich erhaltenen Seitenwandabstandselementen resultiert. Die Druckspannung kann zu einer entsprechenden Druckspannung in einem Kanalgebiet führen, was vorteilhaft sein kann für beispielsweise P-Kanaltransistoren, was jedoch das Verhalten von N-Kanaltransistoren negativ beeinflussen kann. Ferner kann während der Ausbildung von Grabenisolationen, die das Abscheiden von Siliziumdioxid und Siliziumnitrid in tiefe und schmale Gräben und ein nachfolgendes Oxidieren zur Eckenabrundung erfordert, eine Zugspannung in den Grabenisolationen erzeugt werden, die schließlich zu einer Druckspannung in dem Kanalgebiet des Transistors führen kann, wodurch ebenso das Verhalten von N-Kanaltransistoren negativ beeinflusst werden kann. Erfindungsgemäß wird eine Technik bereitgestellt, die das steuerbare Erzeugen einer Zugspannung in Abstandselementen, die beispielsweise aus Siliziumnitrid, Siliziumoxynitrid und dergleichen hergestellt sind, ermöglicht, um damit eine Druckspannung, die durch Flachgrabenisolation hervorgerufen wird, zu kompensieren oder überkompensieren, und/oder um Zugspannung in den Kanalgebieten von beispielsweise N-Kanaltransistorelementen zu erzeugen, um damit die Elektronenmobilität zu erhöhen. Ferner kann, wie zuvor erläutert ist, die Zugspannung das Diffusionsverhalten von Dotierstoffen beeinflussen, die zum Herstellen von Drain- und Sourcegebieten implantiert wurden, so dass in Verbindung mit entsprechend angepassten Ausheizprozessen ein höherer Grad an Dotierstoffaktivierung erreichbar sein kann, ohne das thermische Budget während des Transistorherstellungsprozesses zu erhöhen. Somit kann das Transistorleistungsverhalten deutlich gesteigert werden, wobei ein hohes Maß an Kompatibilität mit gut bewährten Prozesstechniken für eine gegebene Technologiegeneration beibehalten wird.
  • Mit Bezug zu den 1a bis 1e werden weitere anschauliche Ausführungsformen bezüglich der Herstellung von Siliziumnitridabstandselementen mit Zugspannung nun detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauteils 100 vor der Herstellung von Abstandselementen mit Zugspannung. Das Halbleiterbauteil 100 umfasst ein Substrat 101, das ein beliebiges geeignetes Substrat für die Herstellung von integrierten Schaltungen, etwa ein Siliziumsubstrat, ein SOI-(Silizium auf Isolator) Substrat, ein beliebiges anderes Halbleitersubstrat oder isolierendes Substrat mit einer darauf ausgebildeten im Wesentlichen kristallinen Halbleiterschicht 102 repräsentieren kann. Es sollte beachtet werden, dass die vorliegende Erfindung besonders geeignet in Verbindung mit einem Halbleiter auf Siliziumbasis ist, da der Hauptanteil integrierter Schaltungen hoher Komplexität, etwa Mikroprozessoren und dergleichen, gegenwärtig auf der Grundlage von Silizium hergestellt wird, wobei das Substrat 101 in Form eines Siliziumvollsubstrats oder eines SOI-Substrats bereitgestellt wird. Die Prinzipien der vorliegenden Erfindung können jedoch auch auf Halbleiterbauelemente angewendet werden, die aus anderen Materialien als Silizium hergestellt sind. Das Halbleiterbauelement 100 umfasst ferner eine Isolationsstruktur 104, die typischerweise in hochentwickelten integrierten Schaltungen in der Form von Grabenisolationen vorgesehen ist, die ein transistoraktives Gebiet in der Halbleiterschicht 102 definieren. Eine Gateelektrode 105, die beispielsweise aus Polysilizium aufgebaut ist, ist über der Halbleiterschicht 102 ausgebildet und ist von dieser durch eine Gateisolierschicht 106 getrennt, die aus Siliziumdioxid, Siliziumnitrid, Siliziumoxynitrid und dergleichen hergestellt sein kann. Die Gateelektrode 105 kann von einer ersten Oxidbeschichtung 107 und von Versatz- bzw. Offsetseitenwandabstandselementen 108, die beispielsweise Siliziumdioxid aufweisen und an Seitenwänden der Gateelektrode 105 gebildet sind, bedeckt sein. Ferner kann eine zweite Siliziumdioxidbeschichtung 109 die Gateelektrode 105 und die verbleibenden Oberflächen des Halbleiterbauelements 100 bedecken. In der in 1a gezeigten Ausführungsform sind erhöhte kristalline Gebiete 110 gebildet, die als erhöhte Drain- und Sourcegebiete nach Fertigstellung des Bauelements 100 dienen können. Es sollte jedoch beachtet werden, dass die vorliegende Erfindung nicht auf die in 1a gezeigte Konfiguration eingeschränkt ist, d. h. die vorliegende Erfindung kann auch auf konventionelle Transistorentwürfe ohne erhöhte Drain- und Sourcegebiete angewendet werden. Ferner hängt das Bereitstellen des Versatzabstandselements 108 von den Prozesserfordernissen und den Bauteilabmessungen ab und somit kann in anderen Bauelementen das Versatzabstandselement 108 nicht unbedingt erforderlich sein. Wie zuvor erläutert ist, ist die vorliegende Erfindung in Verbindung mit äußerst größenreduzierten Transistorelementen mit einer Gatelänge, d. h. die horizontale Ausdehnung der Gateelektrode 105 in 1a, von ungefähr 60 nm oder sogar weniger vorteilhaft, wobei ein weiterer Anstieg des Bauteilleistungsvermögens durch eine weitere Größenreduzierung beträchtlichen Aufwand nach sich ziehen kann, wie dies zuvor erläutert ist. Die Herstellung von Siliziumnitridabstandselementen mit Zugspannung kann jedoch auch als vorteilhaft für Transistorelemente mit Abmessungen deutlich über 60 nm erachtet werden.
  • Ein typischer Prozessablauf zur Herstellung des Halbleiterbauelements 100, wie es in 1a gezeigt ist, kann die folgenden Prozesse umfassen. Nach der Bildung der Grabenisolationsstruktur 104 durch hochentwickelte Photolithographie-, Ätz- und Abscheidetechniken zum Definieren eines Grabens und zum nachfolgenden Füllen des Grabens mit dielektrischem Material, etwa Siliziumdioxid und Siliziumnitrid, werden Implantationssequenzen ausgeführt, um ein vertikales Dotierstoffprofil in der Halbleiterschicht 102 und dem Kanalgebiet 103 zu schaffen. Wie zuvor dargestellt ist, können die Dotierstoffkonzentration in dem Kanalgebiet 103 und eine Druckspannung, die durch das Herstellen der Grabenisolationsstrukturen 104 erzeugt wird, die Ladungsträgermobilität in dem Kanalgebiet 103 beeinflussen und können zu einem beeinträchtigten Transistorverhalten, insbesondere bei N-Kanaltransistoren, führen. Danach werden die Gateelektrode 105 und die Gateisolierschicht 106 aus einem entsprechenden Gateschichtstapel durch gut etablierte Photolithographie-, Schrumpfätz- und anisotrope Ätztechniken strukturiert. Für das in 1a gezeigte Beispiel wird nachfolgend ein epitaktischer Wachstumsprozess ausgeführt, wobei beispielsweise Opferseitenwandabstandselemente (nicht gezeigt) mit einer Breite verwendet werden, die einem gewünschten Abstand der kristallinen Gebiete 110 von der Gateelektrode 105 entspricht. Danach werden die Beschichtung 107 und das Versatzabstandselement 108, wenn dieses benötigt wird, gebildet, beispielsweise durch Oxidierung bzw. anspruchsvolle Abscheidetechniken. Die Versatzabstandselemente 108 können in einem nachfolgenden Implantationsprozess verwendet werden, um Erweiterungsgebiete 111 zu bilden, die einen gewünschten Versatz zu dem Rand der Gateelektrode 105 aufweisen. Schließlich wird die Beschichtung 109 gebildet, beispielsweise durch Abscheiden einer dünnen Siliziumdioxidschicht durch plasmaunterstütztes CVD. Die Beschichtung 109 dient als eine Ätzstoppschicht für das Strukturieren einer Siliziumnitridschicht, die nachfolgend abgeschieden wird, wie dies mit Bezug zu 1b beschrieben ist.
  • In 1b umfasst das Halbleiterbauelement 100 eine Abstandsschicht 112, die Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbid und dergleichen aufweisen kann, wobei die Abstandsschicht 112, wenn diese Silizium und Stickstoff aufweist, eine gewisse Menge an Wasser stoff enthalten kann, wie dies typisch für Siliziumnitrid ist, das durch plasmaunterstütztes CVD abgeschieden ist, wobei eine Dicke der Abstandsschicht 112 entsprechend den Prozesserfordernissen festgelegt wird, d. h. in Übereinstimmung mit einer gewünschten Breite des aus der Abstandsschicht 112 zu bildenden Seitenwandabstandselements. Die Abstandsschicht 112 besitzt eine Zugspannung wie dies durch 113 angezeigt ist, wobei die Zugspannung 113 von ungefähr 0 bis 1000 MPa (Megapascal) eingestellt werden kann. in einer speziellen Ausführungsform liegt die Dicke der Abstandsschicht 112 im Bereich von ungefähr 200 bis 2000 Angstrom mit einer Gleichförmigkeit über die Substratfläche hinweg von ungefähr 1.5% oder weniger bezogen auf eine Standardabweichung. Der Brechungsindex kann im Bereich von ungefähr 1.90 bis 2.10 mit einer Zugspannung 113 im Bereich von ungefähr 590 bis 710 Mpa liegen, was sich deutlich von den Spannungsbedingungen einer Siliziumnitridschicht unterscheidet, wie sie in konventionellen Prozessen verwendet wird, wobei die Spannung typischerweise eine Druckspannung mit einem Wert von ungefähr 150 Mpa ist. Der Wasserstoffanteil (Atomprozent) einer Abstandsschicht 112, die gemäß der vorliegenden Erfindung gebildet wird, liegt im Bereich von ungefähr 22 bis 23%, im Gegensatz zu ungefähr 19 bis 21% für eine standardmäßige Siliziumnitridschicht mit Druckspannung.
  • Die Abstandsschicht 112 kann durch plasmaunterstütztes CVD in einer Plasmaatmosphäre 114 hergestellt werden, die definiert ist durch den darin vorherrschenden Druck P, die zugeleiteten reaktiven Gase, die der Plasmaatmosphäre 114 zugeführte Hochfrequenzleistung, beispielsweise durch induktive Ankopplung, durch eine Niederfrequenzleistung oder Vorspannungsleistung, die Temperatur T, wobei die Temperatur T im wesentlichen durch die Temperatur des Halbleiterbauelements 100 definiert ist. Die Plasmaatmosphäre 114 kann in einer beliebigen geeigneten CVD-Anlage erzeugt werden, wie sie gegenwärtig verfügbar ist, die es ermöglicht, im Wesentlichen gleichförmige Prozessbedingungen über zumindest ein Substrat hinweg bereitzustellen, dass das Halbleiterbauelement 100 trägt. In einer speziellen Ausführungsform kann ein CVD-System verwendet werden, das kommerziell erhältlich ist. Die Plasmaatmosphäre 114 wird durch Zufuhr von Hochfrequenzleistung beispielsweise mit einer Sequenz im Bereich von 13 MHz bis 14 MHz erzeugt, da Frequenzen in diesem Bereich vorzugsweise in industriellen Anwendungen genutzt werden. Es sollte jedoch betont werden, dass andere Frequenzen im Bereich von einigen MHz bis einigen zig MHz ebenso anwendbar sind, solange eine moderat hohe Effizienz zum Erzeugen von Elektronen und ionisierten Teilchen erreicht wird. Im Gegensatz zu konventionellen Lösungen wird die Niederfrequenzleistung, d. h. die Vorspannungsleistung, die in einem Frequenzbereich von ungefähr 0 bis einige KHz bereitgestellt wird und der Plasmaatmosphäre zugeführt wird, auf einem sehr geringen Pegel gehalten, oder wird abgeschaltet, um die kinetische Energie der ionisierten Teilchen, die an der Oberfläche des Halbleiterbauelements 100 während des Abscheideprozesses eintreffen, deutlich zu verringern. Auf diese Weise wird die Struktur der Abstandsschicht 112, die während des Abscheidens mittels der Plasmaatmosphäre 114 gebildet wird, so geschaffen, dass sie eine reduzierte Dichte aufweist, so dass der Abstandsschicht 112 eine Zugspannung verliehen wird, im Gegensatz zu der Druckspannung, die in konventionellen Abscheidetechniken erzeugt wird. In einer speziellen Ausführungsform werden Silan (SiH4), Ammoniak (NH3) und Stickstoff (N2) als Vorstufenmaterialien für eine Siliziumnitridschicht verwendet, wobei das Verhältnis dieser Vorstufenmaterialien so eingestellt wird, um die zuvor spezifizierten optischen Eigenschaften und das gewünschte stöchiometrische Verhältnis zu erreichen. Beispielsweise wird für die oben genannte PECVD-Anlage (Plasma Enhanced Chemical Vapour Deposition) das gewünschte stöchiometrische Verhältnis der Abstandsschicht 112, d. h. das Verhältnis von Silizium, Stickstoff und Wasserstoff, erhalten, indem die Durchflussrate dieser Gase auf ungefähr 375 ± 37 sccm (Standardkubikzentimeter/Minute) für Silan, ungefähr 3000 ± 300 sccm für Ammoniak und ungefähr 2800 ± 280 sccm für Stickstoff eingestellt werden. Die der Plasmaatmosphäre 114 zugeführte Hochfrequenzleistung wird innerhalb eines Bereiches von ungefähr 300 bis 400 Watt eingestellt, wobei die Niederfrequenzleistung zwischen ungefähr 0 und 50 Watt, abhängig von dem gewünschten Grad an Zugspannung, eingestellt wird. Der Druck der Plasmaatmosphäre 114 wird auf ungefähr 1.2 bis 2.2 Torr eingestellt, während die Temperatur in einem Bereich von ungefähr 350 bis 450°C eingestellt wird. Mit diesen Abscheideparametern wird eine Abscheiderate von ungefähr 390 Angstrom bzw. 39 nm pro Minute erreicht.
  • Es sollte beachtet werden, dass die oben spezifizierten Parameterwerte gut auf eine beliebige andere Abscheideanlage mit einer unterschiedlichen Kammergeometrie auf der Grundlage der Lehre dieser Anmeldung übertragen werden kann. Beispielsweise kann auf der Grundlage der Erkenntnis, dass die Spannungseigenschaften der Abstandsschicht 112 deutlich von der Vorspannung bzw. Beschleunigungsspannung abhängen, die an die reaktiven Stoffe, die sich auf der Oberfläche des Substrats 101 ablagern, angelegt wird, können in einfacher Weise geeignete Parameterwerte für den Druck, die Hochfrequenzleistung, die Temperatur und dergleichen auf der Grundlage eines oder mehrerer Testläufe ermittelt werden.
  • 1c zeigt das Halbleiterbauelement 100 mit Abstandselementen 112a, die einen oberen Seitenwandbereich der Beschichtung 109 freilassen, was vorteilhaft sein kann bei der Herstellung größerer Metallsilizidgebiete in der Gateelektrode 105 in einem späteren Herstellungsschritt. Das Abstandselement 112a kann entsprechend dem konventionellen Prozessablauf hergestellt werden, d. h. durch selektives anisotropes Ätzen des Siliziumnitrids der Abstandsschicht 112, wobei die Beschichtung 109 als eine Ätzstoppschicht benutzt wird. Während des anisotropen Ätzprozesses bestimmt die Größe der Nachätzzeit im Wesentlichen die Größe des freigelegten Seitenwandbereichs der Gateelektrode 105. Auf Grund der Zuspannung der Abstandsschicht 112 besitzen auch die Abstandselemente 112a die Zugspannung 113, die – zumindest in einem gewissen Maße – auf das Kanalgebiet 103 insbesondere in Bereichen benachbart zu den Seitenwänden der Gateelektrode 105 übertragen werden kann. Wie zuvor erläutert ist, kann die Zugspannung 113 zu einer verbesserten Elektronenmobilität führen und kann ebenso ein verbessertes Diffusionsverhalten von Dotierstoffen bewirken, die in das Gebiet 102 implantiert sind, wobei die Abstandselemente 112 als Implantationsmaske dienen. Somit kann die Dotierstoffaktivierung in einem nachfolgenden Ausheizprozess deutlich effizienter sein und kann trotz der erhöhten Diffusionsaktivität zu einem reduzierten Überlappbereich des Erweiterungsgebiets 111 mit der Gateelektrode 105 führen, wodurch die Miller-Kapazität des Halbleiterbauelements 100 verkleinert wird. Ferner kann die Druckspannung, die auf das Kanalgebiet 103 durch die Grabenisolationsstrukturen 104 ausgeübt werden kann, kompensiert oder verringert werden durch die Zugspannung 113, die durch die Abstandselemente 112a geschaffen wird. Als Folge davon kann das Transistorleistungsverhalten von N-Transistoren deutlich verbessert werden, indem die Abstandselemente 112a mit der Zugspannung 113 bereitgestellt werden.
  • 1d zeigt schematisch das Halbleiterbauelement 100 in einem fortgeschrittenen Herstellungsstadium, wenn das laterale Dotierstoffprofil von Drain- und Sourcegebieten, die noch herzustellen sind, einen weiteren Implantationsprozess mit einem zusätzlichen Abstandselement erfordern. Daher umfasst das Halbleiterbauelement 100 eine zusätzliche Oxidbeschichtung 119, die alle freigelegten Oberflächen abdeckt. Ferner ist eine weitere Abstandsschicht 122, die Siliziumnitrid aufweist und ähnliche Spannungsbedingungen, wie die Abstandsschicht 112 (siehe 1b) zeigt, über dem Substrat 101 gebildet, wobei der Be trag der Zugspannung eingestellt werden kann, indem die Abscheideparameter in der Plasmaatmosphäre 114 entsprechend ausgewertet werden, wenn diese für die Herstellung der Abstandsschicht 122 verwendet wird.
  • 1e zeigt schematisch das Halbleiterbauelement 100, wobei zweite Siliziumnitridabstandselemente 122a aus der Abstandsschicht 122 gebildet sind. Ferner sind Drain- und Sourcegebiete in der Schicht 102 mit den Erweiterungsgebieten 111 und tief implantierten Gebieten 111a, 111b gebildet, die mittels der Abstandselemente 112a bzw. 122a hergestellt sind. Ferner sind Oberflächenbereiche eines oberen Gebiets der Gateelektrode 105 freigelegt. Die Strukturierung des Abstandselements 122a kann in ähnlicher Weise bewerkstelligt werden, wie dies zuvor mit Bezug zu den Abstandselementen 112a beschrieben ist.
  • Auf Grund der Abstandselemente 112a und 122a, die jeweils einen spezifizierten Anteil an Zugspannung aufweisen, wird eine kombinierte Zugspannung 113a erzeugt, die, wie zuvor erläutert ist, deutlich das elektrische Verhalten des Halbleiterbauelements 100 nach Fertigstellung verbessern kann. Es sollte beachtet werden, dass das Herstellen der Abstandselemente mit Zugspannung nicht auf die Prozesslösung mit drei Abstandselementen beschränkt ist, die in den 1a bis 1e gezeigt ist, sondern auch in eine beliebige Herstellungssequenz implementiert werden kann, unabhängig davon, ob zwei oder vier oder mehr Abstandselemente erforderlich sind, um die Dotierstoffkonzentration in den Drain- und Sourcegebieten geeignet bereitzustellen.
  • 2 zeigt schematisch eine Prozesssequenz 200 zur Herstellung eines Transistorelements mit Abstandselementen mit Zugspannung, etwa das Bauteils 100. Die Prozesssequenz kann Prozessanlagen einschließlich von Messinstrumenten beinhalten, die zur Herstellung der Abstandselemente entsprechend der vorliegenden Erfindung verwendet werden. Eine Abscheideanlage 210, etwa die zuvor spezifizierte kommerzielle Anlage wird bereitgestellt und wird mit den oben spezifizierten Prozessparameterwerten betrieben, um die Herstellung einer Abstandsschicht mit inhärenter Zugspannung zu ermöglichen. In Prozessrichtung der Abscheideanlage 210 nachgeordnet ist eine Ätzanlage 220 vorgesehen, die ausgebildet ist, die Abstandsschichten anisotrop zu ätzen, um damit Abstandselemente mit inhärenter Zugspannung herzustellen. Der Ätzanlage 220 nachgeordnet ist eine Messanlage 240, die ausgebildet ist, Messdaten hinsichtlich des elektrischen Verhaltens eines Transistorelements mit den Abstandselementen mit der inhärenten Zug spannung zu ermitteln. Der Einfachheit halber sind Prozessanlagen vor oder nach der Abscheideanlage 210 und der Ätzanlage 220 zum Ausführen von Prozessen, die zur Fertigstellung der Transistorelemente erforderlich sind, in 2 nicht gezeigt. Ferner können zusätzliche Messanlagen, etwa eine Anlage 220, an gewissen Stellen der Prozesssequenz 200 vorgesehen sein. Z. B. kann die Messanlage 230 in Bezug auf den Prozessablauf zwischen der Abscheideanlage 210 und der Ätzanlage 220 angeordnet sein, um die Spannungsbedingung der Abstandsschicht in der abgeschiedenen Form zu bewerten. Die Messanlage 230 kann optische Instrumente aufweisen, die ausgebildet sind, den Betrag der Spannung in einer Materialschicht durch beispielsweise Raman-Spektroskopie zu bestimmen. In anderen Ausführungsformen kann die Messanlage 230 optische und/oder mechanische Instrumente aufweisen, die so gestaltet sind, um eine Krümmung eines Substrats zu bestimmen, wobei die Krümmung mit der Spannung in Beziehung steht, die durch ein auf das Substrat abgeschiedenes Material erzeugt wird. In noch anderen Ausführungsformen kann die Messanlage 230 ein Rasterelektronenmikroskop aufweisen, um die inhärenten Spannungseigenschaften der Abstandsschicht zu bestimmen. In einer Ausführungsform der vorliegenden Erfindung können Messdaten, die von der Messanlage 240 erhalten werden und die das elektrische Verhalten kennzeichnen, mit entsprechenden Messergebnissen korreliert werden, die von der Messanlage 230 gewonnen werden, um die momentan benutzte Parametereinstellung der Abscheideanlage 210 zu beurteilen. D. h., das elektrische Verhalten einer Vielzahl von Bauteilen, die auf einer Vielzahl von Substraten gebildet sind, kann bestimmt werden und kann spezifizierten Abscheidebedingungen zugeordnet werden, wie sie von der Messanlage 230 gekennzeichnet sind. Eine entsprechende Zuordnung kann für eine Vielzahl unterschiedlicher Abscheideparameter, beispielsweise für unterschiedliche Einstellungen der der Plasmaatmosphäre in der Abscheideanlage 210 zugeführten Niederfrequenzleistung, ermittelt werden, um damit eine Abhängigkeit zwischen dem elektrischen Verhalten und einem oder mehreren Prozessparametern zu gewinnen, die für das Erzeugen der inhärenten Zugspannung in Abstandselementen relevant sind. Es sollte beachtet werden, dass die Daten hinsichtlich des elektrischen Verhaltens nicht notwendigerweise von Produktsubstraten gewonnen werden müssen, sondern dass diese auch mittels speziell gestalteter Teststrukturen und dergleichen gewonnen werden können. Während der eigentlichen Herstellungssequenz 200 werden Daten über das elektrische Verhalten mit einer deutlichen Verzögerung in Hinblick auf den Abscheideprozess in der Anlage 210 erhalten, so dass eine entsprechende Rückkopplungsschleife, die durch 241 bezeichnet ist, unter Umständen nur für Langzeitfluktuationen wirksam sein kann, aber nicht die Möglichkeit bieten kann, um auf Prozessfluktuationen auf der Grundlage einer einzelnen Scheibe oder eines Scheibenloses zu reagieren. Somit kann auf der Grundlage der zuvor etablierten Korrelation zwischen den Daten für das elektrische Verhalten und den Messdaten, wie sie von der Messanlage 230 ermittelt werden, eine entsprechende schneller reagierende Rückkopplungsschleife 231 errichtet werden, da die Messanlage 230, wenn sie in Form eines optischen und/oder mechanischen Messinstruments vorgesehen ist, Messerergebnisse selbst auf Basis einer einzelnen Scheibe bereitstellen kann, wodurch ein unmittelbares Neujustieren eines oder mehrerer Prozessparameter ermöglicht wird, die die inhärente Zugspannung in der Abstandsschicht, die durch die Anlage 210 gebildet wird, beeinflussen. In anderen Ausführungsformen kann die Korrelation zwischen den Daten über das elektrische Verhalten und den Messdaten der Anlage 230 ständig oder regelmäßig aktualisiert werden, indem das elektrische Verhalten von Produktsubstraten gemessen wird, um damit die Robustheit des Steuerungsprozesses zu verbessern. Ferner kann die Korrelation benutzt werden, um die Effizienz der gesamten Prozesssequenz 200 zu überwachen, um eine Fluktuation, die nicht von dem plasmaunterstützen Abscheideprozess in der Anlage 210 bewirkt wird, zu erkennen, wenn die Daten über das elektrische Verhalten eine Korrektur des mindestens einen Prozessparameters, der die inhärente Zugspannung steuert, erfordert, die außerhalb eines zulässigen spezifizierten Bereiches liegt. Wenn beispielsweise ein zulässiger Justierbereich von z. B. der der Plasmaatmosphäre in der Anlage 210 zugeführten Niederfrequenzleistung auf 0 bis 10 Watt festgelegt ist, das einer inhärenten Zugspannung in der Abstandsschicht von ungefähr 650 bis 550 MPa entsprechen kann, und wenn die Daten über das elektrische Verhalten, die von der Anlage 240 gesammelt werden, – nach einer gewissen Zeitspanne des Herstellungsprozesses – einen Wert für die Niederfrequenzleistung erfordern, der außerhalb des spezifizierten Bereiches liegt, kann eine beträchtliche Fluktuation in einem anderen Prozess der Sequenz 200 aufgetreten sein und kann einem Bediener oder einem Fabrikmanagementsystem angezeigt werden. Dabei können die von der Messanlage 230 bereitgestellten Messdaten es ermöglichen, den Grad abzuschätzen, mit dem die Abscheideanlage 210 zu dieser Prozessfluktuation beigetragen hat. Somit kann die Prozessstabilität verbessert werden, wobei gleichzeitig das Bauteilverhalten verbessert wird auf Grund des plasmaunterstützten Abscheideprozesses, der die Ausbildung von Abstandselementen mit einer einstellbaren inhärenten Zugspannung ermöglicht.
  • Mit Bezug zu den 3a bis 3f werden nunmehr weitere anschauliche Ausführungsformen beschrieben, wobei Abstandselemente mit unterschiedlichen Spannungseigenschaften an unterschiedlichen Transistorelementen hergestellt werden. Wie zuvor dargelegt ist, können unterschiedliche Transistorarten unterschiedliche Spannungseigenschaften erfordern, um damit die Gesamtleistung einer integrierten Schaltung zu erhöhen. Die folgenden Ausführungsformen richten sich an das selektive Erzeugen unterschiedlicher (mechanischen) Spannung in Abstandselementen, ohne unnötig zur Prozesskomplexität beizutragen.
  • 3a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 300 mit einem ersten Transistorelement 360 und einem zweiten Transistorelement 370, die einen N-Kanaltransistor bzw. einen P-Kanaltransistor repräsentieren können, oder die zwei beliebige Transistorelemente auf einem Chip oder einem Substrat repräsentieren können, die eine Ausbildung von Abstandselementen mit unterschiedlichen Spannungseigenschaften erfordern. Das Bauelement 300 umfasst ferner ein Substrat 301 mit einer darauf ausgebildeten Halbleiterschicht 302 mit einer Isolationsstruktur 304, die beispielsweise in Form einer Grabenisolationsstruktur vorgesehen ist, und die die Transistorelemente 360 und 370 voneinander trennt. Das Transistorelement 360 umfasst eine Gerätelektrode 305, die von der Halbleiterschicht 302 durch eine Gateisolationsschicht 306 getrennt ist. In ähnlicher Weise umfasst das Transistorelement 370 eine Gateelektrode 315, die von der Halbleiterschicht 302 durch eine entsprechende Gateisolationsschicht 316 getrennt ist. Hinsichtlich der Abmessungen der Gateelektroden 305, 315, und der Gateisolationsschichten 306, 316, sowie deren Materialzusammensetzung gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu 1a dargelegt sind. Ferner kann das Halbleiterbauelement 300 epitaktisch gewachsene Halbleitergebiete 310 und entsprechende Erweiterungsgebiete 311 aufweisen, wobei die Art der Dotierstoffe und die Dotierstoffkonzentration in den Gebieten 311 für die Transistoren 360 und 370 unterschiedlich sein kann. Eine Oxidbeschichtung 309, gefolgt von einer Abstandsschicht 312, die aus Siliziumnitrid aufgebaut ist, ist über dem Substrat 301 und den Gateelektroden 305, 315 gebildet. Schließlich ist eine Opferschicht 350, die beispielsweise aus Siliziumdioxid aufgebaut ist, so gebildet, um vollständig die Transistorelemente 360, 370 abzudecken.
  • Ein typischer Prozessablauf zur Herstellung des Bauelements 300, wie es in 3a gezeigt ist, kann im Wesentlichen die gleichen Prozesse enthalten, wie sie mit Bezug zu 1a beschrieben sind, wobei insbesondere der plasmaunterstützte CVD-Prozess zur Bildung der Abstandsschicht 312 entsprechend den Prozessparametern durchgeführt werden kann, wie sie zuvor spezifiziert sind. Des weiteren kann die Schicht 350 durch plasmaunterstütztes CVD gemäß gut etablierter Prozessrezepte abgeschieden werden.
  • 3b zeigt schematisch das Bauelement 300 in einem fortgeschrittenen Herstellungsstadium. Eine Maskenschicht 351, die beispielsweise aus Photolack aufgebaut ist, ist über dem Transistorelement 370 gebildet, während die Schicht 350 von dem Transistorelement 360 entfernt ist. Das Abtragen der Schicht 350 über dem Transistor 360 kann mittels einem selektiven anisotropen Ätzprozess erreicht werden, wobei die Abstandsschicht 312 als eine Ätzstoppschicht dient, während die Maskenschicht 351 das Ätzen der Schicht 350 über dem Transistorelement 370 verhindert oder zumindest verlangsamt. Die Maskenschicht 351 kann in Übereinstimmung mit gut etablierten Photolithographietechniken gebildet werden, wie sie auch bei der Herstellung von Implantationsmasken für ein selektives Dotieren von P-Transistoren und N-Transistoren in dem CMOS-Prozessablauf verwendet werden. In einer Ausführungsform kann, wenn die Topographie der Schicht 350 als ungeeignet für die Ausbildung der Lackmaske 351 erachtet wird, ein CMP-Prozess (chemisch-mechanisches Polieren) so ausgeführt werden, um die Oberfläche der Schicht 351 vor der Herstellung der Maskenschicht 351 einzuebnen. Nachfolgend kann der freigelegte Bereich der Abstandsschicht 312 mittels eines isotropen selektiven Ätzprozesses entfernt werden, wobei die Oxidbeschichtung 109 als eine Ätzstoppschicht dient.
  • 3c zeigt schematisch das Bauelement 300 nach dem Entfernen der Abstandsschicht 312 und der darunter liegenden Oxidbeschichtung 309 von dem Transistorelement 360.
  • 3d zeigt schematisch das Bauelement 300 mit einer weiteren Oxidbeschichtung 319, gefolgt von einer weiteren Abstandsschicht 322, die über dem Transistorelement 360 und dem verbleibenden Bereich der Schicht 350 gebildet sind. Während des Abscheidens der Abstandsschicht 322, die aus Siliziumnitrid aufgebaut ist, können die Spannungseigenschaften so eingestellt werden, um den Transistorelement 360 Rechnung zu tragen. Wenn beispielsweise eine Zugspannung für den Transistor 360 erforderlich ist, können die Prozessparameter so gewählt werden, wie dies mit Bezug zu der Abstandsschicht 112 und 122 in den 1b bis 1e erläutert ist. In anderen Ausführungsformen kann eine Druckspannung als für das Transistorelement 360 geeignet erachtet werden und demzufolge kann ein konventionelles Abscheiderezept für die Herstellung der Abstandsschicht 322 eingesetzt wer den. Es sollte weiter beachtet werden, dass zusätzlich zu der unterschiedlichen Auswahl der inhärenten Spannungseigenschaften für das Transistorelement 360 und 370 auch die Dicke der Abstandsschichten 322 und 312 entsprechend den Bauteilerfordernissen eingestellt werden kann, wodurch eine zusätzliche Entwurfsflexibilität beim lateralen Profilieren der entsprechenden Dotierstoffkonzentrationen geboten wird.
  • Nach der Herstellung der Abstandsschicht 322 kann gemäß einer Ausführungsform die Topographie des Bauelements 300 eingeebnet werden, indem ein Polymermaterial abgeschieden wird, um das Transistorelement 360 im Wesentlichen auf ein Niveau aufzufüllen, das im Wesentlichen dem Niveau des Transistors 370 entspricht. In anderen Ausführungsformen kann das Bauteil 300, wie es in 3d gezeigt ist, einem CMP-Prozess ohne eine vorhergehende Einstellung der Topographie unterzogen werden.
  • 3e zeigt schematisch das Bauelement 300 nach Abschluss des CMP-Prozesses, in welchem die Abstandsschicht 312 von der oberen Oberfläche der Schicht 350 entfernt wird. Wie in 3e dargestellt ist, ist das Steuern des CMP-Prozesses nicht kritisch, da ein wesentlicher Anteil der horizontalen Bereiche der Schichten 322 und 312 entfernt werden kann, ohne dass der weitere Herstellungsprozess beeinflusst wird. In anderen Ausführungsformen kann der CMP-Prozess so durchgeführt werden, dass lediglich die Schicht 322 auf der Schicht 350 zuverlässig entfernt wird, wodurch ein wesentlicher Anteil der Schicht 350 über dem Transistor 370 verbleibt. Unabhängig von der angewendeten Prozessstrategie kann dann das verbleibende Material der Schicht 350 durch einen selektiven Ätzprozess, beispielsweise unter Anwendung von Fluorsäure entfernt werden. Danach kann ein anisotroper Ätzprozess aufgeführt werden, um gemeinsam die Abstandsschichten 322, 312 zur Herstellung entsprechender Abstandselemente zu strukturieren.
  • 3f zeigt schematisch das Halbleiterbauelement 300 nach Abschluss des anisotropen Ätzprozesses und nach Entfernung der Oxidbeschichtungen 309 und 319. Somit weist die Gateelektrode 305 Abstandselemente 322a mit einer Spannungseigenschaft 313a daran ausgebildet auf, während die Gateelektrode 315 Abstandselemente 312a daran ausgebildet aufweist, die eine Spannungseigenschaft 313 besitzen, die sich von der Spannungseigenschaft 313a unterscheidet. Ein Restbereich 322b der Abstandsschicht 322, der durch den anisotropen Ätzprozess zur Ausbildung der Abstandselemente 322a, 312a erzeugt wird, ist über der Isolationsstruktur 304 angeordnet und beeinflusst im Wesentlichen das Verhalten der Transistorelemente 360 und 370 nicht negativ ebenso wenig wie den weiteren Herstellungsprozess. Der Herstellungsprozess für das Bauelement 300 kann dann mit der Ausbildung weiterer Abstandselemente (nicht gezeigt) fortgesetzt werden, wobei die zuvor beschriebenen Prozesse entsprechend wiederholt werden können, um die Transistorelemente 360, 370 in ähnlicher Weise zu erhalten, wie dies in 1e beschrieben ist.
  • Wie zuvor mit Bezug zu 1e dargestellt ist, kann das Bauelement 300 in Übereinstimmung mit einer beliebigen erforderlichen Prozessstrategie hergestellt werden, d. h. ein oder mehrere Abstandselemente können für mindestens zwei unterschiedliche Transistortypen gebildet werden, um damit das erforderliche laterale Dotierstoffprofil zu erhalten. Ferner können Versatzabstandselemente, wie sie beispielsweise in 1a beschrieben sind, ebenso in dem Bauelement 300 verwendet werden. Des weiteren kann auf die Steuerungsstrategie, die mit Bezug zu 2 beschrieben ist, auch auf die Herstellungssequenz des Bauelements 300 angewendet werden, da die inhärenten Spannungseigenschaften der Abstandsschichten 312 und 322 separat eingestellt werden können, indem mindestens ein Abscheideparameter, etwa die Temperatur und/oder der Druck und/oder die Niederfrequenzleistung der Plasmaatmosphäre während des Abscheidens der Abstandsschichten 312 und 322 gesteuert wird.
  • In einer weiteren Ausführungsform (nicht gezeigt) kann die Maskenschicht 350 beispielsweise durch eine Lackschicht gebildet werden, die so strukturiert wird, um das Transistorelement 360 freizulegen. Danach wird die Abstandsschicht 312 entfernt, woran sich das Abtragen der Lackschicht 350 anschließt. Als nächstes wird die zweite Abstandsschicht 322 mit einer spezifizierten Spannungseigenschaft, beispielsweise mit Druckspannung, über dem ersten und dem zweiten Transistorelement 360 und 370 abgeschieden, wodurch ein Stapel aus den Schichten 312 und 322 über dem zweiten Transistorelement 370 gebildet wird. Die Spannung und die Dicke der ersten Abstandsschicht 312 können so eingestellt werden, um in Kombination mit der zweiten Abstandsschicht 322 eine gewünschte Wirkung in Hinblick auf die Gesamtspannung und die Gesamtbreite der Abstandselemente für das zweite Transistorelement 370 zu erreichen. Somit kann die Abstandselementsbreite individuell in dem ersten und dem zweiten Transistorelement 360 und 370 angepasst werden, indem die Dicke der ersten und der zweiten Abstandsschicht 312 und 322 entsprechend eingestellt wird. Wenn beispielsweise das zweite Transistorelement 370 ein Abstandselement mit Zugspannung mit einer Breite erhalten soll, das eine Breite eines Abstandsele ments mit Druckspannung, das an dem ersten Transistorelement 360 zu bilden ist, um 20% übertreffen soll, kann die Dicke der Abstandsschicht 312 auf ungefähr 20% der Dicke der Abstandsschicht 322 eingestellt werden. Die Zugspannung in der Schicht 312 kann auf einen moderat hohen Wert, beispielsweise 900 MPa so eingestellt werden, um die deutlich geringere Druckspannung von beispielsweise von ungefähr – 50 MPa der Abstandsschicht 322 zu kompensieren. In einem gemeinsamen Ätzprozess können dann entsprechende Abstandselemente mit unterschiedlicher Spannung und unterschiedlicher Breite dann in ähnlicher Weise hergestellt werden, wie dies zuvor mit Bezug zu 3e und 3f beschrieben ist.
  • Es gilt also: die vorliegende Erfindung stellt eine Abscheidetechnik in einer Plasmaatmosphäre bereit, die das Herstellen einer Abstandsschicht mit Zugspannung ermöglicht, um damit entsprechende Abstandselemente zu strukturieren, die einer Gateelektrode und/oder einem Kanalgebiet eines entsprechenden Transistorelements ein erforderliches Maß an Spannung verleihen. Die Abscheideparameter, die für eine Anlage ermittelt wurden, können auf eine beliebige geeignete PECVD-Anlage auf der Grundlage der hierin beschriebenen Parameterwerte übertragen werden. Der Prozess zur Herstellung von Abstandselementen mit Zugspannung, etwa in Form von Siliziumnitridabstandselementen, verbessert deutlich das Bauteilverhalten und kann vorteilhafterweise mit Steuerungsstrategien und Prozessflussmodifizierungen kombiniert werden, wie sie zuvor beschrieben sind, wodurch das Leistungsverhalten und die Produktionsausbeute noch weiter verbessert werden.

Claims (36)

  1. Verfahren zum Herstellen von Abstandselementen in einem Herstellungsverfahren für Halbleiterbauelemente, wobei das Verfahren umfasst: Bilden einer Gateelektrode über einem Halbleitergebiet; Abscheiden einer Abstandsschicht über der Gateelektrode und dem Halbleitergebiet in einer Hochfrequenzplasmaatmosphäre; Steuern der Abscheidung der Abstandsschicht derart, dass Zugspannung darin erzeugt wird, die ausreichend ist um Einfluss auf die Ladungsträgerbeweglichkeit in einem unterhalb des Gates ausgebildeten Kanalbereich auszuüben; und Ätzen der Abstandsschicht, um Abstandselements mit Zugspannung an den Seitenwänden der Gateelektrode zu bilden.
  2. Das Verfahren nach Anspruch 1, wobei die Abstandsschicht Silizium und Stickstoff aufweist.
  3. Das Verfahren nach Anspruch 1, wobei das Steuern des Abscheidens der Abstandsschicht das Steuern einer Vorspannung in der Hochfrequenzplasmaatmosphäre umfasst, um den Grad an Zugspannung in der Abstandsschicht einzustellen.
  4. Das Verfahren nach Anspruch 3, wobei die Vorspannung gesteuert wird, indem eine Niederfrequenzleistung, die der Hochfrequenzplasmaatmosphäre zugeführt wird, eingestellt wird.
  5. Das Verfahren nach Anspruch 4, wobei die Niederfrequenzleistung auf ungefähr gegen 0 eingestellt wird.
  6. Das Verfahren nach Anspruch 4, wobei die Niederfrequenzleistung in einem Bereich von ungefähr 0 bis 50 Watt liegt.
  7. Das Verfahren nach Anspruch 1, wobei für ein gewünschtes stöchiometrisches Verhältnis der Abstandsschicht der Grad an Druckspannung eingestellt wird durch eine Abscheidetemperatur und/oder einen Abscheidedruck und/oder einer Intensität der der Plasmaatmosphäre zugeführten Hochfrequenzleistung.
  8. Das Verfahren nach Anspruch 7, wobei die Abscheidetemperatur in einem Bereich von ungefähr 350°C bis 450°C eingestellt wird, wenn die Abstandsschicht Silizium und Stickstoff aufweist.
  9. Das Verfahren nach Anspruch 7, wobei der Abscheidedruck im Bereich von 1,6 × 102 Pa bis 2,9 × 102 Pa liegt.
  10. Das Verfahren nach Anspruch 7, wobei die Höhe der Hochfrequenzleistung im Bereich von ungefähr 300 Watt bis 400 Watt für einen Einzelsubstratprozess mit einem Substrat mit einem Durchmesser von 200 mm liegt.
  11. Das Verfahren nach Anspruch 1, das ferner umfasst: Bilden eines Draingebiets und eines Sourcegebiets benachbart zu dem Abstandselement, wobei das Abstandselement als eine Implantationsmaske verwendet wird.
  12. Das Verfahren nach Anspruch 1, wobei die Gateelektrode eine Gatelänge von ungefähr 60 nm oder weniger aufweist.
  13. Das Verfahren nach Anspruch 1, das ferner umfasst: Steuern mindestens eines Abscheideparameters der Plasmaatmosphäre auf der Grundlage einer gemessenen Eigenschaft der Gateelektrode, um eine mechanische Spannung, die durch das Abstandselement bewirkt wird, einzustellen.
  14. Das Verfahren nach Anspruch 13, wobei der mindestens eine Prozessparameter eine Hochfrequenzleistung und/oder eine Vorspannungsleistung und/oder einen Druck repräsentiert.
  15. Das Verfahren nach Anspruch 1, wobei Steuern des mindestens einen Abscheideparameters umfasst: Bestimmen der gemessenen Eigenschaft für mindestens ein Substrat, Bestimmen eines Sollwertes für den mindestens einen Abscheideparameter und Abscheiden der Abstandsschicht auf einem oder mehreren Produktsubstraten unter Benutzung des Sollwertes.
  16. Das Verfahren nach Anspruch 15, wobei die gemessene Eigenschaft eine Betriebsgeschwindigkeit eines Transistors, der mit der Gateelektrode und dem Kanalgebiet gebildet ist, und/oder ein Raman-Spektrum und/oder einen Elektronenmikroskopmesswert umfasst.
  17. Verfahren zur Herstellung von Abstandselementen in einem Herstellungsverfahren für Halbleiterbauelemente, wobei das Verfahren umfasst: Bilden einer ersten Gateelektrode und einer zweiten Gateelektrode über einem Halbleitergebiet; Abscheiden einer ersten Abstandsschicht mit einer ersten Spannungseigenschaft über der ersten Gateelektrode, der zweiten Gateelektrode und dem Halbleitergebiet in einer Hochfrequenzplasmaatmosphäre; selektives Entfernen der ersten Abstandsschicht von der ersten Gateelektrode; Abscheiden einer zweiten Abstandsschicht mit einer zweiten Spannungseigenschaft, die sich von der ersten Spannungseigenschaft unterscheidet, über der ersten Gateelektrode; wobei die erste und zweite Spannungscharakteristik erzielt wird durch Steuern des Abscheidens der Abstandsschichten derart, dass Zugspannung darin erzeugt wird ausreichend zur Erhöhung der Ladungsträgerbeweglichkeit in jedem der unter den Gateelektroden gebildeten Kanalbereichen, und Ätzen der ersten und der zweiten Abstandsschicht, um ein erstes Abstandselement mit der zweiten Spannungseigenschaft benachbart zu der ersten Gateelektrode und ein zweites Abstandselement mit der ersten Spannungscharakteristik benachbart zu der zweiten Gateelektrode zu bilden.
  18. Das Verfahren nach Anspruch 17, wobei nach dem selektiven Entfernen der ersten Abstandsschicht von der ersten Gateelektrode die zweite Abstandsschicht auf der ersten Abstandsschicht, die über der zweiten Gateelektrode gebildet ist, abgeschieden wird.
  19. Das Verfahren nach Anspruch 17, wobei das Steuern des Abscheidens der ersten Abstandsschicht umfasst: Steuern einer Vorspannung in der Hochfrequenzplasmaatmosphäre, um den Grad an Zugspannung in der ersten Abstandsschicht einzustellen.
  20. Das Verfahren nach Anspruch 19, wobei die Vorspannung gesteuert wird, indem eine der Hochfrequenzplasmaatmosphäre zugeführte Niederfrequenzleistung eingestellt wird.
  21. Das Verfahren nach Anspruch 20, wobei die Niederfrequenzleistung auf ungefähr gegen 0 eingestellt wird.
  22. Das Verfahren nach Anspruch 20, wobei die Niederfrequenzleistung in einem Bereich von 0 bis 50 Watt eingestellt wird.
  23. Das Verfahren nach Anspruch 17, wobei für ein gewünschtes stöchiometrisches Verhältnis der ersten Abstandsschicht der Grad an Zugspannung eingestellt wird durch eine Abscheidetemperatur und/oder einen Abscheidedruck und/oder eine Höhe der der Plasmaatmosphäre zugeführten Hochfrequenzleistung.
  24. Das Verfahren nach Anspruch 23, wobei die Abscheidetemperatur in einem Bereich von ungefähr 350°C bis 450°C eingestellt wird, wenn die erste Abstandsschicht Silizium und Stickstoff aufweist.
  25. Das Verfahren nach Anspruch 23, wobei der Abscheidedruck in einem Bereich von ungefähr 1,6 × 102 Pa bis 2,9 × 102 Pa liegt.
  26. Das Verfahren nach Anspruch 23, wobei die Höhe der Hochfrequenzleistung in einem Bereich von ungefähr 300 Watt bis 400 Watt für einen Einzelsubstratprozess mit einem Substrat mit einem Durchmesser von 200 mm liegt.
  27. Das Verfahren nach Anspruch 17, wobei die zweite Abstandsschicht in einer zweiten Plasmaatmosphäre abgeschieden wird, die so gesteuert wird, um die zweite Spannungseigenschaft zu erzeugen.
  28. Das Verfahren nach Anspruch 17, das ferner umfasst: Bilden einer Maske, die zumindest die zweite Gateelektrode abdeckt, vor dem Entfernen der ersten Abstandsschicht von der ersten Gateelektrode.
  29. Das Verfahren nach Anspruch 28, das ferner selektives Entfernen von Material der zweiten Abstandsschicht von der Maske umfasst.
  30. Das Verfahren nach Anspruch 17, das ferner umfasst: Bilden eines N-Transistors mit der ersten Gateelektrode und Bilden eines P-Transistors mit der zweiten Gateelektrode.
  31. Transistorelement mit: einer über einem Kanalgebiet gebildeten Gateelektrode; und einem Abstandselement, das benachbart zu einem Teil einer Seitenwand einer Gateelektrode ausgebildet ist, wobei das Abstandselement einen erhöhten Wert an Zugspannung aufweist, der ausreicht, die Ladungsträgerbeweglichkeit in einem unterhalb der Gateelektrode und angrenzend and die Abstandsschicht gebildeten Kanalbereich zu beeinflussen.
  32. Der Transistor nach Anspruch 31, wobei das Abstandselement Silizium und Stickstoff aufweist.
  33. Das Transistorelement nach Anspruch 31, wobei die Zugspannung in dem Abstandselement im Bereich von ungefähr 590 bis 710 MPa liegt.
  34. Das Transistorelement nach Anspruch 29, wobei ein Wasserstoffanteil in dem Abstandselement im Bereich von ungefähr 22 bis 23 Atomprozent liegt.
  35. Das Transistorelement nach Anspruch 29, wobei das Abstandselement Siliziumnitrid aufweist und wobei ein Brechungsindex des Abstandselements im Bereich von ungefähr 1.90 bis 2.1 liegt.
  36. Das Transistorelement nach Anspruch 29, wobei eine Länge der Gateelektrode 60 nm oder weniger beträgt.
DE10360000A 2003-12-19 2003-12-19 Abstandselement für eine Gateelektrode mit Zugspannung eines Transistorelements und ein Verfahren zur Herstellung Expired - Fee Related DE10360000B4 (de)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE10360000A DE10360000B4 (de) 2003-12-19 2003-12-19 Abstandselement für eine Gateelektrode mit Zugspannung eines Transistorelements und ein Verfahren zur Herstellung
US10/987,484 US8557667B2 (en) 2003-12-19 2004-11-12 Spacer for a gate electrode having tensile stress and a method of forming the same
US14/023,966 US8847205B2 (en) 2003-12-19 2013-09-11 Spacer for a gate electrode having tensile stress and a method of forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10360000A DE10360000B4 (de) 2003-12-19 2003-12-19 Abstandselement für eine Gateelektrode mit Zugspannung eines Transistorelements und ein Verfahren zur Herstellung

Publications (2)

Publication Number Publication Date
DE10360000A1 DE10360000A1 (de) 2005-07-21
DE10360000B4 true DE10360000B4 (de) 2009-12-10

Family

ID=34672958

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10360000A Expired - Fee Related DE10360000B4 (de) 2003-12-19 2003-12-19 Abstandselement für eine Gateelektrode mit Zugspannung eines Transistorelements und ein Verfahren zur Herstellung

Country Status (2)

Country Link
US (2) US8557667B2 (de)
DE (1) DE10360000B4 (de)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6949443B2 (en) * 2003-10-10 2005-09-27 Taiwan Semiconductor Manufacturing Company High performance semiconductor devices fabricated with strain-induced processes and methods for making same
KR101088712B1 (ko) * 2004-05-03 2011-12-01 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
JP4434832B2 (ja) * 2004-05-20 2010-03-17 Okiセミコンダクタ株式会社 半導体装置、及びその製造方法
KR100668954B1 (ko) * 2004-12-15 2007-01-12 동부일렉트로닉스 주식회사 박막트랜지스터 제조 방법
US7429775B1 (en) 2005-03-31 2008-09-30 Xilinx, Inc. Method of fabricating strain-silicon CMOS
EP1717864A1 (de) * 2005-04-27 2006-11-02 STMicroelectronics ( Crolles 2) SAS Verfahren zur Verwaltung von Stressanordnung im Kanal eines MOS-Transistors und entsprechende integrierte Schaltung
US20080142903A1 (en) * 2005-05-03 2008-06-19 Jea Hee Kim Semiconductor device and method for manufacturing the same
US7423283B1 (en) 2005-06-07 2008-09-09 Xilinx, Inc. Strain-silicon CMOS using etch-stop layer and method of manufacture
US7858458B2 (en) * 2005-06-14 2010-12-28 Micron Technology, Inc. CMOS fabrication
US7655991B1 (en) * 2005-09-08 2010-02-02 Xilinx, Inc. CMOS device with stressed sidewall spacers
US7936006B1 (en) 2005-10-06 2011-05-03 Xilinx, Inc. Semiconductor device with backfilled isolation
KR100654000B1 (ko) * 2005-10-31 2006-12-06 주식회사 하이닉스반도체 금속실리사이드막을 갖는 반도체소자의 제조방법
US7939413B2 (en) * 2005-12-08 2011-05-10 Samsung Electronics Co., Ltd. Embedded stressor structure and process
US20070238254A1 (en) * 2006-03-28 2007-10-11 Applied Materials, Inc. Method of etching low dielectric constant films
US8294224B2 (en) * 2006-04-06 2012-10-23 Micron Technology, Inc. Devices and methods to improve carrier mobility
DE102006019881B4 (de) * 2006-04-28 2017-04-06 Advanced Micro Devices, Inc. Technik zur Herstellung einer Siliziumnitridschicht mit hoher intrinsischer kompressiver Verspannung
US7504336B2 (en) * 2006-05-19 2009-03-17 International Business Machines Corporation Methods for forming CMOS devices with intrinsically stressed metal silicide layers
US20070278541A1 (en) * 2006-06-05 2007-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer engineering on CMOS devices
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7772054B2 (en) * 2007-06-15 2010-08-10 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
DE102007052050B4 (de) * 2007-10-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement und Verfahren zum Erhöhen der Ätzselektivität während der Strukturierung einer Kontaktstruktur des Halbleiterbauelements
JP5503895B2 (ja) * 2008-04-25 2014-05-28 株式会社半導体エネルギー研究所 半導体装置
JP5136544B2 (ja) * 2009-12-16 2013-02-06 三菱電機株式会社 半導体装置
KR101815527B1 (ko) 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN102468234B (zh) * 2010-11-04 2013-12-11 中芯国际集成电路制造(上海)有限公司 在指定区域形成侧墙的方法
US9935013B2 (en) 2014-04-09 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Flexible device modulation by oxide isolation structure selective etching process
US9953873B2 (en) * 2016-05-24 2018-04-24 Globalfoundries Inc. Methods of modulating the morphology of epitaxial semiconductor material

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020142535A1 (en) * 2000-05-05 2002-10-03 Taiwan Semiconductor Manufacturing Company Modified nitride spacer for solving charge retention issue in floating gate memory cell
US20030008453A1 (en) * 2001-07-04 2003-01-09 Samsung Electronics Co., Ltd. Semiconductor device having a contact window and fabrication method thereof

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000068508A (ja) * 1998-08-25 2000-03-03 Mitsubishi Electric Corp 絶縁ゲート型トランジスタの特性評価方法、絶縁ゲート型トランジスタの製造方法、絶縁ゲート型トランジスタの特性評価装置、および特性評価プログラムを記録してあるコンピュータ読み取り可能な記録媒体
US6716758B1 (en) * 1999-08-25 2004-04-06 Micron Technology, Inc. Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US6333218B1 (en) * 2000-02-11 2001-12-25 Advanced Micro Devices, Inc. Method of etching contacts with reduced oxide stress
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6664202B2 (en) * 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
DE102006040762B4 (de) * 2006-08-31 2009-05-07 Advanced Micro Devices, Inc., Sunnyvale N-Kanalfeldeffekttransistor mit einer Kontaktätzstoppschicht in Verbindung mit einer Zwischenschichtdielektrikumsteilschicht mit der gleichen Art an innerer Verspannung

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020142535A1 (en) * 2000-05-05 2002-10-03 Taiwan Semiconductor Manufacturing Company Modified nitride spacer for solving charge retention issue in floating gate memory cell
US20030008453A1 (en) * 2001-07-04 2003-01-09 Samsung Electronics Co., Ltd. Semiconductor device having a contact window and fabrication method thereof

Also Published As

Publication number Publication date
US20140011302A1 (en) 2014-01-09
US8847205B2 (en) 2014-09-30
US8557667B2 (en) 2013-10-15
US20050136606A1 (en) 2005-06-23
DE10360000A1 (de) 2005-07-21

Similar Documents

Publication Publication Date Title
DE10360000B4 (de) Abstandselement für eine Gateelektrode mit Zugspannung eines Transistorelements und ein Verfahren zur Herstellung
DE102008063427B4 (de) Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
DE102005020133B4 (de) Verfahren zur Herstellung eines Transistorelements mit Technik zur Herstellung einer Kontaktisolationsschicht mit verbesserter Spannungsübertragungseffizienz
DE102010030768B4 (de) Herstellverfahren für ein Halbleiterbauelement als Transistor mit eingebettetem Si/Ge-Material mit geringerem Abstand und besserer Gleichmäßigkeit und Transistor
DE102004026142B3 (de) Verfahren zum Steuern der mechanischen Spannung in einem Kanalgebiet durch das Entfernen von Abstandselementen und ein gemäß dem Verfahren gefertigtes Halbleiterbauelement
DE102005051994B4 (de) Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
DE112007002306B4 (de) Verspannter Feldeffekttransistor und Verfahren zu dessen Herstellung
DE112004000146B4 (de) Verfahren zur Herstellung eines MOSFET-Bauelements mit zugspannungsverformtem Substrat
DE112006000151B4 (de) Herstellungsverfahren für CMOS Transistsorübergangsbereiche, die durch ein CVD Ätzen gebildet sind und eine Ablagerungsabfolge in ein und derselben Kammer
DE102005052055B3 (de) Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
DE112004002373B4 (de) Verfahren zur Herstellung einer CMOS-Vorrichtung sowie CMOS-Vorrichtung mit Strained-Transistor-Integration für CMOS
DE102008047127B4 (de) Verfahren zur Herstellung integral ausgebildeter Drain- und Source-Gebiete in einem Silizium/Germanium enthaltenden Transistorbauelement und Halbleiterbauelement
DE102006015087B4 (de) Verfahren zur Herstellung von Transistoren
DE102005004411B4 (de) Verfahren für die Herstellung eines in-situ-gebildeten Halo-Gebietes in einem Transistorelement
DE102005009023A1 (de) Gateelektrodenstruktur und Transistor mit asymmetrischen Abstandselementen und Verfahren zum Herstellen derselben
DE10234392B4 (de) Halbleiterbauelement mit Gate-Elektrodenstruktur und Herstellungsverfahren hierfür
DE102004052617B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements und Halbleiterbauelement mit Halbleitergebieten, die unterschiedlich verformte Kanalgebiete aufweisen
DE102004052578A1 (de) Technik zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
EP1597770A1 (de) Bipolartransistor mit verbessertem basis-emitter- bergang und verfahren zur herstellung
DE102006015090A1 (de) Unterschiedlich eingebettete Verformungsschichten in PMOS- und NMOS-Transistoren und ein Verfahren zur Herstellung desselben
DE102006040765A1 (de) Feldeffekttransistor mit einer verspannten Kontaktätzstoppschicht mit gerigerer Konformität
DE102009010847A1 (de) Integration von Halbleiterlegierungen in PMOS- und NMOS-Transistoren unter Anwedung eines gemeinsamen Ätzprozesses für Aussparungen
DE112007003116T5 (de) Verspannter Transistor und Verfahren zu dessen Herstellung
DE102005046977B4 (de) Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung mittels Kontaktätzstoppschichtstapels mit einer dazwischen liegenden Ätzstoppschicht
DE102010064284B4 (de) Verfahren zur Herstellung eines Transistors mit einer eingebetteten Sigma-förmigen Halbleiterlegierung mit erhöhter Gleichmäßigkeit

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8328 Change in the person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20140701