DE112006000151B4 - Herstellungsverfahren für CMOS Transistsorübergangsbereiche, die durch ein CVD Ätzen gebildet sind und eine Ablagerungsabfolge in ein und derselben Kammer - Google Patents

Herstellungsverfahren für CMOS Transistsorübergangsbereiche, die durch ein CVD Ätzen gebildet sind und eine Ablagerungsabfolge in ein und derselben Kammer Download PDF

Info

Publication number
DE112006000151B4
DE112006000151B4 DE112006000151T DE112006000151T DE112006000151B4 DE 112006000151 B4 DE112006000151 B4 DE 112006000151B4 DE 112006000151 T DE112006000151 T DE 112006000151T DE 112006000151 T DE112006000151 T DE 112006000151T DE 112006000151 B4 DE112006000151 B4 DE 112006000151B4
Authority
DE
Germany
Prior art keywords
thickness
substrate
chamber
forming
gate electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112006000151T
Other languages
English (en)
Other versions
DE112006000151T5 (de
Inventor
Anand Portland Murthy
Glenn Beaverton Glass
Andrew Beaverton Westmeyer
Michael Aloha Hattendorf
Jeffrey Tigard Wank
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112006000151T5 publication Critical patent/DE112006000151T5/de
Application granted granted Critical
Publication of DE112006000151B4 publication Critical patent/DE112006000151B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • H01L21/2053Expitaxial deposition of elements of Group IV of the Periodic System, e.g. Si, Ge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Abstract

Verfahren mit:
– Entfernen eines ersten Abschnitts eines Substrats benachbart einer Gatterelektrode zur Bildung eines ersten Übergangsbereichs und eines anderen zweiten Abschnitts des Substrats benachbart der Gatterelektrode zur Bildung eines zweiten Übergangsbereichs in dem Substrat; und
– Bilden einer epitaxialen Schicht eines kristallinen Materials in dem ersten Übergangsbereich und in dem zweiten Übergangsbereich;
wobei das Entfernen und das Bilden in derselben Kammer ohne Aufhebung der Abdichtung der Kammer erfolgt.

Description

  • HINTERGRUND
  • Gebiet
  • Schalteinheiten und die Herstellung und die Struktur von Schalteinheiten
  • Hintergrund
  • Die zunehmende Leistungsanforderung an Schalteinheiten auf einem Substrat (beispielsweise, integrierte Schaltungen (IC), Transistoren, Widerstände, Kondensatoren usw. auf einem Halbleitersubstrat (beispielsweise, Silizium)) ist typischerweise ein Hauptfaktor während der Entwicklung, Herstellung und des Betriebs solcher Einheiten. Beispielsweise, ist es oft während der Entwicklung und der Herstellung oder der Bildung von Metalloxydhalbleitertransistoren (MOS) wie solchen, die in einem komplementären Metalloxydhalbleiter (CMOS) verwendet werden, erwünscht, die Bewegung der Elektronen in N-Typ MOS Einheiten (n-MOS) Kanälen zu erhöhen und die Bewegung von positiv geladenen Löcher in MOS Einheiten vom P-Typ (p-MOS) Kanälen. Ein Schlüsselparameter bei dem Erreichen der Leistungsfähigkeit solcher Einheiten ist der Strom, der bei einer gegebenen Spannung geliefert wird. Dieser Parameter wird allgemein als Transistortreiberstrom oder Sättigungsstrom (IDsat) bezeichnet wird. Der Treiberstrom wird durch Faktoren beeinflusst, die die Mobilität des Transistorkanals und den Außenwiderstand einschließen.
  • Die Kanalmobilität bezieht sich auf die Mobilität von Träger (d. h. Löchern und Elektronen) in dem Bereich des Transistorkanals. Eine erhöhte Trägermobilität bewirkt direkt einen zunehmenden Treiberstrom bei einer gegebenen Spannung und einer gegebenen Gatterlänge. Die Trägermobilität kann durch Beschichten des Siliziumgitters des Kanalbereichs erhöht werden. Für p-MOS Einheiten wird die Trägermobilität (d. h. die Lochmobilität) durch Erzeugen einer kommpassiven Beschichtung in dem Bereich des Transistorkanals vergrößert. Für n-MOS Einheiten wird die Trägermobilität (d. h. die Elektronenmobilität) durch Erzeugen einer dehnbaren Beschichtung in dem Bereich des Transistorkanals vergrößert. Der Treiberstrom wird weiter durch andere Faktoren beeinflusst, die einschließen: (1) den Widerstand der den ohmschen Kontakten (Metall zu Halbleiter und Halbleiter zu Metall) zugehörig sind, (2) dem Widerstand innerhalb des source/drain Bereichs selbst, (3) dem Widerstand des Bereichs zwischen dem Kanalbereich und den source/drain Bereichen (d. h. dem Spitzenbereich) und (4) dem Schnittstellenwiderstand aufgrund einer Verunreinigungskontamination (Kohlenstoff, Stickstoff, Sauerstoff) an dem Ort der anfänglichen Substrat-Epi-Schicht-Schnittstelle. Die Summe dieser Widerstände wird allgemein als der Außenwiderstand bezeichnet.
  • Die übliche Herstellung des Spitzenbereichs (allgemein auch als source-drain-Extensionen bezeichnet) erfolgt durch die Implantation eines Dotands vor der Herstellung der elektrischen Gatterabstandsschichten. Die Anordnung dieser Dotanden ist nahe der oberen Oberfläche des Substrats konzentriert. Das enge Band von Dotanden führt zu einem hohen Streuwiderstand und begrenzt den Stromfluss von dem Kanal zu dem Salicide-Kontakt. Bei den üblichen Ersetzungen der source-drain-Architekturen ist die Form der Ausnehmung besser, es ist jedoch noch nicht vollständig bezüglich des Streuwiderstands optimiert.
  • Aus den Druckschriften US 6 774 000 B2 sowie WO 00/30169 A1 sind Herstellungsverfahren für Feldeffekttransistoren bekannt, bei denen jeweils das Entfernen der Substratabschnitte und das Bilden der epitaxialen Schicht in verschiedenen Kammern erfolgt.
  • KURZE ERLÄUTERUNG DER ZEICHNUNGEN
  • 1 ist eine schematische Querschnittsansicht eines Abschnitts eines Substrats mit einem Bett, einem Gatterdielektrikum und einer Gatterelektrode.
  • 2 ist das schematische Substrat von 1 nach dem Bilden von Übergangsbereichen mit Spitzenbereichen.
  • 3A zeigt das Substrat von 2 nach dem Bilden einer Dicke des Materials in den Übergangsbereichen zum Bilden von Übergangen.
  • 3B zeigt das Substrat von 2 nach dem Bilden einer Dicke des Materials in Übergangsbereichen mit Spitzenimplantaten zum Bilden von Übergängen.
  • 4 zeigt eine repräsentative CMOS Struktur.
  • 5 ist eine schematische Querschnittsansicht eines Teiles eines Substrats mit einem Bett, einem Gatterdielektrikum, einer Gatterelektrode und Übergangsbereichen mit Spitzenbereichen.
  • 6 ist das schematische Substrat von 5 nach dem Bilden einer dicke eines Kristallinenmaterials in den Übergangsbereichen und einer Dicke eines Amorphenmaterials auf der Gatterelektrode.
  • 7 zeigt das Substrat von 6 nach dem Entfernen einer Dicke des Kristallinenmaterials und einer dicke des Amorphenmaterials.
  • 8 zeigt das Substrat von 7 nach dem Bilden einer folgenden Dicke aus einem Kristallinenmaterial in den Übergangsbereichen und einer folgenden Dicke des Amorphenmaterials auf der Gatterelektrode.
  • 9 zeigt das Substrat von 8 nach dem Entfernen einer Dicke des Kristallinenmaterials und des Amorphenmaterials.
  • 10 zeigt das Substrat von 9 nach dem Bilden einer Dicke des kristallinen Materials in den Übergangsbereichen zur Bildung von Übergängen und nach dem Bilden einer Dicke des Amorphenmaterials auf der Gatterelektrode.
  • 11 zeigt das Substrat von 10 nach dem Entfernen des Amorphenmaterials.
  • 12 zeigt eine repräsentative CMOS Struktur.
  • EINGEHENDE BESCHREIBUNG
  • Örtlich belastete Transitorkanalbereiche können durch selektive epitaxiale Ablagerung von Source- und Drainbereichen mit Materialien erreicht werden, die eine Belastung auf den Kanalbereich in einem MOS Transistor aufbringen. Ein solcher Prozessstrom kann das Ätzen des Substratmaterials von den Source-Drainbereichen des Transistors in einem Vorgang unter Verwendung eines Ätzreaktors verwenden. Ein nachfolgender Vorgang kann das Ersetzen des entfernten Materials mit Si Legierungsmaterial in einem Ablagerungsreaktor einschließen. Jeder Ätzreaktor und Ablagerungsreaktor kann physikalisch unterschiedlich und gesondert sein. Das Substrat muss so von dem Ätzreaktor entfernt werden und Umgebungsdruck ausgesetzt werden, bevor die Si Legierungsablagerung begonnen wird. Die Si Legierung kann reines Si oder Si1-x Gex oder Si1-xCx sein und kann undotiert oder dotiert sein mit Dotierungen von p-Typ oder n-Typ. Der Ablagerungsvorgang kann selektiv oder nicht selektiv sein. Entsprechend Ausführungsbeispielen, die hier vorgeschlagen werden, können der Ätzreaktor und Ablagerungsreaktor gegenständlich derselbe sein.
  • Beispielsweise ist 1 eine schematische Querschnittsansicht eines Abschnitts eines Substrats mit einem Bett, einem Gatterdielektrikum, einer Gatterelektrode und einem Spitzenmaterial. 1 zeigt die Vorrichtung 100 mit einem Substrat 120 mit einem Gatterdielektrikum 144, das auf einer oberen Oberfläche 125 des Substrats 120 über dem Bett 124 angeordnet ist. Die Gatterelektrode 100 ist auf dem Gatterdielektrikum 144 angeordnet und hat Abstandshalter 112 und 114, die auf dessen seitlichen Oberflächen ausgebildet sind. Eine Ätzmaske 142 ist auf der Gatterelektrode 190 ausgebildet. Elektrisch isolierendes Material 130 ist weiter gezeigt, um elektrisch das Bett 124 von den umgebenden Bereichen 124 zu isolieren. Die Fläche 170 und die Fläche 180 sind benachbart zu der Gatterelektrode 190 gezeigt. Die Vorrichtung 100 und Komponenten von dieser wie sie oben beschrieben sind, können weiter verarbeitet werden, so in dem Vorgang der Herstellung eines Halbleitertransistors, der eine oder mehrere Verarbeitungskammern einschließt, um Teile eines p-MOS oder n-MOS Transistors zu werden (beispielsweise, als Teile einer CMOS Einheit).
  • Das Substrat 120 kann, beispielsweise, gebildet sein aus oder abgelagert mit oder bewachsen mit Silizium, polykristallinem Silizium, Ein-Kristall-Silizium oder verschiedenen anderen geeigneten Technologien zum Bilden einer Siliziumbasis oder eines Substrats, wie einem Siliziumwafer. Beispielsweise, kann nach einem Ausführungsbeispiel das Substrat 120 gebildet sein durch Züchten eines Ein-Kristall-Siliziumsubstrats als Basismaterial mit einer Dicke von zwischen 100 Angstrom und 1000 Angstrom aus reinem Silizium. Alternativ kann das Substrat 120 ausgebildet sein durch ausreichende chemische Dampfablagerung (CVD) von verschiedenen geeigneten Silizium- oder Siliziumlegierungsmaterialen zur Bildung einer Schicht eines Materials mit einer Dicke zwischen einem und drei Mikrometern, etwa durch CVD zur Formung einer Dicke von zwei Mikrometern. Es ist zu berücksichtigen, dass das Substrat 120 entspannt sein kann, nicht-entspannt sein kann, gradiertes und/oder nicht-gradiertes Siliziumlegierungsmaterial sein kann.
  • Wie in 1 gezeigt, weist das Substrat 120 ein Bett 124, etwa ein N-Typ Bett mit einer elektrisch negativen Ladung auf einem P-Typ Material auf mit einer elektrisch positiven Ladung, die durch ein Dotierungssubstrat 120 während der Bildung oder nach der Bildung des Substrats 120 ausgeformt ist. Insbesondere kann zur Bildung des Betts 124 die obere Oberschicht 125 mit Phosphor, Arsen und/oder Antimonium zur Bildung eines Betts von N-Typs eines p-MOS Transistors auf (beispielsweise, einer p-MOS Einheit einer CMOS Einheit) dotiert sein. Das Dotieren kann, wie es hier beschrieben ist, beispielsweise, durch gewinkeltes Dotieren ausgeführt werden, etwa durch Implantieren von Ionen oder Atomen der oben genannten Dotierungen in ein Material, wie ein Substrat 120 oder ein Material, das in oder auf dem Substrat 120 ausgebildet ist. Beispielsweise, kann das Dotieren die Innenimplantation einschließen, die durchgeführt wird durch einen Ionen-„Beschuss” oder einen Ionen-„Implantierer” zum Bombardieren der Oberflächen eines Substrats mit beschleunigten Hochgeschwindigkeitsionen zum Implantieren von Ionen zum Bilden von dotiertem Material. Die beschleunigten Ionen können durch dir Fläche des Materials dringen und in das darunter liegende Material scattern zur Bildung einer Tiefe von gedoptem Material. Die Oberfläche 125 kann, beispielsweise, selektiv dotiert sein, etwa durch Platzieren einer Maske über den nicht ausgewählten Bereich oder die Bereiche zum Blockieren des Eindringens von Dotierungen vor dem Erreichen des nicht ausgewählten Bereichs oder der Bereiche, während die Dotierungen das Bett 124 dotieren können.
  • Alternativ kann zum Bilden des Betts 124 die obere Oberfläche 125 mit Bor und/oder Aluminium dotiert sein zum Bilden eines P-Typ Betts eines n-MOS Transistors (beispielsweise, eines n-MOS Einheit einer CMOS Einheit).
  • Das Bett 124 kann ein Material sein, dass zur Bildung eines „Kanals” einer Transistoreinheit geeignet ist. Beispielsweise, kann eine Transistoreinheit definiert sein als ein Abschnitt eines Materials eines Betts 124 unter der oberen Oberfläche 125 und zwischen den Flächen 170 und 180 und Übergängen, die dazu benachbart ausgebildet sind, die Teile von und/oder einschließlich der Flächen 170 und 180 verbrauchen und/oder beinhalten.
  • 1 zeigt elektrisch isolierendes Material 130 zwischen dem Bett 124 und den umgebenden Bereichen 128. Das Material 130 kann aus verschiedenen geeigneten elektrisch isolierenden Materialien und Strukturen bestehen, die ausreichend sind zum elektrischen Isolieren des Betts 124 von den umgebenden Bereichen 128. Beispielsweise, können die umgebenden Bereiche 128 Bettbereiche sein von benachbarten oder bezogenen Transistoreinheiten. Insbesondere kann das Material 130 eine flache Grabenisolation (STI) bilden, die zwischen einem Bett vom N-Typ und einer p-MOS Einheit gebildet ist (beispielsweise, wo das Bett 124 ein Bett vom N-Typ hat) und anderen Bereichen des Substrats 120 zum elektrischen Isolieren des Betts vom N-Typ von den anderen Bereichen. Endlich kann das Material STI gebildet sein zwischen einem P-Typ Bett von einer n-MOS Einheit (beispielsweise, dort, wo das Bett 124 Bett vom P-Typ ist) und anderen Bereichen des Substrats 120. Das Material 130 kann so das Bert 124 von anderen Bereichen des Substrats 120 isolieren, um eine Funktionalität des Transistors, der auch der oberen Oberfläche 125 (zum Isolieren des Betts 124 von einem benachbarten Bett einer benachbarten Einheit gepaart mit dem Bett 124 zur Bildung einer CMOS Einheit) zu schaffen. Bei einem Ausführungsbeispiel kann dort, wo das Bett 124 ein Bett vom N-Typ ist, einer der Bereiche 128 ein entsprechendes Bett vom P-Typ einer n-MOS Einheit gepaart sein mit einer p-MOS Einheit, die auch auf der oberen Oberfläche 125 ausgebildet ist zur Bildung einer CMOS Einheit. Alternativ kann dort, wo das Bett 124 ein Bett vom P-Typ ist, eine der Bereiche 128 ein entsprechendes Bett vom N-Typ einer p-MOS Einheit gepaart mit einer n-MOS Einheit gebildet auf der oberen Oberfläche 125 zur Bildung einer CMOS Einheit sein. Das Material 130 kann durch dotieren mit einer Schicht eines Material, dass über dem Material 130 angeordnet ist, geformt sein, und/oder kann geformt sein vor oder nach dem Bilden des Betts 124.
  • Das Gatterdielektrikum 144 hat, wie in 1 gezeigt, eine Breite W2. Die Gatterelektrode 190 ist gezeigt auf einem Gatterdielektrikum mit einer Breite W1 ausgebildet. Die Dicke des Gatterdielektrikums 144 kann im wesentlichen gleichmäßig sein und der Topographie auf der oberen Oberfläche 125 entlang der Breite W2 entsprechen. Weiter kann das Gatterdielektrikum 144 aus einem Material gebildet sein mit einer relativ hohen Dielektrizitätskonstante (beispielsweise, einer Dielektrizitätskonstante, die größer ist oder gleich ist derjenigen von Siliziumdioxyd (SiO2) oder einem Metall mit einer relativ geringen Dielektrizitätskonstante. Die Dicke des Gatterdielektrikums 144 kann zwischen einem und fünf Nanometern betragen. Das Gatterdielektrikum 144 kann durch Ablagerung, etwa durch CVD, atomarer Schichtablagerung (ALD) abdeckenden Ablagerung, selektiven Ablagerung, epitaxialen Ablagerung, ultra hohes Vakuum (UHV) CVD, schnelle thermische (RT) CVD, reduzierter Druck (RP) CVD, molekulare Strahlepitaxi (MBE) und/oder jeden andern wachsend ablagernden oder durch Formungsvorgang gebildet werden. Das Gatterdielektrikum 144 kann eine geeignete P-Typ Arbeitsfunktion für die Vorrichtung 100 haben, etwa wenn die Vorrichtung 100 eine p-MOS-Einheit ist. Alternativ kann das Gatterdielektrikum 144 eine geeignete Arbeitsfunktion vom N-Typ für die Vorrichtung 100 haben, etwa wenn die Vorrichtung 100 eine n-MOS Einheit ist. Insbesondere kann das Gatterdielektrikum 144 aus Dielektrika gebildet sein wie Siliziumdioxyde (SiO2), Hafniumoxyd (HfO), Hafniumsilikat (HfSiO4), Zirkoniumoxyd (Zero), mit Kohlenstoff dotiertem Oxid (CDO), kubisches Boronnitrid (CBN), Phosphorsilikatglas (PSG), Siliziumnitrid (Si3N4), fluorisiertes Silikatglas (FSG), Siliziumkarbid (SiC) usw.
  • Die Gatterelektrode 190 kann durch den oben beschrieben Vorgang bezüglich des Formens des Gatterdielektrikums 144 ausgebildet sein. Weiter kann die Gatterelektrode 190 ausgebildet sein aus verschiedenen Halbleiter- oder Leitermaterialien wie Silizium, Polysilizium, kristallinem Silizium und/oder verschiedenen anderen geeigneten Gatterelektrodenmaterialien. Auch kann die Gatterelektrode 190 während oder nach der Bildung dotiert sein. Beispielsweise, kann die Gatterelektrode 190 mit Bor und/oder Aluminium dotiert sein zur Bildung einer Gatterelektrode von p-Typ mit einer elektrisch positiven Ladung (beispielsweise, für eine p-MOS Einheit, die einen Teil einer CMOS Einheit bilden kann). Umgekehrt ist berücksichtigt, dass die Gatterelektrode 190 mit Phosphor, Arsen und/oder Antimonium dotiert sein kann zur Bildung einer Gatterelektrode vom n-Typ mit einer elektrisch negativen Ladung (beispielsweise, für eine n-MOSn-MOS Einheit, die einen Teil einer CMOS Einheit sein kann.
  • Die Gatterelektrode 190 kann eine Dicke geeignet für eine p-MOS oder n-MOS Einheit haben, etwa wenn die Vorrichtung 100 eine p-MOS oder n-MOS Einheit ist. Beispielsweise, kann die Gatterelektrode 190 eine Dicke haben zum Verursachen, dass ein Transistor, der auf dem Substrat 120 ausgebildet ist, eine „EIN” Schwellenspannung hat zwischen 0,1 und 0,5 Volt. In manchen Fällen kann die Gatterelektrode eine Dicke von, beispielsweise, zwischen 150 und 2000 Angstrom (beispielsweise, zwischen 15 und 200 Nanometern (nm)) haben. Die Gatterelektrode 190 kann eine Arbeitsfunktion zum Entsprechen einer Gatterelektrode vom p-MOS Einheit haben (beispielsweise, wenn die Vorrichtung 100 eine p-MOS Einheit ist). Alternativ kann die Gatterelektrode 190 eine Arbeitsfunktion zum Entsprechen einer Gatterelektrode einer n-MOS Einheit haben (beispielsweise, wenn die Vorrichtung 100 eine n-MOS Einheit ist). Alternativ kann die Gatterelektrode 190 eine Arbeitsfunktion zum Entsprechen einer Gatterelektrode einer n-MOS Einheit haben (beispielsweise„ wenn die Vorrichtung 100 eine n-MOS Einheit ist).
  • 1 zeigt Abstandhalter 112 und Abstandhalter 114, die auf einer Fläche der Gatterelektrode 190 und des Gatterdielektrikums 144 ausgebildet sind. Die Abstandhalter 112 und 114 können auf seitlichen Flächen der Gatterelektrode 190 und auf der oberen Oberfläche des Gatterdielektrikums 144 (beispielsweise, einer Fläche gegenüberliegend dem Substrat 120 ausgebildet sein. Die Abstandhalter 112 und 144 können ein dielektrisches Material wie Siliziumnitrid (Si3N4), Siliziumdioxid (SIO2) und/oder verschiedene andere geeignete Materialien für die Abstandhalter von Halbleitereinheiten sein.
  • 1 zeigt, dass jede Ätzmaske 142 auf einer Gatterelektrode 190 ausgebildet ist. Die Ätzmaske 142 kann eine „harte” Maske sein, die auf Siliziumnitrid (Si3N4) ausgebildet ist, andere Materialien sind oben zum Bilden des Gatterdielektrikums 144 erwähnt. Beispielsweise, kann die Maske 142 verwendet werden, wenn die Gatterelektrode 190, das Gatterdielektrikum 144 und/oder die Abstandhalter 112 und 114 gebildet werden. Insbesondere können Abstände entsprechend der Form der Maske 142 oder des Bereichs um die Maske 142 entfernt oder von oben weggeätzt werden unter Verwendung der Maske 142 als ein Ätzstop.
  • Beispielsweise, können die Abstandhalter 112 und 114 durch erstes Ablagern von dielektrischem Material gebildet sein, ähnlich den dielektrischen Materialien, die oben beschrieben worden sind für das Gatterdielektrikum 114 konform entlang der Flächen des Substrats 144, der seitlichen Flächen der Gatterelektrode 190 und der oberen Oberfläche der Ätzmaske 142. Das geformte oder abgelagerte dielektrische Material muss zum Erzeugen der Abstandhalter 112 und 114 gemustert werden und geätzt werden.
  • Bei Ausführungsbeispielen können Abschnitte des Betts 124 und des Substrats 120, etwa an den Oberflächen 170 und 180 entfernt werden zur Bildung von Übergangsbereichen in dem Substrat 120 benachbart zu der Gatterelektrode 120. Beispielsweise, können Übergänge benachbart der Gatterelektrode 190 gebildet sein durch Entfernen von Abschnitten des Substrats 120 an den Flächen 170 und 180 zum Bilden von Übergangsbereichen und Ausbildungen in dem Substrat 120 und sodann Formen oder Ablagern eines Übergangsmaterials in den Übergangsbereichen. Ein solches Entfernen kann ein „Source-Drain-Ausnehmungs” Ätzen beinhalten, so dass die Übergangsbereiche sich unter das Gatterdielektrikum 144 erstrecken.
  • 2 beispielsweise, ist das schematische Substrat von 1 nach dem Bilden der Übergangsbereiche mit den Spitzenbereichen. 2 zeigt den Übergangsbereich 270, etwa eine Vertiefung, die in der Fläche 170 des Substrats 120 benachbart der Gatterelektrode 190 angeordnet ist und die Source-Drain-Ausnehmung unterhalb einer Bodenfläche des Gatterdielektrikums 144. In ähnlicher Weise zeigt 2 den Übergangsbereich 280 als eine Vertiefung, die in der Fläche 180 des Substrats 120 benachbart der Gatterelektrode 190 ausgebildet ist und eine Source-Drain-Ausnehmung unterhalb einer Bodenfläche des Gatterdielektrikums 144.
  • Der Übergangsbereich 270 definiert die Substratfläche 222 (beispielsweise, eine Basisfläche des Übergangsbereichs 270), die Facette 220 und den Spitzenbereich 276. Der Spitzenbereich 276 ist zwischen der Facette 220 und der Bodenfläche des Gatterdielektrikums 144 angeordnet. Beispielsweise, kann es sein, dass der Spitzenbereich 276 der Facette 220 begrenzt mit einem Winkel A1 zwischen den Facetten 220 und der Bodenfläche des Gatterdielektrikums 144. Ähnlich begrenzt der Übergangsbereich 280 die Substratfläche 232, die Facette 230 und den Spitzenbereich 286. Der Spitzenbereich 286 ist zwischen der Facette 230 und dem Gatterdielektrikum 144. Der Spitzenbereich 286 begrenzt damit die Facette 230 mit dem Winkel A2 zwischen der Facette 230 und der Bodenfläche des Gatterdielektrikums 144.
  • Bei Ausführungsbeispielen können die bevorzugten Winkel A1 und/oder A2 Winkel zwischen 52° und 57° sein. Beispielsweise, können die Winkel A1 und A2 beide etwa 52°, 53°, 54°, 54,7°, 54,74°, 54,739137°, 54,8°, 55°, 56° sein. Dieser Bereich von Winkeln entspricht etwa der Ausrichtung mit der [111] Familie von Ebenen, wie sie beschrieben worden sind unter Verwendung der üblichen Millerindex Nomenklatur. Alternative Ausführungsbeispiele erlauben es, dass die Winkel A1 und A2 im Bereich von 0° bis 90° sind und schließen den bevorzugten Bereich, der oben angegeben worden ist, aus.
  • Entsprechend den Ausführungsbeispielen können die Spitzenbereiche 276 und 286 sich unter die Abstandhalter 112 und 114 und/oder die Gatterelektrode 190 erstrecken. Beispielsweise, können die Spitzenbereiche 276 und 278 sich entlang der oberen Oberfläche 125 und der Bodenfläche des Gatterdielektrikums 144 von einer Breite die gleich der Breite W2 ist zu einer Breite von weniger als der Breite W2 erstrecken, etwa einer Breite die größer ist als Null. Die Facetten 220 und 230 können die Bodenfläche des Gatterdielektrikums 144 benachbart einer oberen Oberfläche 125 des Substrats 120 berühren zum Bilden eines Kanals unter der unteren Oberfläche 125 zwischen den Facetten 220 und 230 (beispielsweise, einem Kanal eines Transistors, der in einer Vorrichtung 200 ausgebildet ist) wobei die Facetten 220 und 230 jeweils sich unter das Gatterdielektrikum 144 um einen Abstand zwischen Null und der Hälfte der Breite W2 erstrecken. Die Abschnitte des Substrats 120 können so entfernt werden um Facetten 220 und 230 zu bilden, die die Bodenfläche des Gatterdielektrikums 144 kontaktieren und sich unter diese erstrecken um die Bodenfläche der Gatterelektrode 144 unter den Abstandhaltern 112 und 114 und/oder die Gatterelektrode 190 zu berühren.
  • Es ist bedacht, dass die Übergangsbereiche 270 und/oder 280 eine Tiefe unterhalb der oberen Oberfläche 125 zwischen 800 Angström und 1300 Angström haben können. Weiter können die Übergangsbereiche 270 und/oder 280 eine Breite oder eine Größe haben, die geeignet sind zum Ablagern von Material in diesen Bereichen zur Bildung eines Übergangs der Transistoreinheit (beispielsweise, einer p-MOS oder n-MOS Einheit einer CMOS Einheit).
  • Der Übergangsbereich 270 und/oder der Übergangsbereich 280 können als „Source-Drain Bereiche” oder „Diffusionsbereiche” bezeichnet werden. Wenn ein geeignetes Material ausgebildet, abgelagert oder in den Übergangsbereichen 270 und 280 angewachsen wird, kann das sich ergebende Material auch als ein „Übergang”, eine „Quelle”, eine „Drain” oder ein „Diffusionsbereich” bezeichnet werden.
  • Bei den Ausführungsbeispielen können die Übergangsbereiche 270 und 280 ausgebildet sein durch Entfernen unerwünschter Abschnitte des Substrats 120, etwa an den Flächen 170 und 180. Beispielsweise, kann ein Strukturierungsvorgang mit zwei Schritten verwendet werden, wobei in dem ersten Schritt ein Photolack verwendet wird, um die Bereiche einer Hartmaske, die zu entfernen ist, zu definieren (beispielsweise, einer Hartmaskenschicht über der Vorrichtung 100 von 1). Diese Bereiche der Hartmaske werden dann weggeätzt. Nach dem Ätzen wird der Photolack entfernt und eine Ausnehmungsätzung ist gebildet zum Bilden von Übergangsbereichen 270 und 280 durch Entfernen von unerwünschten Abschnitten des Substrats 120 (beispielsweise, Wegätzen der unerwünschten belichteten Abschnitte, die nicht von der verbleibenden Hartmaske abgedeckt sind). Photolithographisches Strukturieren unter Verwendung eines Ätzstops, eines dielektrischen Materials, eines Photolacks oder anderen geeigneten Materials zum Maskieren und Ätzverarbeitung (beispielsweise, eine negative Photolackmaske, positive Photolackmaske, Siliziumdioxide (SiO2) oder Siliziumnitrid Si2N4) können auch verwendet werden, um einen zu schützenden Bereich zu definieren während des Ätzens von Drain-Source-Ausnehmungen zur Bildung von Übergangsbereichen 270 und 280, wie in 2 gezeigt.
  • Geeignete Nicht-Plasma-Ätzchemikalien zum Entfernen unerwünschter Bereiche des Substrats 120, etwa an den Flächen 170 und 180 zur Bildung von Übergangsbereichen 270 und 280 schließen Chlor (Cl2) Hydrochlorsäure (HCl), Fluor (F2), Brom (Br2) HBr und/oder andere Ätzvorgänge ein, die dazu in der Lage sind, Abschnitte des Substrats 120 zu entfernen. Das Plasmaätzen schließt Chemikalien wie SF6, NF3 oder dergleichen als mögliche andere Ausführungsbeispiele ein. Typische Ausrüstungsarten zur epitaxialen Ablagerung, die heute verfügbar sind (beispielsweise Kammern oder Reaktoren) können das oben angegebene Nicht-Plasmaätzen mit wenig oder keiner Abwandlung durchführen. Eine Änderung zur Ermöglichung des Plasmaätzens, wie es oben angegeben ist und die CVD Ablagerung in demselben Reaktor ist möglich, fügt jedoch einen großen Teil an Komplexität der Hardware (beispielsweise Kammern oder Reaktoren) hinzu.
  • Geeignete Kammern für Ätzübergangsbereiche 270 und 280 schließen eine CVD-Kammer, eine ALD-Kammer, eine UHVCVD-Kammer, eine RTCVD-Kammer, eine RPCVD-Kammer, eine MBE-Kammer, eine „batch” UHV CVD-Kammer, eine kaltwandige UHV CVD-Kammer, eine CVD-Kammer mit atmosphärischem Druck, eine Niederdruck (LP) CVD-Kammer oder einen Kammer-Reaktor, der die Funktionalität eines oder mehrerer dieser Kammern oder Reaktoren einschließt, auf.
  • Weiter kann das Ätzen zur Bildung von Übergangsbereichen 270 und 280 bei einem Druck zwischen 1E-4 Torr und 1.000 Torr (beispielsweise, bei einem Druck innerhalb eines Dezimalbereichs von 1E-3, 1E-2, 0,1, 1,0, 10, 100 oder 1000 Torr (in entweder einem „Kaltwand” oder „Heißwand” Reaktor durchgeführt werden. Das Ätzen zur Bildung von Übergangsbereichen 270 und 280 kann ausgeführt werden bei typischen epitaxialen Siliziumlegierungsablagerungstemperaturen, beispielsweise, zwischen 500 bis 900°C. Ein „Kaltwand” Reaktor” kann beschrieben werden als ein Reaktor mit Kesselwandungen, die während der Ablagerung oder des Ätzens bei Raumtemperatur sind. Ein „Kaltwand” Reaktor kann Kesselwandungen haben, die aus Metall hergestellt werden. Alternativ kann ein „Heißwand” Reaktor eine Kesselwandung haben, die aus Quarz oder anderen Keramiken herstellt werden, die bei Temperaturen, die über der Raumtemperatur liegen, während der Ablagerung oder dem Ätzen sind.
  • Beispielsweise, können Übergangsbereiche 270 und/oder 280 ausgebildet sein durch Entfernen oder Ätzen von Abschnitten des Substrats 120 mit Ätzgas, das Mischungen beinhaltet einschließlich: Chlor (Cl2), Salzsäure (HCl), Wasserstoff H2) und/oder Stickstoff (N2). Insbesondere kann ein Ätzmittel oder ein Gas eines oder mehrere der oben genannten Gase beinhalten und in eine Kammer, in der die Vorrichtung 100 aufgenommen ist mit einer Rate zwischen fünf Standardkubikzentimetern pro Minute (SCCM) und zehn SCCM einströmen bei einer Temperatur von zwischen 500 Grad Celsius (°C) und 800°C (beispielsweise, einer Temperatur von 500, 525, 540, 550, 560, 575, 600, 625, 650, 675, 700, 750 oder 800°C) über zwischen 30 und 90 Minuten (beispielsweise, eine Zeitdauer von 30, 35, 40, 45, 50, 55, 60, 65, 75, 85 oder 90 Minuten) zum Ätzen von Abschnitten des Substrats 120 an den Flächen 170 und 180. Entsprechend einem Ausführungsbeispiel kann ein Übergangsbereich 270 und/oder 280 ausgebildet sein bei einem Druck zwischen 3E-3 Torr und 7E-3 Torr (beispielsweise, 3E-3, 3,5E-3, 4E-3, 4,5E-3, 5E-3, 5,5E-3, 6E-3, 6,5E-3 oder 7E-3). In einigen Fällen wird Chlorgas an den Übergangsbereichen 270 und 280 in einer Kammer wie oben beschrieben zum Ätzen verwendet bei einer Temperatur von 650°C und einem Druck zwischen 3E-3 Torr und 7E-3 Torr in einem 300 Millimeter (mm) UHV CVD Kaltwand-Einwaferreaktor.
  • Beispielsweise, zeigt 3A das Substrat von 2 nach dem Bilden der Dicke eines Materials in den Übergangsbereichen zum Bilden von Übergängen. 3 zeigt eine Vorrichtung 300 mit Material 370, das in einem Übergangsbereich 270 und Material 380, das in einem Übergangsbereich 280 ausgebildet ist. Material 370 und/oder Material 380 kann als Übergang, Source, Drain oder Diffusionsbereich bezeichnet werden. Zusätzlich kann Material 370 ausgebildet werden um eine Übergangsspitzenfläche 372 zu haben, die oberhalb der oberen Oberfläche 125 des Substrats 120 ist. Insbesondere kann Material 170 eine Dicke von Siliziumgermanium haben mit einem Gitterabstand, der größer ist als der Gitterabstand des Materials des Substrats 120. Entsprechend kann Material 380 ausgebildet sein um eine obere Übergangsfläche 382 zu haben, die auch über der oberen Oberfläche 125 liegt. Beispielsweise, kann das Material 370 eine Dicke T4 einer epitaxialen Dicke einer kristallinen Silizium-Germanium-Legierung haben, Germanium oder Siliziummaterial (d. h., SiGe, etwa wie SixGe1-x), wobei die Größe und/oder die Dicke T4 ausreichend ist, um eine Druckbelastung in dem Substrat zu bewirken. Das Material kann rein sein oder mit Dotierungen vom p-Typ wie B und Al sein. Alternativ kann das Material 370 eine Dicke von T4 einer epitaxialen Dicke von kristallinem Silizium-Kohlenstoff-Legierungsmaterial (beispielsweise, SixC1-x) sein, wobei die Größe und/oder die Dicke von T4 ausreichend ist um eine Dehnungsbelastung mit dem Substrat zu bewirken. Das Material kann rein sein oder mit Dotierungen vom n-Typ wie P, As und Sb sein. Beispielsweise, kann das Material 370 eine Dicke aus einer Silizium-Kohlenstoff-Verbindung (SixC1-x) sein mit einem Gitterabstand der kleiner ist als der Gitterabstand des Substrats 120. Ähnlich kann Material mit einer Dicke T5 einer epitaxialen Dicke der kristallinen Silizium-Germanium-Legierung (SixGe1-x) mit einer ausreichenden Größe und/oder Dicke T5 zum Verursachen einer Spannung in dem Substrat 120.
  • Beispielsweise kann das Material 370, wie in 3A gezeigt, eine Druckbelastung 370 auf einen Abschnitt eines Substrats 120 unter der oberen Oberfläche 125 verursachen und Material 380 kann eine Druckbelastung 384 in Richtung auf denselben Abschnitt des Substrats 120 verursachen. Die Belastung 374 kann so eine Druckspannung 392 und die Belastung 384 kann so eine Druckspannung 394 in einem Kanal des Substrats 120 zwischen dem Material 370 und dem Material 380 bewirken (d. h., eine Druckbelastung zwischen dem Verbindungsmaterial vom p-Typ, der in den Übergangsbereichen 270 und 280 ausgebildet ist und in dem Kanal der Vorrichtung 300, wobei die Vorrichtung 300 eine p-MOS Einheit ist). Es versteht sich, dass die Druckbelastungen 392 und 394 Spannungen sein können zwischen den Facetten 220 und 230 die ausreichen, um die Trägermobilität zu erhöhen (beispielsweise, die Mobilität von Löchern in dem Kanal des Betts 120) zwischen dem Material 270 und dem Material 380. Ein Kanal in dem Substrat kann, mit anderen Worten, eine Druckbelastung sein, die durch den Gitterabstand des Materials 370 und/oder des Materials 380 verursacht wird (beispielsweise, wenn das Material 370 und das Material 380 Silizium-Germanium-Legierungsmaterial ist) größer als eine Gitterspannung des Materials des Substrats 120.
  • Bei einem weiteren Beispiel kann das Material 370 und das Material 380 eine Dehnung in einem Kanal der Vorrichtung 300 verursachen (beispielsweise, wenn die Richtung der Spannungen 374, 384, 392 und 394 umgekehrt wären). In diesem Fall kann die Dehnbelastung in dem Kanal der Vorrichtung 300, wenn der Apparat 300 eine n-MOS Einrichtung ist, eine Belastung zwischen den Facetten 220 und 230 sein, ausreichend um die Trägermobilität zu erhöhen (beispielsweise, die Mobilität von Elektronen in dem Kanal des Betts 124) zwischen dem Material 370 und dem Material 380. Entsprechend kann ein Kanal in dem Substrat 120 unter einer Dehnspannung sein, die von einer Gitterspannung des Materials 370 und/oder des Materials 380 verursacht wird (beispielsweise, wenn diese Materialien Silizium-Kohlenstoff-Legierungen sind) die größer ist als der Gitterabstand des neuen Materials des Substrats 120.
  • Das Material 370 und 380 können durch chemische Dampfablagerung oder andere Verfahren, die oben beschrieben sind zum Bilden des Gatterdielektrikums 144, abgelagert werden. Beispielsweise, können das Material 370 und das Material 380 in einer Kammer ausgebildet sein, die oben beschrieben ist zum Formen der Übergangsbereiche 270 und 280 und zum Bilden des Gatterdielektrikums 144.
  • Geeignete Kammern zum Bilden, Züchten oder Ablagern von Materialien 370 und 380 schließen Einrichtungen ein, die zur selektiven Ablagerung von Silizium basierenden elementaren oder Legierungsfilmen fähig sind. Beispielsweise, schließen geeignete Kammern zum Bilden des Materials 370 und des Materials 380 eine CVD-Kammer, eine ALD-Kammer, eine UGHVCVD-Kammer, eine RTCVD-Kammer, eine RPCVD-Kammer, eine MBE-Kammer, eine „batch” UHV CVD-Kammer, eine Kaltwand UHV CVD-Kammer, eine CVD-Kammer mit atmosphärischem Druck (AP), eine Niederdruck (LP) CVD-Kammer oder einen Kammer-Reaktor, der die Funktionalität eines oder mehrerer dieser Kammern und Reaktoren einschließt.
  • Geeignete Ablagerungstechniken schließen die thermische Dekomposition von Hydrid oder chloriertem Hydridvorläufergas auf Siliziumwafern ein. Der Ablagerungsdruck kann zwischen IE-4 Torr und 1.000 Torr betragen (beispielsweise, innerhalb eines Dezimalbereichs von 1E-3, 1E-2, 0,1, 1,0, 10, 100 oder 1.000 Torr). Die Ablagerung kann auftreten in einem Kaltwand- oder Heißwandreaktor. Insbesondere kann das Material 370 oder 380 ausgebildet sein durch selektive Ablagerung von Silane, Desilane, Dichlorsilane und/oder Methylsilanegas zum chemischen Binden einer Dicke einer Siliziumlegierung oder elementaren Siliziummaterials an Flächen des Übergangsbereichs zu 270 und 280 zur Bildung von Übergängen in diesen. Bei einem alternativen Ausführungsbeispiel kann dies durchgeführt werden durch nicht-selektive Ablagerung unter Verwendung von Trisilane als dem Siliziumvorläufer und dieselbe Legierung und dasselbe Sortierungsvorläufergas, das oben genannt ist, können verwendet werden.
  • In einigen Prozessen wird die Ablagerung in einem 300 mm epitaxialen UHV CVD Kaltwandeinwaferreaktor ausgeführt werden. Geeignete Temperaturen zur Bindung des Materials 370 und 380 schließen Raumtemperatur oder eine Temperatur zwischen 500 und 800°C ein und bei einem Druck zwischen 300 E-3 Torr und 7E-3 Torr (beispielsweise, 3E-3, 3,5E-3, 4E-3, 4,5E-3, 5E-3, 5,5E-3, 6E-3, 6,5E-3 oder 7E-3). Bei manchen Ausführungsbeispielen ist das Material 370 und 380 gebildet durch Einführen von Disilane bei zwischen sieben Standardkubikzentimetern pro Minute (SCCM) und 20 SCCM und Einführen von Methylsilane bei zwischen 10 SCCM und 300 SCCM. Beispielsweise, kann die Schicht T4 und/oder T5 eine Dicke von zwischen 1000 Angström und 1500 Angström haben, etwa eine Dicke von 1050, 1100, 1150 oder 1200 Angström.
  • Das Material 370 und 380 kann während der Bildung dotiert sein und/oder nach der Bildung dotiert sein. Bei einigen Ausführungsbeispielen können die Materialien 370 und/oder 380 legiert oder dotiert werden während der Ablagerung, wenn der Siliziumvorläuferfluss begleitet wird von Germanium, Methylsilane, Azetylen, Diborane, Borchloride, Phosphin. Arsen und/oder Stibine. Beispielsweise, kann während oder nach der Bildung das Material 370 und 380 dotiert werden, etwa mit Bor und/oder Aluminium zum Bilden eines Übergangsmaterials vom P-Typ mit einer elektronisch positiven Ladung. Bei einem Ausführungsbeispiel können die Materialien 370 und 380 gebildet sein als Bor und/oder aluminiumdotiertem epitaxialem kristallinem Silizium-Germanium-Legierungsmaterial in Übergangsbereichen 270 und 280 und anschließendes Dotieren mit zusätzlichem Bor und/oder Aluminium.
  • Alternativ kann während und/oder nach der Formation das Material 370 und 380 dotiert sein, etwa durch Phosphor, Arsen und/oder Antimonium zur Bildung eines Übergangsmaterials N-Typ mit einer elektrisch negativen Ladung. Bei einem derartigen Ausführungsbeispiel können die Materialien 370 und 380 ein epitaxiales kristallines Material von Siliziumkohlenstoff sein, das in den Übergangsbereichen 270 ausgebildet ist und anschließend mit zusätzlichem Phosphor, Arsen und/oder Antimonium dotiert ist.
  • Die Materialien 370 und 380 können für n-MOS (Six(Ge)1-x(B, Al) für p-MOS und SixC1-x:(P, As, Sb) sein. Nachfolgend kann die Vorrichtung zur Bildung der Materialien 370 und 380 thermisch behandelt werden, etwa durch Ausglühen.
  • Weiter kann nach der Erfindung das Bilden der Übergangsbereiche 270 und 280 das Bilden, das Ablagern oder Züchten des Materials 370 und des Materials 380 in derselben Kammer, in demselben Reaktor mit demselben Druck und derselben Temperatur mit denselben Sätzen und/oder in einer Kammer und einem Reaktor ohne Bruch einer Versiegelung oder eines Vakuums der Kammer oder des Reaktors durchgeführt werden. Der Prozess besteht aus einem anfänglichen Einstellen von Ätzgasflüssen gefolgt durch ein Einstellen des Ablagerungsgasflusses. Das Bilden der Materialien 370 und 380 kann so in-situ ausgeführt werden unter Bildung von Übergangsbereichen 270 und 280. Es ergibt sich für den Fachmann, dass das Bilden der Übergangsbereiche 270 und 280 in derselben Kammer unter Verwendung von Ablagerungsmaterialien 370 und 380 unerwünschte Verunreinigungen einschließlich Kohlenstoff, Sauerstoff und Stickstoff in der Oberfläche von Übergangsbereichen 270 und 280 und der Materialien 370 und 380 reduzieren kann. Jede geeignete Kammer zum Bilden von Übergangsbereichen 270 und 280 und zum Bilden von Materialien 370 und 380 schließen die oben zum Bilden der Übergangsbereiche 270 und 280 beschriebenen Kammern ein.
  • Einige geeignete Kammern zum Formen der Übergangsregionen 270 und 280 und für das Formmaterial 370 und 380 in derselben Kammer weisen beispielsweise, eine CVD-Kammer, eine LLD-Kammer und eine UHVCVD-Kammer, eine RTCVD-Kammer, eine RPCVD-Kammer, eine MBE-Kammer, eine „batch” UHV CVD-Kammer und eine Kaltwand UHV CVD-Kammer, eine CVD-Kammer mit atmosphärischem Druck (AP), eine Niederdruck (LP) CVD-Kammer oder einen Kammer-Reaktor auf, der die Funktionalität eines oder mehrerer dieser Kammern oder Reaktoren kombiniert. Die Art und Weise der Ablagerung kann selektiv oder nicht selektiv sein. Weiter kann das Bilden der Übergangsbereiche 270 und 280 und das Ablagerungsmaterial 370 und 380 in derselben Kammer ausgeführt werden in demselben Vakuum beispielsweise ohne Öffnen der Kammer, Öffnen einer Dichtung der Kammer oder Exponieren der Innenseite der Kammer gegenüber Luft von außerhalb der Kammer. Beispielsweise, können die Übergangsbereiche 270 und 280 und das Material 370 und 380 in einer Kammer ausgebildet sein, die einen Druck zwischen 1E-4 Torr und 1.000 Torr (beispielsweise einem Druck innerhalb eines Dezimalbereichs von 1E-3, 1E-2, 0,1, 1,0, 10, 100 oder 1.000 Torr) ohne Öffnen der Kammer, Öffnen einer Dichtung der Kammer oder Exponieren der Innenseite der Kammer gegenüber Luft von außerhalb der Kammer ausgebildet sein.
  • Bei einem Beispiel wird ein Vorgang zum Durchführen des in-situ vertieften Source-Drain-Ätzens (beispielsweise dem Ausbilden der Übergangsbereiche 270 und 280) unmittelbar gefolgt von einer Ablagerung des Source-Drain-Materials (beispielsweise, den Ablagerungen von Material 370 und 380) in einer UHV CVD-Kammer (beispielsweise, einem 300 mm epitaxialen UHV CVD Kaltwand-Einwafer-Reaktor). Dieser Vorgang verwendet einen Satz von Ätzgasen und einen Satz von Ablagerungsgasen zum Bilden von Übergangsbereichen mit Facetten 220 und 230 und sodann das selektive Ablagern von Silizium oder einem Siliziumlegierungsmaterial zum Ausbilden von Übergängen an diesen Facetten. Weiter kann Wasserstoff (H2) und/oder Stickstoff (N2) verwendet werden als Trägergas während des Ätzens und/oder des Ablagerungsvorgangs. Es wird beobachtet, dass die Ablagerung von Materialien 370 und 380 dem Ätzen der Regionen 270 und 280 unmittelbar folgen kann, etwa als nächster Vorgang bei dem Arbeiten der Vorrichtung 200, es kann geschehen bevor eine Sichtung oder ein Vakuum der Kammer geöffnet wird, geschehen innerhalb von 30 Minuten des Bildens einer Ausnehmung in den Bereichen 270 und 280 und/oder geschehen nach einem Auspumpen der Kammer zum Entfernen des Ätzmittels oder des Gases, das zum Bilden der Regionen 270 und 280 verwendet worden ist.
  • Bei einem Beispiel wird ein Ätzvorgang unter Verwendung einer Flussrate von reinem Chlorgas von zwischen fünf und zehn SCCM für eine Zeitdauer zwischen zehn und 300 Minuten (beispielsweise, einer Periode von 30, 40, 50, 60, 70, 80, 90, 100 oder 120 Minuten) verwendet zum Bilden von Bereichen 270 und 280. Anschließend an ein Auspumpen des reinen Chlorgases geschieht ein Ablagerungsvorgang zum Bilden der Materialien 370 und 380 in den Bereichen 270 und 280 in derselben Kammer ohne das Innere der Kammer gegenüber der Außenluft zu exponieren.
  • Der Ablagerungsvorgang kann eine Flussrate zwischen sieben und 20 CCM von Disilane und zwischen zehn und 30 SCCM von Methylsilane für eine Zeitdauer zwischen zehn und 200 Sekunden (beispielsweise, eine Zeitdauer von 10, 15, 20, 25, 30, 35, 40, 45, 50, 60, 70, 80 oder 90 Sekunden) das Disilane und Methylsilane werden sodann während einer Zeitdauer von fünf Sekunden ausgepumpt, an das Auspumpen schließt sich die Einführung eines reinen Chlorgases mit einer Flussrate zwischen fünf und 15 SCCM für eine Zeitdauer zwischen zehn und 200 Sekunden an (beispielsweise, einer Zeitdauer von 10, 15, 20, 25, 30, 35, 40, 45, 50, 60, 70, 80 oder 90 Sekunden). Das Chlorgas wird sodann für eine Zeitdauer von fünf Sekunden ausgepumpt. Die Einführung des Disilanes, des Methylsilanes und des nachfolgenden Chlorätzmittels werden zwischen 50 und 100 mal wiederholt (beispielsweise, durch Wiederholen 70 mal, 75 mal, 80 mal, 85 mal oder eine andere Zahl zwischen 50 mal und 100 mal) zur Bildung des Materials 370 und 380.
  • Bei einem Beispiel wird die vertiefte Source-Drain-Ätzung in-situ durchgeführt mit der Ablagerung des Source-Drain-Materials in einem 300 Millimeter (mm) Wafer UHV CVD Kaltwand-Einwafer-Reaktor. Zunächst werden die Übergangsbereiche 270 und 280 durch Entfernen und Ätzen von Abschnitten des Substrats 120 mit reinem Chlor gebildet, das in die Kammer mit einer Rate zwischen fünf Standardkubikzentimetern pro Minute (SCCM) und zehn SCCM über eine Stunde, während der Reaktor bei einer Temperatur von 650 Grad Celsius gehalten wird. Die Übergangsbereiche 270 und 280 werden auf eine Tiefe auf 1000 Angström gebildet.
  • Sodann kann das Material 370 und 380 in Bereichen 270 und 280 „unmittelbar” nach dem Ätzen (beispielsweise, wird eine andere Verarbeitung durchgeführt zwischen dem Auspumpen des Chlorätzmittels und Ablagerungsmaterials 370 und 380) ausgeführt werden durch eine Standard MOS Integration während der der Reaktor auf einer Temperatur von 650 Grad Celsius gehalten wird. Zum Beispiel werden die Materialien 370 und 380 ausgebildet oder abgelagert durch Einführen von reinem Disilane mit einer Flussrate zwischen sieben und 20 SCCM und zehn Prozent Methylsilane in H2 mit einer Flussrate zwischen 10 und 30 SCCM über eine Zeitdauer von 30 Sekunden und einem Auspumpen für eine Zeitdauer von fünf Sekunden. Der Zeit zum Auspumpen folgt das Einführen von reinem Chlorgas mit einer Flussrate zwischen fünf und 15 SCCM für eine Zeitdauer von 30 Sekunden und Dauspumpen für eine Zeitdauer von fünf Sekunden.
  • Die Abfolge des Einführens von Disilane und Methylsilane, Auspumpen, Einführen von Chlor und Auspumpen wird 75 mal wiederholt zur Bildung der Materialien 370 und 380 von Si-C-Legierung mit einem Atomprozent von C und einer Dicke von 1.100 Angström. Weiter versteht es sich, dass die Dichtung oder das Vakuum des Reaktors aufrechterhalten werden kann über die 75 Wiederholungen. Ähnlich kann der Druck der Kammer oder der Temperatur von 75 Grad Celsius während der 75 Wiederholungen beibehalten werden.
  • Das Material 370 und 380 kann als eine epitaxiale Schicht von Si-C-Legierung mit einem Atomprozentsatz C zwischen 0,1 und zwei Prozent (beispielsweise, einem Prozent) von Kohlenstoff und einer Dicke von 1100 Angström ausgebildet sein. Alternativ können die Materialien 370 und 380 aus einer SiGe-Legierung mit einem atomaren Prozentsatz von Ge zwischen 10 und 40 Prozent (beispielsweise, 20 Prozent) und einer Dicke von 1100 Angström ausgebildet sein.
  • Es versteht sich, dass durch Bilden der Übergangsbereiche 270 und 280 das Material 370 und 380 von dem oben beschriebenen Vorgang und/oder in derselben Kammer ohne Bruch des Vakuums oder einer Dichtung der Kammer ein qualitativ hohes epitaxiales Filmübergangsbereichsmaterial 370 und 380 in den Übergangsbereichen 270 und 280 ohne interfazialen Verunreinigungen bilden kann und dass beschichtete Kanäle für eine erhöhte Elektronen oder Mobilität als auch zunehmende Antriebsströme in wenigstens den folgenden vier Wegen erreicht werden kann:
    • 1. Facetten 220 und 230 können gut definierte qualitativ hohe Schnittstellen für das epitaxiale Material an den Verbindungsorten aufgrund der hohen Reinheit sein. Beispielsweise, kann die Bildung von Bereichen 270 und 280 (einschließlich der Facetten 220 und 230) und die Bildung des Materials 370 und 380 in einer einzigen Kammer wie oben beschrieben den Schnittstellenwiderstand aufgrund von Verunreinigungen (beispielsweise, durch Verringern der Menge an Kohlenstoff, Stickstoff, Sauerstoff in der Schnittstelle) Kontamination an dem Ort der ursprünglichen Substrat-Epi-Schicht-Schnittstelle (beispielsweise, zwischen den Facetten 220 und 230 und den Materialien 370 und 380), was zu einer besseren Schnittstellensteuerung, einer geringeren Rexternal und höheren Treiberströmen führt. Entsprechend kann eine solche Ausbildung die Schnittstellenverunreinigungskontamination in den Materialien 370 und 380 senken, was höhere Dotierungskonzentrationen in den Materialien 370 und 380 erlaubt (beispielsweise, Bor, Aluminium, Phosphor, Arsen und/oder Antimonium) und einen geringeren Widerstand innerhalb des Source-Drain-Bereichs selbst schafft und so eine bessere Schnittstellensteuerung verursacht, einen geringeren Rexternal und einen höheren Treiberstrom.
    • 2. Die Form der Source-Drain-Vertiefung mit Facetten 220 und 230 und einem Winkel von 54° schaffen eine optimale Streuung des Stromes. Beispielsweise, können der Winkel, die Ausrichtung und die Planaritätseigenschaften der Facette 220 und 230, die wie beschrieben ausgebildet sind, eine optimale Form der Spitzen und deren Ausrichtungen, die es erlauben, den Strom durch die Facetten und Spitzen (beispielsweise, den Strom, der zwischen dem Material 370 und 380 und dem Kanalbereich fließt) konformer und leichter (beispielsweise, in einer größeren Gesamtamplitude oder -betrag), was einen geringem Widerstand des Bereichs zwischen der Kanalregion und dem Material 370 und 380 (d. h., dem Spitzenbereich) verursacht, was zu einem geringeren Rexternal und einem höheren Treiberstrom führt.
    • 3. Facetten 220 und 230, die etwa unter 54° gewinkelt sind, bewirken auch einen maximalen Widerstand gegenüber einem Überlaufen der Dotierung, das Kurzschlüsse unterhalb des Kanals als auch Kurzkanaleffekte bewirken kann. Die Vertiefung und die Spitzenbereiche 376 und 486 können in großer Nähe zu dem Kanal ohne die Gefahr von Kurzkanaleffekten oder Kurzschlüssen angeordnet sein.
    • 4. Eine Entspannung durch Bildung der Fehldislokationen werden vergrößert wenn eine Schnittstellenkontamination vorhanden ist. Diese Erfindung erlaubt die Verwendung von höherer Spannung in abgelagerten Filmen ohne Relaxationen. Zum Beispiel kann die Bildung von Bereichen 270 und 280 (einschließlich der Facetten 220 und 230) und die Bildung des Materials 370 und 380 in einer einzigen Kammer wie oben beschrieben höhere Konzentrationen von Germanium oder Kohlenstoff in dem Material 370 und 380 erlauben, was zu höheren Beträgen der Spannungen in dem Kanal führt, was eine höhere Carriermobilität und Treiberströme während der Verwendung des Transistors führt.
  • Bei dem Bilden der Übergangsbereiche 270 und 280 und der Materialien 370 und 380 durch die oben beschriebenen Vorgänge werden weiter die an der Übergangs/Substrat-Schnittstelle aufgebauten nativen Oxide reduziert (d. h., der Schnittstelle zwischen den Materialien 370 und 380 und dem Bett 124 des Substrats 120); die Kontamination mit Kohlenstoff, Sauerstoff und/oder Stickstoff dieser Schnittstelle wird reduziert, das Erfordernis für Nassreinigungen (und die Restriktionen für die Wartezeiten, die für die Verarbeitung erforderlich sind, sind nicht notwendig; die Anzahl von Werkzeugarten, die während der Verarbeitung erforderlich sind, wird reduziert, das Laden in eingenestete Regionen wird reduziert, es werden glatte, sanfte und geeignet orientierte Spitzenprofile (beispielsweise, für die Spitzen 370 und 386) mit (1, 1, 1) Facetten hergestellt; die Mobilität der Elektronen und/oder Löcher in dem Kanal wird verbessert aufgrund der Spannungen von (SixGe1-x):B, Al für p-MOS und (SixC1-x):P, As, Sb für n-MOS mit Übergangsbereichen); RExternal ist reduziert aufgrund der hohen Konzentration von zulässigen Dotierungen (beispielsweise, mit Phosphor oder Boron dotiert in den Verbindungen während oder nach der epitaxialen Ablagerung zur Bildung von von (SixGe1-x):B, Al für p-MOS und (SixC1-x):P, As, Sb für n-MOS.
  • Zusätzlich können die beschriebenen Konzepte angewendet werden zur Bildung eines Transistors mit Übergangsbereichen (beispielsweise, Soruce-Drain-Bereichen) die sich unterhalb der Abstandshalter erstrecken, nicht aber unter die Gatterelektrode. In einem solchen Fall können Spitzenimplantate (beispielsweise, dotiertes Substratmaterial) benachbart zu den Übergangsbereichen unter der Gatterelektrode ausgebildet sein.
  • Beispielsweise, zeigt 3B das Substrat von 2 nach dem Ausbilden einer Schichtdicke des Materials in den Übergangsbereichen mit Spitzenimplantaten zur Bildung von Übergängen. 3B zeigt Übergangsbereiche 270 und 280 (beispielsweise, Source-Drain-Bereiche) die sich unter die Abstandhalter 112 und 114, nicht aber unter die Gatterelektrode 190 erstrecken. Weiter sind Spitzenimplantate 354 und 368 (beispielsweise, dotiertes Substratmaterial) benachbart zu den Übergangsbereichen und der Gatterelektrode ausgebildet. Die Spitzenimplantate 354 und 386 können durch einen in der Industrie üblichen Vorgang ausgebildet sein, etwa durch Dotieren des Substrats 120 während der Bildung oder nach der Bildung des Substrats 120. Insbesondere kann zur Bildung des Betts 124 die Oberfläche 125 mit Bor und/oder Aluminium dotiert sein zur Bildung eines Implantats vom p-Typ eines p-MOS Transistors. Nach dem Dotieren der Fläche des Substrats 120 zur Bildung des Materials vom p-Typ der Spitzenimplantate können Abschnitte des Materials vom p- Typ entfernt oder geätzt werden zur Bildung von Übergangsbereichen 270 und 280 wie oben unter Bezugnahme auf 2 beschrieben. Damit können, wie in 3B gezeigt, die Facetten 320 und 330 beschrieben werden als mit Spitzen (beispielsweise, Spitzenimplantaten) versehen, die hergestellt worden sind aus abgelagertem Material, das unter der Bodenfläche des Gatterdielektrikums abgelagert ist.
  • Ähnlich zu 3A zeigt 3B, dass das Material 370 eine Druckspannung 374 verursachen kann in Richtung auf einen Teil des Substrats 120 unter der oberen Oberfläche 125 und das Material 380 kann eine Druckbelastung 384 in Richtung auf denselben Abschnitt des Substrats 120 ausüben. Somit kann die Last 374 eine Druckbelastung 392 und die Last 384 eine Druckbelastung 390 in einem Kanal des Substrats 120 zwischen den Spitzenimplantaten 354 und 364 verursachen. Es ist zu erkennen, dass Druckbelastungen 392 und 394 Spannungen zwischen den Facetten 200 230 und den Spitzenimplantaten 354 und 364 sein können, die ausreichend sind um die Carriermobilität (beispielsweise, die Mobilität von Löchern in dem Kanal des Betts 124 zwischen dem Material 370 und dem Material 380 und den Spitzenimplantaten 354 und 364 ausreichend zu erhöhen.
  • Bei einem weiteren Beispiel kann das Material 370 und das Material 380 eine Zugbelastung in einem Kanal der Vorrichtung 300 (beispielsweise, in der Richtung der Belastungen 374, 384, 392 und 394 bei deren Umkehr) verursachen. In diesem Fall kann die Zugbelastung in dem Kanal der Vorrichtung 300 dann, wenn die Vorrichtung 300 eine n-MOS Einheit ist, eine Belastung zwischen den Facetten 220 und 230 und den Implantaten 354 und 264 sein, die ausreichend ist, um die Trägermobilität zwischen dem Material 370 und dem Material 380 zu erhöhen, beispielsweise, der Mobilität von Elektronen in dem Kanal des Betts 124).
  • Beispielsweise, zeigt 4 eine repräsentative CMOS Struktur. 4 zeigt eine CMOS Einheit 400 mit einer p-MOS Einheit, etwa als eine p-MOS Ausführung der Vorrichtung 300, wie sie unter Bezugnahme auf die 3A und 3B oben beschrieben worden ist, verbunden mit einer n-MOS Transistoreinheit 478 in einer typischen Ausbildung. Das Substrat 120 weist einen P-Typ Bett 422 bezogen auf einen N-Typ Bett 124 zum Bilden der CMOS Einheit 400 derart auf, dass der Bett vom P-Typ 422 ein Teil einer n-MOS Transistoreinheit 478 ist, die auf einem zweiten Bereich des Substrats 120 ausgebildet ist und eine unterschiedliche zweite Schnittstellenfläche 425 des Substrats 120 benachbart des Betts vom N-Typ 124 ist. Insbesondere kann die n-MOS Einheit 478 benachbart der p-MOS Vorrichtung 300 angeordnet sein durch ein elektrisches Isolieren der n-MOS Einheit 478 von der p-MOS Vorrichtung 300 durch ein hier beschriebenes elektrisches Isolationsmaterial 130. Weiter kann die n-MOS Einheit 478 einen Kanal unterhalb des Gatterdielektrikums 444 aufweisen, das unter der Gatterelektrode 490 und zwischen den Verbindungen 470 und 480 vom N-Typ ist. Die n-MOS Einheit 478 ist weiter mit Abstandshaltern 411 und 414 gezeigt. Die n-MOS Einheit 478 kann ein n-MOS Ausführungsbeispiel der Vorrichtung 300 sein, die oben unter Bezugnahme auf die 3A und 3B beschrieben worden ist. Die CMOS Einheit 400 hat ein Masse GND, eine Eingangsspannung aus Vin, eine Ausgangsspannung Vout und eine Vorspannung VDD.
  • Nach einigen Ausführungsbeispielen der Technologie und des Verfahrens, das oben unter Bezugnahme auf die 1 bis 4 beschrieben worden ist, kann kombiniert werden muss aber nicht kombiniert werden mit einem Vorgang zum Abdecken oder zur nicht-selektiven Ablagerung einer epitaxialen Schicht aus kristallinem Material in die Übergangsbereiche zur Formung von Übergängen und einer gleichmäßigen Dicke eines amorphen Materials über eine Gatterelektrode, etwa während der Bildung einer Transistoreinheit. Die Technologie und das Verfahren, die oben unter Bezugnahme auf die 1 bis 4 beschrieben worden sind, können beispielsweise, mit den Prozessoren und Einheiten, die unten unter Bezugnahme auf die 4 bis 12 beschrieben worden sind, kombiniert werden, müssen dies aber nicht.
  • 5 ist eine schematische Querschnittansicht eines Abschnitts eines Substrats mit einem Bett, einem Gatterdielektrikum, einer Gatterelektrode und Übergangsbereichen mit Spitzenbereichen. 5 zeigt eine Vorrichtung 500 mit einem Substrat 505, das ein Gatterdielektrikum 544 hat, das auf der oberen Oberfläche 525 des Substrats 505 über dem Bett 524 ausgebildet ist. Die Gatterelektrode 590 ist auf dem Gatterdielektrikum 544 ausgebildet und hat Abstandhalter 512 und 514, die auf seinen Seitenflächen ausgebildet ist. Eine Ätzmaske 542 ist auf der Gatterelektrode 590 ausgebildet. Elektrisches Isolationsmaterial 510 ist gezeigt, um das Bett 524 elektrisch von den umgebenden Bereichen 528 zu isolieren. Übergangsbereiche 570 und 580 sind benachbart der Gatterelektrode 500 gezeigt. Die Vorrichtung 500 und Komponenten von dieser, die oben beschrieben worden sind, können verarbeitet werden, so in einem Vorgang zur Herstellung eines Halbleitertransistors, das eine oder mehrere Prozesskammern einschließt, um ein p-MOS oder n-MOS Transistor zu werden oder ein Teil davon zu werden (beispielsweise, Teile einer CMOS Einheit).
  • Merkmale von 5 können Merkmalen der 1 und 2, die oben beschrieben worden sind, „entsprechen”, müssen dies aber nicht (beispielsweise, „entsprechen” solche mit entsprechenden oder ähnlichen Merkmalen, Materialien, Dotierungen, Breiten, Längen, Tiefen, Dicken und Funktionalität, diese sind in entsprechenden oder ähnlichen Kammern oder Reaktoren ausgebildet und/oder sind durch entsprechende oder ähnliche Verfahren hergestellt). Beispielsweise, kann in 5 das Substrat 505 dem Substrat 120 entsprechen, die Ätzmaske 542 kann der Ätzmaske 142 entsprechen, die Abstandhalter 512 und 514 können den Abstandhaltern 112 und 114, die Breite W51 kann der Breite W1 entsprechen, die Breite W52 kann der Breite W2 entsprechen und die obere Oberfläche 525 kann der oberen Oberfläche von 1, wie oben beschrieben, entsprechen.
  • Weiter kann in 5 das Bett 524 einem Bett vom P-Typ eines n-MOS Transistors entsprechen, wie oben unter Bezugnahme auf das Bett 124 von 1 beschrieben. Insbesondere kann zur Bildung des Betts 524 die obere Oberfläche 525 dotiert sein mit Bor und/oder Aluminium zur Bildung eines Betts vom P-Typ, eines n-MOS Transistors (beispielsweise, einer n-MOS Einheit einer CMOS Einheit). Das Bett 524 kann daher ein Material sein, das geeignet ist zur Bildung eines „Kanals”, einer n-MOS Transistoreinheit. Beispielsweise, kann der Kanal einer Transistoreinheit definiert sein als ein Bereich eines Materials eines Betts 524 unter der oberen Oberfläche 525 und zwischen Übergangsbereichen 570 und 580 oder Übergängen, die darin ausgebildet sind.
  • Weiter kann in 5 das Material 510 dem Material 130 entsprechen und die umgebenden Bereich 528 können den umgebenden Bereichen 128 von 1 entsprechen. Insbesondere kann das Material 510 eine flache Grabenisolation (STI) sein, die zwischen einem Bett vom P-Typ einer n-MOS Einheit ist (wobei das Bett 524 ein Bett vom P-Typ hat) und weitere Bereiche des Substrats 505 zum elektrischen Isolieren des Betts vom P-Typ von den anderen Bereichen (beispielsweise, wo eine der anderen Bereiche 528 ein Bett vom N-Typ einer p-MOS Einheit in dem Substrat 505 ist).
  • Weiter kann das Gatterdielektrikum 544 von 5 dem Gatterdielektrikum 144 von 1, wie oben beschrieben, entsprechen. Beispielsweise, kann das Gatterdielektrikum 144 eine geeignete Arbeitsfunktion vom N-Typ für die Vorrichtung 500 haben, ebenso wenn die Vorrichtung 500 eine n-MOS Einheit ist.
  • Weiter kann in 5 die Gatterelektrode 590 der Gatterelektrode 190 von 1 entsprechen, wie oben beschrieben. Die Gatterelektrode 500 kann mit Phosphor, Arsen und/oder Antimon dotiert sein zur Bildung eines Elektrodenmaterials vom N-Typ mit einer elektrisch negativen Ladung (beispielsweise, für eine n-MOS Einheit, die ein Teil einer CMOS Einheit sein kann). Die Gatterelektrode 590 kann eine Dicke haben, die für eine p-MOS oder n-MOS Einheit geeignet ist, etwa wenn die Vorrichtung 500 eine n-MOS Einheit ist. Die Gatterelektrode 590 kann eine Arbeitsfunktion zum Entsprechen einer Gatterelektrode einer n-MOS Einheit sein (beispielsweise, wenn die Vorrichtung 500 eine n-MOS Einheit ist).
  • 5 zeigt den Übergangsbereich 570, etwa eine Vertiefung, die in einer Fläche des Substrats 500 benachbart der Gatterelektrode 590 und einer Source-Drain-Ausnehmung unterhalb einer Bodenfläche des Gatterdielektrikums 544 ausgebildet ist. Ähnlich zeigt 5 einen Übergangsbereich 580, etwa einer Vertiefung, die in einer Fläche eines Substrats 505 benachbart zu der Gatterelektrode 500 ausgebildet ist und eine Source-Drain-Ausnehmung unterhalb der Bodenfläche des Gatterdielektrikums 544. Abschnitte des Betts 524 und des Substrats 505 von können entfernt werden zur Bildung von Vertiefungen etwa den Übergangsbereichen 570 und 580 in dem Substrat 505 benachbart der Gatterelektrode 590. Beispielsweise, können Verbindungen benachbart der Gatterelektrode 590 ausgebildet sein durch Formen oder Ablagern eines Übergangsmaterials in den Übergangsbereichen 570 und 580. Ein solches Entfernen kann ein „Source-Drain-Vertiefungs”-Ätzen einschließen, wie es oben beschrieben worden ist unter Bezugnahme auf das Bilden der Übergangsbereiche 270 und 280 von 2, so dass sich die Übergangsbereiche 570 und 680 unter das Gatterdielektrikum 544 erstrecken.
  • Der Übergangsbereich 570 definiert eine Substratfläche 522 (beispielsweise, eine Basisfläche des Übergangsbereichs 570), die Facette 520 und den Spitzenbereich 576. Der Spitzenbereich 576 ist zwischen der Facette 520 und der Bodenfläche des Gatterdielektrikums 544. Ähnlich definiert der Übergangsbereich 580 die Substratfläche 532, die Facette 530 und den Spitzenbereich 586. Der Spitzenbereich 586 ist zwischen der Facette 530 und der Bodenfläche des Gatterdielektrikums 544.
  • Nach Ausführungsbeispielen können sich die Spitzenbereiche 576 und 586 unter dem Abstandshalter 512, dem Abstandshalter 514 und/oder die Gatterelektrode 590 erstrecken. Beispielsweise können sich die Spitzenbereiche 576 und 586 entlang der Oberfläche 525 unter der unteren Oberfläche des Gatterdielektrikums 554 von einer Breite, die gleich der Breite W52 ist bis zu einer Breite von weniger als der Breite 552 erstrecken, etwa einer Breite, die größer als Null ist. Diese Facetten 520 und 530 können die untere Oberfläche des Gatterdielektrikums benachbart einer oberen Oberfläche 525 des Substrats 505 kontaktieren zur Bildung einer unter der oberen Oberfläche 525 verlaufenden Kanals zwischen den Facetten 520 und 530 (beispielsweise, einem Kanal eines Transistors, der in der Vorrichtung 500 ausgebildet ist, wobei die Facetten 520 und 530 sich jeweils unter das Gatterdielektrikum 534 um einen Abstand zwischen Null und der Hälfte der Breite W52 erstreckt. Die Abschnitte des Substrats 505 können entfernt werden zum Bilden von Facetten 520 und 530, die die Bodenfläche des Gatterdielektrikums 554 berühren und sich unter diesen erstrecken zur Berührung der unteren Oberfläche des Gatterdielektrikums 544 unter dem Abstandhalter 512 und dem Abstandhalter 514 und/oder der Gatterelektrode 590.
  • Die Übergangsbereiche 570 und/oder 580 können als „Source-Drain-Bereiche” oder „Diffusionsbereiche” bezeichnet werden. Auch wenn ein geeignetes Material geformt, abgelagert oder gezüchtet wird in den Übergangsbereichen 570 und 580 kann das sich ergebende Material als ein „Übergang”, eine „Source”, eine „Drain” oder ein „Diffusionsbereich” bezeichnet werden.
  • Derartige Kammern zum Ätzen von Übergangsbereichen 570 und 580 weisen die oben unter Bezugnahme des Bildens des Gatterdielektrikums 144 auf. Insbesondere weisen geeignete Kammern zum Ätzen der Übergangsbereiche 570 und/oder 580 CVD-Kammern, eine ALD-Kammer, eine UHVCVD-Kammer, eine RTCVD-Kammer, eine RPCVD-Kammer, eine MBE-Kammer, eine „batch” UHV CVD-Kammer, eine Kaltwand UHV CVD-Kammer, eine Kammer mit atmosphärischen Druck (AP) CVD-Kammer und eine Niederdruck (LP) CVD-Kammer auf, eine Ätzkammer, ein Hochrein-Hochstromwasserstoff (H2) Begasungsreaktor, eine Chlor (Cl2) Ätzkammer, einen Trisilaneablagerungsreaktor, einen Disilaneablagerungsreaktor oder einen Kammerreaktor, der die Funktionalität eines oder mehrerer dieser Kammern oder Reaktoren kombiniert.
  • Infolgedessen können die Übergangsbereiche 570 und 580 den Übergangsbereichen 570 und 280 entsprechen, müssen dies aber nicht, die Flächen 522 und 532 können den Flächen 222 und 232 entsprechen, müssen dies aber nicht, die Facetten 520 und 530 können den Facetten 220 und 230 entsprechen, müssen dies aber nicht und die Spitzenbereiche 576 und 586 können den Spitzenbereichen 576 und 586 von 2, wie oben beschrieben, entsprechen, müssen dies aber nicht. Insbesondere können die Übergangsbereiche 570 und 580 gebildet sein durch Chlorätzen oder ein anderes Ätzen, wie oben unter Bezugnahme auf die Übergangsbereiche 270 und 280 beschrieben, müssen dies aber nicht. Entsprechend kann die Ablagerung von Material in den Übergangsbereichen 570 und 580 von 5 nicht in derselben Kammer wie di Kammer in den Übergangsbereichen 570 und 580 geformt oder geätzt worden ist, geschehen, muss dies aber nicht. Nachfolgend können die Facetten 520 und 530 von 5 einen Winkel bezüglich der Oberfläche 522 und 532 bilden, der ähnlich dem Winkel A1 und dem Winkel A2 ist, wie dies unter Bezugnahme auf 2 beschrieben worden ist, es muss dies aber nicht sein.
  • 6 ist das schematische Substrat von 5 nach dem Ausbilden einer Schichtdicke eines kristallinen Materials in den Übergangsbereichen und einer Schichtdicke von amorphem Material auf der Gatterelektrode. 6 zeigt die Vorrichtung 600 mit einer konformen Dicke 610 des amorphen Materials, der über eine Ätzmaske 542 ausgebildet, Abstandhaltern 512 und 514, der Gatterelektrode 590 und dem Gatterdielektrikum 544. Die Ätzmaske 542, die Abstandhalter 512 und 514, die Gatterelektrode 590 und das Gatterdielektrikum 544 kann hier als „Gatterstruktur” bezeichnet werden (beispielsweise, der Gatterstruktur der Vorrichtung 500). Eine konforme Dicke 610 ist gezeigt mit der Dicke T610 oberhalb der Ätzmaske 542, der Dicke T612 neben dem Abstandhalter 512 und der Dicke 5613 neben dem Abstandhalter 514.
  • 6 zeigt eine epitaxiale Schicht 620 von kristallinem Material in dem Übergangsbereich 570 mit einer Dicke T620. Entsprechend ist eine epitaxiale Schicht 630 in dem Übergangsbereich 580 mit einer Dicke T630 ausgebildet.
  • Seite 26 [9973]
  • Nach Ausführungsbeispielen wird die Dicke 610 (beispielsweise, als amorphe Schicht ausgebildet) und die epitaxialen Schichten 620 und 630 „gleichzeitig” ausgebildet durch eine Ablagerung derartiger Materialien auf der Vorrichtung 500 während derselben Zeitperiode durch eine umfassende Ablagerung und/oder durch eine nicht-selektive Ablagerung unter Bildung von Dicken 610, 620 und 630 der Vorrichtung 600. Weiter kann während der gleichzeitigen Bildung die Rate der Bildung einer gleichmäßigen Dicke 610 schneller sein als die Rate der Bildung der epitaxialen Dicken 620 und 630.
  • Beispielsweise können die Dicke 610 und die epitaxialen Dicken 620, 630 durch nicht-selektive oder „umfassende” chemische Dampfablagerung (CVD) der kristallinen und amorphen Materialien gebildet werden. Es ist berücksichtigt, dass die epitaxialen Dicken 620 und 630 eine Silberlegierung oder ein Siliziummaterial sein können mit einem Gitter, dessen Abstand anders ist als der Gitterabstand des Substrats 505. Bei einigen Ausführungsbeispielen können die Dicken 620 und 630 eine epitaxiale Dicke von kristallinen Phosphor und/oder Silizium-Kohlenstofflegierungsmaterialien sein, die eine Größe, Dicke und einen Gitterabstand haben zur Verursachung einer Zugspannung in dem Substrat 505. Es ist weiter berücksichtigt, dass die Dicken 620 und 630 mit Phosphor, Arsen und/oder Antimonium dotiert sein können während oder nach der Ausbildung, etwa unter Ausbildung eines Materials vom N-Typ mit einer elektrisch negativen Ladung. Die Dicke 620 und die Dicke 630 können so eine Zugspannung in einem Kanal der Vorrichtung 600 verursachen, etwa als ein Bereich des Substrats 505 unter der oberen Oberfläche 525 und zwischen den Übergangsbereichen 578 und 580.
  • Die konforme Dicke 610 kann ein amorphes Material sein derselben Siliziumverbindung oder desselben Siliziumelementmaterials, das verwendet worden ist zum Bilden der Dicken 620 und 630. Insbesondere kann die konforme Schicht 610 statt eine epitaxiale Schicht zu sein eine konforme Dicke aus demselben Material haben, die Dicken 620 und 630 bildet. Die konforme Dicke 610 kann eine amorphe Schicht mit einer nicht-definierten Anordnung der Atome sein gegenüber der sehr regelmäßigen Anordnung von Atomen und kristallinem Material der Dicken 620 und 630. Auch kann die konforme Dicke 610 als diejenige der Materialätzmaske 542, der Abstandhalter 512 und 514, der Gatterelektrode 590 und/oder des Gatterdielektrikums 544 (beispielsweise, der Gatterstruktur der Vorrichtung 500). Die konforme Dicke 610 kann so eine Zugspannung in der Gatterelektrode 590 und/oder anderen Komponenten der Gatterstruktur der Vorrichtung 500 verursachen.
  • Beispielsweise können die Dicken 610, 620 und 630 ausgebildet sein aus (beispielsweise, in dem Fall, dass die Vorrichtung 600 ein n-MOS Transistor oder eine solche Einheit ist oder wird) einem Siliziumkohlenstofffilm oder einer nicht-selektiven Ablagerung über dem aktiven Bereich eines Transistors sein (beispielsweise, eine Ablagerung über der Vorrichtung 500). Die Ablagerung kann eine chemische Dampfablagerung (CVD) sein unter Verwendung von Trisilane, Methylsilane und Wasserstoff (beispielsweise, ein H2 Trägergas) mit einer Ablagerungstemperatur von weniger als 550°C (beispielsweise bei einer Temperatur von 450, 500 oder 550°C). Bei einem solchen Setzen sind die epitaxialen Dicken 620 und 630 epitaxial auf dem freiliegenden Silizium oder den Flächen der Übergangsbereiche 570 und 580 gemacht. Insbesondere ist eine epitaxiale Schicht auf der Fläche 522, der Facette 520, der Oberfläche 532 und der Facette 530 ausgebildet. Alternativ wird bei einer derartigen Einstellung eine amorphe Dicke auf dem Dielektrikum, Oxid oder Nitrid der Ätzmaske 542, der Abstandhalter 512 und 514, der Gatterelektrode 590 und dem Gatterdielektrikum 544 (beispielsweise, der Gatterstruktur der Vorrichtung 500) ausgebildet. Das epitaxiale kristalline Material, das als Dicke 620 und 630 ausgebildet ist, kann in-situ mit Phosphor oder Arsen dotiert sein, während oder nach der Ablagerung zur Bildung von elektrisch negativ geladenem Material vom N-Typ.
  • Nach dem Ausführungsbeispiel können die Dicken 610, 620 und 630 gebildet sein durch Einbringen von Trisilane mit etwa 25 Milligramm pro Minute (mg/m) und 200 mg/m und Einführen von Monomethylsilane mit etwa 15 Standardkubikzentimetern (SCCM) und 45 SCCM unter Einführen von PH3 (beispielsweise, durch Einführen von 1 Prozent PH3 in ein Wasserstoff (H2) Trägergas) bei zwischen 400 SCCM und 800 SCCM. Bei einem anderen Beispiel kann das Bilden der Dicken 610, 620 und 630 das Einführen von zwischen 50 und 100 mg/m von Trisilane, 30 SCCM von Monomethylsilane und 600 SCCM von PH3.
  • Bei einem Ausführungsbeispiel erzeugt in einem einzigen Wafer 300 mm RT CVD-Reaktor eine chemische Substanz von 20 SCCM Trisilane, 30 SCCM Monomethylsilane, 20 SLM H2 bei 550°C und 15 Torr Druck über 12 Minuten einen 500 Nanometer Siliziumkohlenstofflegierungsfilm mit einer vollständig substituierten Kohlenstoffkonzentration von 3E20 cm in Würfel geschnitten als epitaxiale Dicke 620 und 630. Eine konforme Dicke 610 eines amorphen Materials ist in den Bereichen, die nicht mit den Oberflächen der Übergangsbereiche 570 und 580 in Kontakt sind (beispielsweise, den Breichen, die nicht in Kontakt sind mit den Flächen 522 und 532 oder den Facetten 520 und 530) gebildet.
  • Die konforme Dicke 610 kann daher auf jeder Ätzmaske 542, Abstandhaltern 512 und 514, der Gatterelektrode 590 und/oder dem Gatterdielektrikum 544 ausgebildet sein. Ein Grund für die Bildung des kristallinen Materials auf den Flächen 522 und 532 und den Facetten 520 und 530 ist, dass auf diesen Flächen das Silizium durch epitaxiales Ausdehnen des vorhandenen Gatters wächst. Da jedoch kein Siliziumgitter vorhanden ist, um das Wachstum auf der Ätzmaske 542, den Abstandhaltern 512 und 514, der Gatterelektrode 590 und dem Gatterdielektrikum 544 zu stützen, ist das dort gebildete Material von amorpher Natur.
  • Bei einigen Ausführungsbeispielen kann die epitaxiale Dicke 620 und 630 ein Siliziummaterial sein oder aufweisen, das eine substitutionelle Kohlenstoffkonzentration zwischen 0,13 Prozent und 2,0 Prozent hat. Auch kann in einigen Ausführungsbeispielen die epitaxiale Dicke 620 und 630 ein Siliziummaterial sein oder einschließen mit einer Phosphorkonzentration von zwischen 5E13 Atomen pro Kubikzentimeter (Atomen/cm3) und 5E20 Atomen/cm3. Beispielsweise kann die epitaxiale Dicke 620 und 630 eine Siliziumlegierung sein oder ein elementares Siliziummaterial mit einer Kohlenstoff substituierenden Konzentration zwischen 0,13 Prozent und 2,0 Prozent und eine Phosphorkonzentration zwischen 5E13 Atomen pro Kubikzentimeter (Atomen/cm3) und 5E20 Atomen/cm3.
  • Oft kann, wenn eine abdeckende oder nicht-selektive Ablagerung über einem aktiven Bereich eines Transistors (beispielsweise, eine Ablagerung über der Vorrichtung 500) fortgesetzt wird, die Dicke 610, 620 und 630 so ausgebildet sein, dass sich die Dicke 610 sich in die Spitzenbereiche und/oder auf die Bodenfläche der Gatterelektrode expandiert, bevor die Dicke 620 und 630 in diese Orte expandiert. Insbesondere ist es, wenn der Ablagerungsvorgang, der oben beschrieben worden ist, bezüglich 6 fortgesetzt wird, möglich, dass die Dicke T612 und die Dicke T613 weiter wachsen und das amorphe Material der Dicke 610 in die Spitzenbereiche 676 und 686 (siehe 5) und/oder auf die Bodenfläche B1 oder die Bodenfläche B2 des Gatterdielektrikums 544 (siehe 7). Mit dem amorphen Material der Dicke 610 in den Spitzenbereichen und/oder auf der Bodenfläche der Gatterelektrode wird die Leistungsfähigkeit des Transistors behindert. Weiter wird, nachdem die Dicke 620 und 630 auf einer Höhe über der Oberfläche 524 ausgebildet sind, das Wegätzen oder Entfernung von amorphem Material der Dicke 610 in den Spitzenbereichen und/oder an der Bodenfläche der Gatterelektrode eine Einheit belassen, die nicht geeignet arbeitet.
  • Entsprechend dem Ausführungsbeispiel der Erfindung dagegen können die epitaxialen Dicken 610, 620 und 630 weggeätzt werden vor der weiteren Ablagerung von Material zum Expandieren der Dicken 610, 620 und 630. Beispielsweise zeigt 7 das Substrat von 5 nach dem Entfernen einer Dicke des kristallinen Materials und eine Dicke des amorphen Materials. 7 zeigt die Vorrichtung 700 als eine Vorrichtung entsprechend der Vorrichtung 600 nachdem eine Dicke ein mit konformer Dicke 610 und die epitaxialen Dicken 620 und 630 entfernt sind. Das amorphe Material von konformer Dicke 610 und das kristalline Material der epitaxialen Dicken 620 und 630 kann beispielsweise gleichzeitig während eines Vorgangs entfernt werden, etwa einem Ätzvorgang zum Bilden der konformen Dicke 710 und der epitaxialen Dicken 620 und 630, wie in 7 gezeigt. Die konforme Dicke 610 als Dicke T710 oberhalb der Ätzmaske 542, die Dicke T712 benachbart dem Abstandhalter 512 und die Dicke T713 benachbart zu dem Abstandhalter 514. Auch hat die epitaxiale Dicke 720 die Dicke T720, die epitaxiale Dicke 730 hat die Dicke T730. Entsprechend Ausführungsbeispielen kann eine Rate des Entfernen oder des Ätzens der epitaxialen Dicken 720 und 730 langsamer sein als eine Rate des Entfernens oder Ätzens der konformen Dicke 710. Beispielsweise kann eine Ätzchemikalie ausgewählt sein, die das kristalline Material der Dicke 720 und 730 langsamer ätzt als es ein amorphes Material der Dicke 710 ätzt. Das Entfernen der Dicken 710, 720 und 730 kann daher fortgesetzt werden bis eine verbleibende vertikale Dicke der Dicke 710 geringer ist als eine verbleibende Dicke der Dicken 720 und 730. Insbesondere kann die Dicke T710 geringer sein als die Dicke 720 oder die Dicke 730. Es ist jedoch auch berücksichtigt, dass die Dicke T710 gleich oder größer sein kann als die Dicken T720 und/oder die Dicke T730.
  • Weiter kann entsprechend einem Ausführungsbeispiel das Bilden der Dicke 710 das Entfernen einer Dicke 610 soweit ausreichend einschließen, dass ein nachfolgendes Bilden oder eine Ablagerung des konformen Materials über die Dicke 710 sich nicht auf oder unter die Bodenfläche B1 oder die Bodenfläche B2 des Gatterdielektrikums 544 erstreckt. Beispielsweise kann die Dicke T712 und die Dicke T713 ausreichend dünn sein, so dass das nachfolgende Deponieren der konformen Dicke oder des amorphen Materials auf die Dicke 710 sich nicht unter oder auf die Bodenflächen B1 und B2 erstreckt.
  • Die Dicke T720 und/oder die Dicke T730 können eine Dicke des kristallinen Materials zwischen 0,5 Nanometern (nm) und 2 nm haben, etwa 0,8, 0,9, 0,95, 1,0, 1,05, 1,1, 1,15, 1,2, 1,3 oder 1,4 nm. Insbesondere kann der Nettoeffekt des Bildens der Dicken 610, 620 und 630 und das Entfernen der Dicken davon zur Bildung der Dicken 710, 720 und 730 eine Formationsrate von ungefähr 1,05 Angström pro Sekunde (beispielsweise, 10 nm pro m) für die epitaxialen Dicken 720 und 730 begrenzen. Ein ähnlicher Nettoeffekt kann auftreten bei der Dicke 710 in der lateralen Richtung und kann etwas höher sein in der vertikalen Richtung (beispielsweise, in der Richtung der Dicke T710).
  • Weiter kann bei den Ausführungsbeispielen das Entfernen der Dicken der Dicke 610, 620 und 630 mit einer Rate über eine Zeitdauer oder mit einem Ätzmittel derart erfolgen, dass die Dicke T712 und T713 geringer ist als die Dicke T720 oder die Dicke T730.
  • Das Entfernen der Dicken der Dicke 610, 620 und 630 kann das Ätzen mit Salzsäure, Chlor oder einem anderen geeigneten Ätzmittel oder Gasen beinhalten. Insbesondere kann das Ätzen das Ätzen mit Salzsäuregas bei einer Flussrate von 100 SCC und 200 SCCM, etwa einer Flussrate von 140, 145, 150, 155 oder 160 SCCM einschließen. Es ist weiter berücksichtigt, dass ein Trockenphotolackätzmittel, ein Chlorätzmittel, CF4, Plasma, Zerstäuben und/oder eine andere Ätzchemie oder ein Gas, das dazu in der Lage ist, die Dicken der Dicken 610, 620 und 630 zu entfernen, verwendet werden kann.
  • Weiter kann entsprechend Ausführungsbeispielen das Bilden der Dicken 610, 620, 630 und das Entfernen der Dicken von diesen unter Bildung der Dicken 710, 720 und 730 in derselben Kammer des Reaktors erfolgen ohne Lösen der Dichtung, Aufheben des Vakuums, des Drucks, der Umgebung der Kammer oder des Reaktors und/oder ohne das Innere der Kammer oder des Reaktors mit der äußeren Atmosphäre oder Luft zu exponieren. Das Entfernen der Dicke des Materials unter Bildung der Dicken 710, 720 und 730 kann in-situ ausgeführt werden unter Bilden der Dicken 610, 620 und 630. Insbesondere kann das gleichzeitige Bilden und das Entfernen der Dicken unter demselben Druck bei derselben Temperatur, in derselben Umgebung, in derselben Atmosphäre und/oder derselben Dichtung oder des Vakuums einer Kammer oder eines Reaktors stattfinden.
  • Beispielsweise schließen einige geeignete Kammern zum Bilden der Dicken 610, 620 und 630 und das Entfernen von Schichten von diesen Dicken 710, 720 und 730 eine CVD-Kammer, eine ALD-Kammer, eine UGHVCVD-Kammer, eine RTCVD-Kammer, eine RPCVD-Kammer, eine MBE-Kammer, eine „batch” UHV CVD-Kammer, eine Kaltwand UHV CVD-Kammer, eine CVD-Kammer mit atmosphärischem Druck (AP), eine Niederdruck (LP) CVD-Kammer, einer Ätzkammer, einen Trisilaneablagerungsreaktor, enien disilaneablagerungsreaktor oder einen Kammer-Reaktor, der die Funktionalität eines oder mehrerer dieser Kammern und Reaktoren ein. Weiter schließen geeignete Kammern zum Durchführen der Ablagerungen von epitaxialen Schichten aus Silizium, Siliziumlegierung und/oder elementarem Silizium, Kammern zur Ablagerung von konformen Dicken von amorphem Material, Kammern zur Ablagerung von kristallinem Material, Kammern zur Bildung von Bedeckungen oder nicht-selektivem Ablagerung, Kammern zur Bildung einer selektiven Ablagerung, Kammern zur Ablagerung von gedoptem Material, Kammern zur Ablagerung von Siliziumgermanium (SiGe) und/oder Kammern zur Ablagerung von Silzium-Kohlenstofflegierungsmaterial (Si1-xCx ) ein.
  • Bei einigen Ausführungsbeispielen kann das Ausformen der Dicken 610, 620 und 630 und das Entfernen deren Schichten in derselben CVD Kammer bei einer Temperatur zwischen 500 und 750° erfolgen (beispielsweise bei einer Temperatur von 500, 550, 600, 650, 700 oder 750°C) und bei einem Druck zwischen 12 und 18 Torr (beispielsweise einem Druck von 12, 13, 14, 15, 16, 17 und 18 Torr). Auch kann das Ausformen der Dicken 610, 620 und 630 und das Entfernen deren Schichten in derselben CVD Kammer bei einer Temperatur zwischen 500 und 750° bei einem Druck zwischen 1E-4 und 1000 Torr (beispielsweise 1E-3, 1E-2, 0,1, 1,0, 10, 100 oder 1000 Torr 2 und 18 Torr) erfolgen. In einigen Fällen kann das Formen der Dicken 610, 620 und 630 und das Entfernen der Schichten von diesen in derselben CVD Kammer bei einem Druck zwischen 3E-3 Torr und 7E-3 Torr (beispielsweise, 3E-3, 3,5E-3, 4E-3, 4,5E-3, 5E-3, 5,5E-3, 6E-3, 6,5E-3 oder 7E-3) erfolgen. Weiter kann eine Waserstoff (H2) Umgebungsstrom zwischen 10 Standardlitern pro Minute (SLM) und 30 SLM währende des Formens und des Entfernens gegeben sein.
  • Bei einigen Ausführungsbeispielen kann das Bilden, Ablagern oder Wachsenlassen der Dicken 610, 620 oder 630 und das anschließende Entfernen oder Ätzen einer Dicke der Dicke 610, 620, 630 wie es oben unter Bezugnahme auf die 6 und 7 beschrieben worden ist, eine Iteration bei der Ablagerangs/Abtragungs/Abfolge mehrerer Iterationsprozesse beschreiben. Die Iteration oder die Ablagerungs/Abtragungsfolge nach den 6 und 7 kann wiederholt werden.
  • Beispielsweise zeigt 8 das Substrat von 7 nach dem Bilden einer nachfolgenden Dicke eines kristallinen Materials in den Übergangsbereichen und eine nachfolgende Dicke des amorphen Materials an der Gatterelektrode. 8 zeigt die Vorrichtung 800 wie die Vorrichtung 700 nach dem erneuten Formen oder erneuter Ablagerung von zusätzlicher konformer Dicke des amorphen Materials auf der Dicke 710 zur Bildung der Dicke 810, Neuablagern oder Ablagern zusätzlicher epitaxialer Dicke von kristallinem Material auf der Dicke 720 zur Formung der Dicke 820 und Neuablagern oder Ablagern zusätzlicher epitaxialer Schichten von kristallinem Material auf die Dicke 730 zum Bilden der epitaxialen Dicke 830. Die Dicke T810 der konformen Dicke 810 kann dicker sein als die Dicke T610 oder T710. Entsprechend kann die Dicke 812 dicker sein als die Dicke T712 oder T812. Entsprechend kann die Dicke T813 dicker sein als die Dicke T713 oder T613.
  • Ähnlich kann die Dicke T820 der epitaxialen Dicke T820 dicker sein als die Dicken T720 oder T620. Entsprechend kann die Dicke T830 der epitaxialen Dicke 830 dicker sein als die Dicke T730 oder T630.
  • Es ist berücksichtigt, dass die konforme Dicke 810 Material beinhalten kann, das durch einen Vorgang hergestellt worden ist mit einer Funktionalität und das Spannungen, wie sie oben beschrieben worden sind unter Bezugnahme auf die konforme Dicke 610 verursachen kann. Entsprechend können die epitaxialen Dicken 820 und 830 einem Material entsprechen, das durch Prozesse geformt ist, Spannungen verursacht und eine Funktionalität hat, wie oben beschrieben bezüglich der epitaxialen Dicken 620 und 630.
  • Anschließend an das Bilden der Vorrichtung 800 können Schichten der Dicke 810, 820 und 830 entfernt werden, etwa durch Ätzen. Beispielsweise zeigt 9 das Substrat von 8 nach dem Entfernen einer Schicht des kristallinen Materials und des amorphen Materials. 9 zeigt die Vorrichtung 900, die wie die Vorrichtung 800 ausgebildet ist nach dem Entfernen von Schichten der Dicken 810, 820 und 830 zum Bilden der konformen Dicke 910 aus amorphem Material, der epitaxialen Dicke 910 aus kristallinem Material und die epitaxiale Dicke 930 aus kristallinem Material. Die Materialien, Verfahren, Funktionalität und die Dehnung der Dicken 910, 920 und 930 können denjenigen entsprechen, die oben unter Bezugnahme auf die Dicken 710, 720 und 730 beschrieben worden ist. Es versteht sich, dass die Beziehung zwischen den Dicken 910, 920 und 930 verglichen mit den Dicken 810, 820 und 830 der Beziehung zwischen den Dicken 7810, 720 und 730 entsprechen kann wie sie verglichen worden sind mit den Dicken 610, 620 und 630. Insbesondere können Verfahren zum Bilden der Vorrichtung 800 aus der Vorrichtung 700 und die nachfolgende Vorrichtung 800 dem entsprechen, was oben beschrieben worden ist für die Vorrichtung 600 aus der Vorrichtung 500 und die nachfolgende Vorrichtung 700 aus der Vorrichtung 600.
  • Weiter können bei Ausführungsbeispielen die Prozesse für die Formvorrichtungen 600, 700, 800 und 900 in derselben Kammer stattfinden auch ohne Öffnen einer Dichtung oder Beseitigen eines Vakuums einer Kammer und/oder unter anderen Einstellungen oder Bedingungen, wie dies oben unter Bezugnahme auf die 700 von der Vorrichtung 600 beschrieben worden ist. Die Bildung der Vorrichtung 600 und 700 kann als eine erste Iteration beschrieben werden und das Bilden der Vorrichtung 800 und 900 kann definiert werden als eine zweite Iteration in einem Vorgang für Ablagerungs/Abtrag-Iterationen. Derartige Iterationen können fortgesetzt werden, bis eine gewünschte oder eine bestimmte Dicke eines epitaxialen kristallinen Materials in den Übergangsbereichen der Transistoreinheit gebildet ist. Derartige Iterationen können fortgesetzt werden bis eine gewünschte oder bestimmte Dicke eines konformen amorphen Materials über die Gatterstruktur einer Transistoreinheit gebildet ist. In machen Fällen können derartige Iterationen zwischen fünf und zehn mal wiederholt werden, etwa fünfmal, sechsmal, siebenmal, achtmal, neunmal oder zehnmal.
  • Es ist weiter berücksichtigt, dass derartige Iterationen mit einem Ablagerungs- oder einem Abtragsvorgang beendet werden können, beispielsweise einem Vorgang entsprechend dem Bilden der Vorrichtung 600 oder der Vorrichtung 700.
  • Entsprechend den Ablagerungs- oder Abtragsbereichen kann Iteration auftreten über eine Zeitdauer zwischen 5 s oder 5 min, etwa kann ein solcher Ablagerungsvorgang oder ein Abtragsvorgang auftreten über eine Zeitdauer von 10 s, 5 s, 30 s, 35 s, 40 s, 45 s, 50 s, 60 s, oder 90 s.
  • Bei einem Beispiel kann das Bilden der Dicke 610, 620 und 630 ausgeführt werden in-situ unter Abtrag von Schichten des Materials zur Bildung der Dicke 710, 720 und 730 in der CVD-Kammer. Zunächst werden die Dicken 610, 620 und 630 ausgebildet oder abgelagert durch Einführen von Trisilane zwischen 50 mg/m und 100 mg/m, Einführen von Monomethylsilane bei 30 SCCM und Einführen von PH3 (beispielsweise, einem Prozent PH3 in H2) bei 600 SCCM über 30 Sekunden, während H2 in die Kammer mit einem Fluss von 20 SLM eingeführt wird. Die Kammer wird bei einer Temperatur zwischen 600 und 650°C gehalten, die Kammer ist auf einem Druck von 15 Torr.
  • Nachfolgend werden die Dicken 710, 720 und 730 „sofort” nach dem Ablagern der Dicken 610, 620 und 630 gebildet (beispielsweise wird kein weiterer Vorgang durchgeführt zwischen dem Auspumpen des Ablagerungsgases, das verwendet wird zum Bilden der Dicken 610, 620 und 630 und dem Ätzen der Dicken 610, 620 und 630 zur Bildung der Dicken 710, 720 und 730. Beispielsweise werden die Dicken 710, 720 und 730 gebildet durch Ätzen der Dicken 610, 620 und 630 durch Einführen von HCl bei 150 SCCM in die Kammer über 30 Sekunden, während H2 in die Kammer mit einem Fluss von 20 SLM eingeführt wird, die Kammer wird auf eine Temperatur zwischen 600 und 650 Grad Celsius gehalten und in der Kammer herrscht ein Druck von 15 Torr.
  • Die Erfolge des Einführens von Trisilane, Monomethylsilane und PH3, Auspumpen und sodann Einführen von HCl wird siebenmal wiederholt zur Bildung von ungefähr 1,05 Angström/s in der Dicke (Ablagerung minus Ätzen) für das kristalline Material der Dicken 720 und 730. Die Dicke der Dicke 710 von amorphen Material ist ungefähr in der lateralen Richtung (beispielsweise die Dicke 712 und 714) dieselbe, ist jedoch etwas größer in der vertikalen Richtung (beispielsweise die Dicke T710). Weiter versteht sich, dass die Abdichtung oder das Vakuum der Kammer intakt gehalten werden kann während der sieben Iterationen. Entsprechend werden die Bedingungen dann, wenn H2 in die Kammer mit einem Fluss von 20 SLM eingeführt wird, die Kammer bei einer Temperatur zwischen 600 und 650 Grad Celsius gehalten, die Kammer ist auf einem Druck von 15 Torr und kann auf diesen Druck während der sieben Iterationen gehalten werden.
  • Es ist so möglich, die Iterationen zum Bilden und Abtragen der konformen und epitaxialen Dicken zu wiederholen, bis eine obere Oberfläche der epitaxialen Schichten über der oberen Oberfläche 525 ist und/oder bis die epitaxialen Dicken eine ausgewählte Spannung in dem Substrat 505 verursache. Beispielsweise zeigt 10 das Substrat von 9 nach dem Bilden einer Dicke des kristallinen Materials in den Übergangsbereichen zur Bildung von Übergängen und nach dem Formen einer Dicke von amorphen Material auf der Gatterelektrode. 10 zeigt die Vorrichtung 1000 mit einer konformen Dicke 1010 von amorphen Material über der Gatterstruktur und epitaxiale Dicken 1020 und 1030 in Übergangsbereichen 570 und 580. Die Dicke 1020 hat eine Oberfläche 1022 über der oberen Oberfläche 525 und die Dicke 1030 hat eine obere Oberfläche 1032 über einer oberen Oberfläche 525. 10 zeigt die Dicke 1020 mit einer Dicke T1020 und die Dicke 1030 mit einer Dicke T1030.
  • Es versteht sich, dass die konforme Dicke 1010 aus einem Material durch ein Verfahren gebildet sein kann mit einer Funktionalität und dem Verursachen von Spannung wie unter Bezugnahme auf die konforme Dicke 610 beschrieben. Entsprechend können die epitaxialen Dicken 1020 und 1030 gebildet werden aus einem Material, mit einem Prozess wie oben unter Bezugnahme auf die epitaxialen Dicken 620 und 630 beschrieben, sie können deren Funktionalität haben und/oder derartige Spannungen verursachen. Beispielsweise können die Dicken 1020 und 1030 ausreichend dick sein oder eine Größe haben aus kristallinem Material mit einem Gitterabstand unterschiedlich von dem Gitterabstand des neuen Materials des Substrats 505 zum Verursachen einer Spannung in dem Substrat 505 etwa einer Spannung in dem Kanal der Vorrichtung 1000 (beispielsweise, dort, wo der Kanal definiert wird als der Abschnitt des Substrats 505 unterhalb der oberen Oberfläche 525 und zwischen den Dicken 1020 und 1030). Weiter können die Dicken 1020 und 1030 epitaxiale Dicken aus kristallinem Phosphor und/oder Siliziumkohlenstofflegierung sein ausreichend zum Verursachen einer Zugspannung in dem Substrat 505.
  • Insbesondere kann, wie in 10 gezeigt, die Dicke 1020 eine Zugspannung 1070 weg von einem Abschnitt des Substrats 505 unter der oberen Oberfläche 525 verursachen und die Dicke 1030 kann eine Zugspannung 1084 weg von demselben Abschnitt des Substrats 505 verursachen. Die Spannung 1074 kann so eine Zugspannung 1092 verursachen und die Spannung 1084 kann eine Zugspannung 1094 in einem Kanal des Substrats 505 zwischen der Dicke 1020 und 1030 verursachen, beispielsweise eine Zugspannung in dem Kanal der Vorrichtung 1000, oder die Vorrichtung 1000 ist eine n-MOS Einheit. Entsprechend Ausführungsbeispielen können die Zugspannungen 1092 und 1094 ausreichend sein, um die Trägermobilität (d. h., die Mobilität von Elektronen in dem Kanal des Betts 524) zwischen der Dicke 1020 und 1030 sein. Mit anderen Worten kann ein Kanal in dem Substrat 505 und eine Zugspannung sein, die durch den Gitterabstand eines Phosphormaterials und/oder Siliziumkohlenstofflegierungsmaterials sein in den Dicken 1020 und 1030 größer als der Gitterabstand des Substratmaterials.
  • Wie oben unter Bezugnahme auf die konforme Dicke 610 beschrieben ist, kann die konforme Dicke 1010 eine Zugspannung in der Gatterstruktur der Vorrichtung 1000 verursachen, etwa eine Zugspannung in der Gatterelektrode 590.
  • 10 zeigt die epitaxiale Dicke 1020, die den Spitzenbereich 576 füllt und die epitaxiale Dicke 1030, die den Spitzenbereich 586 füllt. Beispielsweise kann die Dicke 1020 in Berührung mit und/oder atomar gebunden sein an den Boden B1 und die Facette 520. Entsprechend kann die Dicke 1030 angebracht sein und/oder atomisch verbunden sein an dem Boden B2 und/oder die Facette 530.
  • Es ist zu beachten, dass die Dicke 1020 und die Dicke 1030 während oder nach der Bildung mit Phosphor, Arsen und/oder Antimonium dotiert sein kann zur Bildung eines Materials vom N-Typ mit einer elektrisch negativen Ladung.
  • Beispielsweise kann, wenn eine ausreichende oder bestimmte Dicke des Materials abgelagert oder gebildet ist, wie die Dicken 1020 und 1030 (beispielsweise, nach einer Ablagerung oder Ätzung einer Iteration) die konforme Dicke 1010 entfernt werden. Die konforme Dicke 1010 von 10 kann von der Gatterstruktur der Vorrichtung 1000 entfernt werden, etwa durch selektives Nassätzen. Weiter kann eine konforme amorphe Dicke (beispielsweise, die dicken 610, 710, 810, 910 und 1010, die oben beschrieben worden sind, auf dem Isolationsmaterial (beispielsweise dem Material 510) belassen werden. Diese konformen amorphen Dicken können auch entfernt werden, etwa durch selektives Nassätzen, was zu einem zugespannten N-Kanaltransistor führt, der eine erhöhte Elektronenmobilität und Treiberstrom hat.
  • Beispielsweise zeigt 11 das Substrat von 10 nach dem Entfernen des amorphen Materials. 11 zeigt die Vorrichtung 1100, etwa die Vorrichtung 1000 nach dem Entfernen oder Ätzen der konformen Dicke 1010 von der Gatterstruktur der Vorrichtung 1000. Beispielsweise kann die konforme Dicke 1010 selektiv oder nicht-selektiv sein unter Verwendung einer Ätzchemie, die eine geeignete Dicke des epitaxialen Materials in den Übergangsbereichen 570 und 580 belässt, etwa die Dicken 1120 und 1130. In einigen Ausführungsbeispielen schließt das Ätzen der konformen Dicke 1010 von der Gatterstruktur das Ätzen einer Dicke zwischen fünf Prozent und 35 Prozent der Dicke der Dicken 1020 und 1030 aus. Nach dem Ätzen der konformen Dicke 1010 von der Dicke d1120 und 1130 der Gatterstruktur kann diese 75 Prozent, 80 Prozent, 85 Prozent oder 90 Prozent der Dicke der Dicke 1020 und 1030 haben, die oben für 10 beschrieben. Entsprechend können die Oberflächen 1122 und 1132 den oberen Oberflächen 1022 und 1032 entsprechen, wie sie oben für 10 beschrieben worden sind. Weiter können die Dicken T1120 und T1130 den Dicken T1020 und der Dicke T1030 entsprechen, die oben für 10 beschrieben worden sind.
  • Nach dem Entfernen der Dicke 1010 kann der verbleibende Transistor (d. h., die Vorrichtung 1100) Spannungen 1174, 1184, 1192, und 1194 haben, die entsprechend oder größer sind in ihrer Größe als die Spannungen 1074, 1084, 1092 und 1094 von 10.
  • Die Dehnungen 1174, 1084 1092 und 1094 können eine ausreichende Zugspannung in dem Kanal von 1100 zum Erhöhen der Elektronenmobilität und des Treiberstroms. Weiter können die Dehnungen 1192 und 1194 eine uniaxiale Zugdehnung sein verursacht durch eine Erhöhung der Phosphor- und substitutionellen Kohlenstoffkonzentration in den epitaxialen Dicken 1120 und 1130. Ein erhöhtes Phosphordotieren in den epitaxialen Dicken 1120 und 1130 kann größer sein als 2E20 cm3. Insbesondere kann die Vorrichtung 1100 ein n-MOS Transistor sein mit einer ausreichend erhöhten Phosphor- und substitutionellen Kohlenstoffkonzentration in den epitaxialen Dicken 1120 und 1130 zum Erhöhen der Trägermobilität und zum Reduzieren von Rexternal. Insgesamt kann ein Transistor ähnlich der Vorrichtung 1100 einen verbesserten Sättigungsstrom und eine verbesserte Einheitsgeschwindigkeit haben aufgrund des Gewinns an Trägemobilität und aufgrund der verringerten Blattresistenz in den epitaxialen Dicken 1120 und 1130.
  • Die Vorrichtung 1100 kann eine n-MOS Einheit einer CMOS Einheit sein. Beispielsweise zeigt 12 eine repräsentative CMOS Struktur. 12 zeigt die CMOS Einheit 1200 mit einer n-MOS Einheit 1202, wie einem Ausführungsbeispiel einer Vorrichtung 1100, die oben unter Bezugnahme auf 11 beschrieben worden ist, verbunden mit einer p-MOS Einheit 1204 in einer typischen Form. Das Substrat 505 weist ein Bett 524 vom P-Typ auf bezogen auf ein Bett 1224 vom N-Typ zum Bilden einer CMOS Einheit 1200 etwa das Bett 1224 vom N-Typ als Teil einer p-MOS Transistoreinheit 1204 gebildet auf einem zweiten Bereich des Substrats 505 und eine zweite Schnittstellenfläche 1224 eines Substrats 505 benachbart einem Bett 524 vom P-Typ definierend. Insbesondere kann, beispielsweise, eine p-MOS Einheit 1004 gebildet sein benachbart der n-MOS Einheit 1204 durch eine p-MOS Einheit 1204, die elektrisch von einer n-MOS Einheit 1202 isoliert ist durch ein elektrisch isolierendes Material 510 wie hier beschrieben. Weiter kann die p-MOS Einheit 1204 einen Kanal unterhalb des Gatterdielektrikums 1244 aufweisen, die unter der Gatterelektrode 1290 und zwischen den Verbindungen 1220 und 1230 vom P-Typ ist. Die p-MOS Einheit 1204 ist weiter gezeigt mit Abstandhaltern 1212 und 1214.
  • 12 zeigt Druckspannungen 1274, 1284, 1292 und 1294 und die p-MOS Einheit 1204. Beispielsweise können die Übergänge 1220 und 1230 Druckspannungen 1274 und 1284 verursachen in Richtung auf einen Abschnitt des Substrats 505 unter der oberen Oberfläche 1225. Die Dehnungen 1274 und 1284 können Druckspannungen 1292 und 1294 in einem Kanal einer p-MOS Einheit 1204 verursachen. Es versteht sich, dass Druckbelastungen 1292 und 1294 ausreichend sein können, um die Trägermobilität (d. h., die Mobilität der Löcher in dem Kanal des Betts 1224) zwischen den Übergängen 1220 und 1230 zu verursachen. Insbesondere können die Übergänge 1220 und 1230 ausgebildet sein aus einem Material mit einem Gitterabstand der größer ist als der Gitterabstand des Substrats 505 (beispielsweise, ausgebildet aus SiGe, das dotiert sein kann oder nicht dotiert sein kann mit Bor und/oder Aluminium zur Bildung von elektrisch positiv geladenem Material vom P-Typ). Schließlich hat die CMOS Einheit 1200 Masse GND, eine Eingangsspannung Vin, eine Ausgangsspannung Vout und eine Vorspannung VDD.
  • In der vorangehenden Beschreibung sind Ausführungsbeispiele beschrieben. Es sind jedoch verschiedene Abwandlungen und Änderungen ohne sich von dem breiteren Grundgedanken und dem Schutzbereich ergeben, wie sie sich aus den Ansprüchen ergeben. Die Beschreibung und die Zeichnungen sind daher lediglich beispielhaft, nicht aber in einschränkendem Sinn zu verstehen.

Claims (27)

  1. Verfahren mit: – Entfernen eines ersten Abschnitts eines Substrats benachbart einer Gatterelektrode zur Bildung eines ersten Übergangsbereichs und eines anderen zweiten Abschnitts des Substrats benachbart der Gatterelektrode zur Bildung eines zweiten Übergangsbereichs in dem Substrat; und – Bilden einer epitaxialen Schicht eines kristallinen Materials in dem ersten Übergangsbereich und in dem zweiten Übergangsbereich; wobei das Entfernen und das Bilden in derselben Kammer ohne Aufhebung der Abdichtung der Kammer erfolgt.
  2. Verfahren nach Anspruch 1, wobei das Entfernen das Ätzen mit wenigstens einem aus einem Chlorgas, einem Chlorhydratsäuregas, einem Wasserstoffgas und einem Stickstoffgas beinhaltet.
  3. Verfahren nach Anspruch 1, wobei das Entfernen aufweist das Ätzen mit einem reinen Chlorgas zur Bildung einer ersten Seitenwandung des Substrats benachbart der Gatterelektrode und unter einem Winkel 128 Grad und 123 Grad in Bezug auf eine erste Basisfläche des Substrats in dem ersten Übergangsbereich und zur Bildung einer zweiten Seitenwandung des Substrats benachbart zu der Gatterelektrode und unter einem Winkel zwischen 128 Grad und 123 Grad bezüglich einer zweiten Basisfläche des Substrats in dem zweiten Übergangsbereich.
  4. Verfahren nach Anspruch 3, wobei das Formen die selektive Ablagerung mit wenigstens einem aus einem Silanegas, einem Disilanegas, einem Dichlorosilanegas, einem Germaniumgas und einem Methylsilanegas zum chemischen Binden einer Dicke einer Siliziumlegierung oder eines elementaren Siliziummaterials an die erste und die zweite Seitenwandflächen und an die erste und die zweite Basisflächen aufweist.
  5. Verfahren nach Anspruch 1, wobei das Entfernen und Bilden in einer aus einer Kammer für eine chemische Dampfablagerung (CVD) Kammer mit einem Batch mit ultrahohem Vakuum (UHV) CVD-Kammer, einer Kaltwand UHV CVD-Kammer, einer CVD-Kammer mit Niederdruck (LP), einer schnellen thermischen (RT) CVD-Kammer, einer CVD-Kammer mit reduziertem Druck (RP) einer CVD-Kammer mit atmosphärischem Druck (AP) und während einer Zeitdauer, während der die Kammer eine Temperatur zwischen 500 und 800 Grad Celsius hat und einem Druck zwischen 1E-4·133,32 Pa und 1000 133,32 Pa.
  6. Verfahren nach Anspruch 1, wobei das Entfernen das Bilden eines ersten Spitzenbereichs, der eine erste Facette mit einem Winkel von ungefähr 54,7 Grad in Bezug auf eine Bodenfläche der Gatterelektrode definiert, und eines zweiten Spitzenbereichs umfasst, der eine zweite Facette mit einem Winkel von etwa 54,7 Grad in Bezug auf die Bodenfläche definiert.
  7. Verfahren nach Anspruch 6, wobei die erste Facette und die zweite Facette Ebenen {1, 1, 1} entsprechend der üblichen Millerindexnomenklatur aufweisen.
  8. Verfahren nach Anspruch 6, wobei das Entfernen das Bilden der ersten Facette unter eine Bodenfläche eines Gatterdielektrikums, die zwischen der Gatterelektrode und einer oberen Oberfläche des Substrats gebildet ist diese berührend und Bilden der zweiten Facette unter der Bodenfläche des Gatterdielektrikums diese berührend aufweist.
  9. Verfahren nach Anspruch 6, wobei die erste Facette eine erste Spitze beinhaltet, die aus dem abgelagerten Material, das unter einer Bodenfläche eines Gatterdielektrikums, das zwischen der Gatterelektrode und der oberen Oberfläche des Substrats gebildet ist, abgelagert ist, und die zweite Facette eine zweite Spitze aufweist aus dem abgelagerten Material, das unter der Bodenfläche ausgebildet ist.
  10. Verfahren nach Anspruch 6, wobei das Substrat ein Material beinhaltet, das eines ist aus Silizium, polykristallinem Silizium und Einkristallsilizium, und wobei das Bilden aufweist das Bilden einer Dicke eines mit Bor dotiertem Siliziumgermaniums mit einem Gitterabstand, der größer ist als ein Gitterabstand des Substratmaterials und der mit Phosphor dotiertem Siliziumkohlenstofflegierung mit einem Gitterabstand der geringer ist als der Gitterabstand des Substratmaterials.
  11. Verfahren nach Anspruch 10, wobei das Bilden aufweist eines aus Formen einer ausreichenden Dicke aus mit Bor dotiertem Siliziumgermanium zur Verursachung einer Druckspannung in einem Kanal des Substrats zwischen der ersten Facette und der zweiten Facette und Bilden einer ausreichenden Dicke einer mit Phosphor dotierten Siliziumkohlenstofflegierung zur Verursachung einer Zugspannung in einem Kanal des Substrats zwischen der ersten Facette und der zweiten Facette.
  12. Transistor, hergestellt mit einem Verfahren nach einem der vorangehenden Ansprüche, mit: – einem Substrat; – einer Einheit auf dem Substrat mit: – einem ersten Übergangsbereich in einem Einkristallsilizium-substrat benachbart einer Gatterelektrode; – einem anderen zweiten Übergangsbereich in dem Substrat benachbart zu der Gatterelektrode; und – einer Gatterdielektrikumsschicht über einer oberen Oberfläche des Siliziumsubstrats zwischen dem ersten Übergangsbereich und dem zweiten Übergangsbereich; wobei eine erste Facette des ersten Übergangsbereichs benachbart zu der Gatterelektrode einen Winkel zwischen 52 Grad und 57 Grad in Bezug auf eine Bodenfläche des Gatterdielektrikums definiert und eine zweite Facette des zweiten Übergangsbereichs benachbart zu der Gatterelektrode einen Winkel zwischen 52 Grad und 57 Grad mit Bezug auf die Bodenfläche definiert.
  13. Transistor nach Anspruch 12, wobei der erste Übergangsbereich und der zweite Übergangsbereich eine Tiefe unter der oberen Oberfläche definieren; und – weiter mit einem Material, das in dem ersten Übergangsbereich und dem zweiten Übergangsbereich abgelagert ist, wobei das Material eine Fläche hat über der oberen Oberfläche um einen Abstand zwischen zehn und fünfzig Prozent der Tiefe.
  14. Verfahren nach Anspruch 1, wobei das Bilden der epitaxialen Schicht umfasst: – gleichzeitiges Bilden einer ersten epitaxialen Dicke aus einem kristallinen Material in dem ersten Übergangsbereich in einem Substrat, einer zweiten epitaxialen Dicke aus einem kristallinen Material in dem anderen zweiten Übergangsbereich in dem Substrat, dem ersten und dem zweiten Übergangsbereich benachbart einer Gatterelektrode und einer konformen Dicke aus amorphen Materials über die Gatterelektrode; und sodann – gleichzeitiges Entfernen einer Dicke des amorphen Materials und einer Dicke des kristallinen Materials.
  15. Verfahren nach Anspruch 14, wobei eine Rate des Bildens der gleichförmigen Dicke aus einem amorphen Material schneller ist als eine Rate des Bildens der ersten und der zweiten epitaxialen Dicke aus kristallinem Material und einer Rate des Entfernens der Dicke des kristallinen Materials langsamer ist als eine Rate des Entfernens der Dicke eines amorphen Materials.
  16. Verfahren nach Anspruch 14, wobei das gleichzeitige Entfernen das Entfernen der Dicke des amorphen Materials bis eine verbleibende horizontale Dicke des amorphen Materials dünner ist als eine verbleibende vertikale Dicke des kristallinen Materials aufweist.
  17. Verfahren nach Anspruch 14, wobei das gleichzeitige Entfernen das Entfernen des amorphen Materials bis eine verbleibende Dicke des amorphen Materials dünner ist als eine verbleibende vertikale Dicke des kristallinen Materials aufweist.
  18. Verfahren nach Anspruch 17, weiter mit Entfernen der verbleibenden Dicke des amorphen Materials.
  19. Verfahren nach Anspruch 14, wobei eine Oberfläche des Substrats eine obere Oberfläche des Substrats definiert und weiter das gleichzeitige Wiederholen des Bildens und gleichzeitigen Entfernens, bis eine Oberfläche des ersten Verbindungsbereichs und eine Oberfläche des zweiten Verbindungsbereichs oberhalb der oberen Oberfläche sind, aufweist.
  20. Verfahren nach Anspruch 14, weiter mit gleichzeitigem Wiederholen des Bildens und gleichzeitigem Entfernen zwischen fünf und zehnmal zur Bildung einer Dicke des kristallinen Materials zwischen 0,8 Nanometern und 1,4 Nanometern.
  21. Verfahren nach Anspruch 14, wobei das gleichzeitige Bilden und gleichzeitige Entfernen in einer Kammer zur chemischen Dampfablagerung (CVD), einer CVD-Kammer mit Ultrahochvakuum (UHV), einer Rapid Thermal (RT) CVD-Kammer, einer CVD-Kammer mit reduziertem Druck (RP) und ohne Aufheben des Verschlusses der Kammer erfolgt.
  22. Verfahren nach Anspruch 14, wobei das gleichzeitige Bilden und gleichzeitige Entfernen in derselben Kammer mit chemischer Dampfablagerung bei einer Temperatur zwischen 500 und 750 Grad Celsius unter einem Druck zwischen (12 und 18)·133,32 Pa erfolgt.
  23. Verfahren nach Anspruch 14, wobei das gleichzeitige Entfernen das Ätzen mit einem Chlorhydratsäuregas aufweist und wobei das gleichzeitige Bilden eine nicht-selektive chemische Dampfablagerung der kristallinen und amorphen Materialien durch Einführen von Trisilane und/oder Einführen von Monomethylsilane aufweist.
  24. Verfahren nach Anspruch 14, wobei das gleichzeitige Bilden das Deponieren einer ausreichenden epitaxialen Dicke aus einem kristallinen Material mit einem Gitterabstand unterschiedlich von einem Gitterabstand des Substratmaterials zur Verursachung einer Dehnung/Stauchung in dem Substratmaterial aufweist.
  25. Verfahren nach Anspruch 14, wobei das gleichzeitige Formen das Deponieren einer ausreichenden epitaxialen Dicke einer mit Phosphor dotierten Silizium-Kohlenstofflegierungsmaterial zur Verursachung einer Zugspannung in dem Substrat aufweist.
  26. Verfahren nach Anspruch 14, wobei die epitaxiale Dicke eines kristallinen Materials ein Siliziummaterial mit einer substitutionellen Kohlenstoffkonzentration zwischen 0,13 Prozent und 2,0 Prozent und eine Phosphorkonzentration zwischen 5E13 Atomen pro Kubikzentimeter (Atome/cm3) und 5E20 Atomen/cm3 aufweist.
  27. Verfahren nach Anspruch 14, wobei das gleichzeitige Entfernen das Entfernen der gleichförmigen Dicke eines amorphen Materials von einer ersten Seitenwandfläche des Substrats in der Nähe des ersten Verbindungsbereichs und von einer zweiten Seitenwandungsfläche des Substrats nahe dem zweiten Übergangsbereich aufweist und wobei das gleichzeitige Formen das Deponieren einer ausreichenden epitaxialen Dicke eines kristallinen phosphorigen Silizium-Kohlenstofflegierungsmaterials zum Füllen eines ersten Spitzenbereichs unmittelbar an der ersten Seitenwandfläche und einem zweiten Spitzenbereich unmittelbar an der zweiten Seitenfläche aufweist.
DE112006000151T 2005-01-04 2006-01-04 Herstellungsverfahren für CMOS Transistsorübergangsbereiche, die durch ein CVD Ätzen gebildet sind und eine Ablagerungsabfolge in ein und derselben Kammer Active DE112006000151B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/029,740 US7195985B2 (en) 2005-01-04 2005-01-04 CMOS transistor junction regions formed by a CVD etching and deposition sequence
US11/029,740 2005-01-04
PCT/US2006/000372 WO2006104529A2 (en) 2005-01-04 2006-01-04 Cmos transistor junction regions formed by a cvd etching and deposition sequence

Publications (2)

Publication Number Publication Date
DE112006000151T5 DE112006000151T5 (de) 2008-04-24
DE112006000151B4 true DE112006000151B4 (de) 2010-01-21

Family

ID=36293598

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112006000151T Active DE112006000151B4 (de) 2005-01-04 2006-01-04 Herstellungsverfahren für CMOS Transistsorübergangsbereiche, die durch ein CVD Ätzen gebildet sind und eine Ablagerungsabfolge in ein und derselben Kammer

Country Status (8)

Country Link
US (3) US7195985B2 (de)
JP (2) JP5145049B2 (de)
KR (1) KR20070100787A (de)
CN (3) CN105895531B (de)
DE (1) DE112006000151B4 (de)
GB (1) GB2437461B (de)
TW (1) TWI297927B (de)
WO (1) WO2006104529A2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019120692A1 (de) * 2019-07-31 2021-02-04 Infineon Technologies Ag Leistungshalbleitervorrichtung und Verfahren

Families Citing this family (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
JP4866534B2 (ja) * 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6949482B2 (en) 2003-12-08 2005-09-27 Intel Corporation Method for improving transistor performance through reducing the salicide interface resistance
WO2005116304A2 (en) * 2004-04-23 2005-12-08 Asm America, Inc. In situ doped epitaxial films
KR100642747B1 (ko) * 2004-06-22 2006-11-10 삼성전자주식회사 Cmos 트랜지스터의 제조방법 및 그에 의해 제조된cmos 트랜지스터
EP1896053B1 (de) * 2004-07-06 2018-10-31 ZymoGenetics, Inc. Pharmazeutische zusammensetzung enthaltend fgf-18 und einen il-1 antagonisten sowie verfahren zur verwendung derselben
US7438760B2 (en) * 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US7772062B2 (en) * 2005-02-08 2010-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. MOSFET having a channel mechanically stressed by an epitaxially grown, high k strain layer
JP4867176B2 (ja) * 2005-02-25 2012-02-01 ソニー株式会社 半導体装置の製造方法
JP4426988B2 (ja) * 2005-03-09 2010-03-03 富士通マイクロエレクトロニクス株式会社 pチャネルMOSトランジスタの製造方法
JP4757549B2 (ja) * 2005-06-24 2011-08-24 富士通セミコンダクター株式会社 高歪みmosトランジスタを含む半導体装置
US7544576B2 (en) * 2005-07-29 2009-06-09 Freescale Semiconductor, Inc. Diffusion barrier for nickel silicides in a semiconductor fabrication process
CN100442476C (zh) 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 用于cmos技术的应变感应迁移率增强纳米器件及工艺
JP2007129190A (ja) * 2005-10-05 2007-05-24 Elpida Memory Inc 誘電膜形成方法、及び半導体装置の製造方法
JP2009521801A (ja) * 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
JP4847152B2 (ja) * 2006-02-22 2011-12-28 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7364976B2 (en) * 2006-03-21 2008-04-29 Intel Corporation Selective etch for patterning a semiconductor film deposited non-selectively
US7410875B2 (en) * 2006-04-06 2008-08-12 United Microelectronics Corp. Semiconductor structure and fabrication thereof
KR100746622B1 (ko) * 2006-06-29 2007-08-08 주식회사 하이닉스반도체 모스 트랜지스터 제조방법
US8642413B2 (en) * 2006-09-14 2014-02-04 Intel Corporation Formation of strain-inducing films using hydrogenated amorphous silicon
US7554110B2 (en) * 2006-09-15 2009-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with partial stressor channel
US7943469B2 (en) * 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
US7750338B2 (en) * 2006-12-05 2010-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-SiGe epitaxy for MOS devices
JP5380827B2 (ja) 2006-12-11 2014-01-08 ソニー株式会社 半導体装置の製造方法
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
CN101226899A (zh) * 2007-01-19 2008-07-23 中芯国际集成电路制造(上海)有限公司 在硅凹陷中后续外延生长应变硅mos晶片管的方法和结构
US7528045B2 (en) * 2007-01-31 2009-05-05 United Microelectronics Corp. MOS transistor and manufacturing methods thereof
US7525161B2 (en) * 2007-01-31 2009-04-28 International Business Machines Corporation Strained MOS devices using source/drain epitaxy
US7732285B2 (en) * 2007-03-28 2010-06-08 Intel Corporation Semiconductor device having self-aligned epitaxial source and drain extensions
US7833883B2 (en) * 2007-03-28 2010-11-16 Intel Corporation Precursor gas mixture for depositing an epitaxial carbon-doped silicon film
US7553717B2 (en) * 2007-05-11 2009-06-30 Texas Instruments Incorporated Recess etch for epitaxial SiGe
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US20080283926A1 (en) * 2007-05-18 2008-11-20 Texas Instruments Incorporated Method for integrating silicon germanium and carbon doped silicon within a strained cmos flow
US8574979B2 (en) * 2007-05-18 2013-11-05 Texas Instruments Incorporated Method for integrating silicon germanium and carbon doped silicon with source/drain regions in a strained CMOS process flow
US20080293192A1 (en) * 2007-05-22 2008-11-27 Stefan Zollner Semiconductor device with stressors and methods thereof
US20090035911A1 (en) * 2007-07-30 2009-02-05 Willy Rachmady Method for forming a semiconductor device having abrupt ultra shallow epi-tip regions
US7745847B2 (en) * 2007-08-09 2010-06-29 United Microelectronics Corp. Metal oxide semiconductor transistor
CN101364545B (zh) * 2007-08-10 2010-12-22 中芯国际集成电路制造(上海)有限公司 应变硅晶体管的锗硅和多晶硅栅极结构
US7700452B2 (en) * 2007-08-29 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel transistor
US7776698B2 (en) * 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
KR100924549B1 (ko) * 2007-11-14 2009-11-02 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7994010B2 (en) * 2007-12-27 2011-08-09 Chartered Semiconductor Manufacturing Ltd. Process for fabricating a semiconductor device having embedded epitaxial regions
US8017489B2 (en) * 2008-03-13 2011-09-13 International Business Machines Corporation Field effect structure including carbon alloyed channel region and source/drain region not carbon alloyed
US20090242989A1 (en) * 2008-03-25 2009-10-01 Chan Kevin K Complementary metal-oxide-semiconductor device with embedded stressor
KR100971414B1 (ko) 2008-04-18 2010-07-21 주식회사 하이닉스반도체 스트레인드 채널을 갖는 반도체 소자 및 그 제조방법
KR101880838B1 (ko) 2008-08-04 2018-08-16 더 트러스티즈 오브 프린스턴 유니버시티 박막 트랜지스터용 하이브리드 유전 재료
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US8247285B2 (en) * 2008-12-22 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. N-FET with a highly doped source/drain and strain booster
US20100181626A1 (en) * 2009-01-21 2010-07-22 Jing-Cheng Lin Methods for Forming NMOS and PMOS Devices on Germanium-Based Substrates
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8071481B2 (en) 2009-04-23 2011-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming highly strained source/drain trenches
KR101050405B1 (ko) * 2009-07-03 2011-07-19 주식회사 하이닉스반도체 스트레인드채널을 갖는 반도체장치 제조 방법
CN102024761A (zh) * 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 用于形成半导体集成电路器件的方法
US8455859B2 (en) 2009-10-01 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8765556B2 (en) * 2009-12-23 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating strained structure in semiconductor device
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US7989298B1 (en) * 2010-01-25 2011-08-02 International Business Machines Corporation Transistor having V-shaped embedded stressor
KR101576529B1 (ko) 2010-02-12 2015-12-11 삼성전자주식회사 습식 식각을 이용한 실리콘 파셋트를 갖는 반도체 장치 및 제조방법
US8828850B2 (en) 2010-05-20 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
US9263339B2 (en) 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US9064688B2 (en) 2010-05-20 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Performing enhanced cleaning in the formation of MOS devices
US8405160B2 (en) * 2010-05-26 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-strained source/drain structures
US8236659B2 (en) 2010-06-16 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain feature profile for improving device performance and method of manufacturing same
US8492234B2 (en) 2010-06-29 2013-07-23 International Business Machines Corporation Field effect transistor device
US8216906B2 (en) 2010-06-30 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing integrated circuit device with well controlled surface proximity
JP5614184B2 (ja) * 2010-09-06 2014-10-29 富士通セミコンダクター株式会社 半導体装置の製造方法
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8569139B2 (en) 2010-10-27 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained source/drain structures
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8652945B2 (en) * 2011-02-08 2014-02-18 Applied Materials, Inc. Epitaxy of high tensile silicon alloy for tensile strain applications
JP5661523B2 (ja) * 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US8835266B2 (en) * 2011-04-13 2014-09-16 International Business Machines Corporation Method and structure for compound semiconductor contact
CN102789984B (zh) * 2011-05-18 2016-06-01 中国科学院微电子研究所 一种嵌入区的形成方法以及嵌入源漏的形成方法
US8999794B2 (en) * 2011-07-14 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned source and drain structures and method of manufacturing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8994082B2 (en) 2011-09-30 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors, methods of manufacturing thereof, and image sensor circuits with reduced RTS noise
US8507915B2 (en) * 2011-11-30 2013-08-13 International Business Machines Corporation Low resistance embedded strap for a trench capacitor
US20130193492A1 (en) * 2012-01-30 2013-08-01 International Business Machines Corporation Silicon carbon film structure and method
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
US8841190B2 (en) 2012-03-30 2014-09-23 The Institute of Microelectronics Chinese Academy of Science MOS device for making the source/drain region closer to the channel region and method of manufacturing the same
CN103367151B (zh) * 2012-03-30 2015-12-16 中国科学院微电子研究所 使源/漏区更接近沟道区的mos器件及其制作方法
US8847315B2 (en) 2012-05-07 2014-09-30 Qualcomm Incorporated Complementary metal-oxide-semiconductor (CMOS) device and method
CN103426768B (zh) * 2012-05-25 2016-08-10 中国科学院微电子研究所 半导体器件制造方法
US8916443B2 (en) * 2012-06-27 2014-12-23 International Business Machines Corporation Semiconductor device with epitaxial source/drain facetting provided at the gate edge
CN103545213B (zh) * 2012-07-16 2016-12-28 中国科学院微电子研究所 半导体器件及其制造方法
KR20140016008A (ko) 2012-07-30 2014-02-07 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8940594B2 (en) * 2012-12-24 2015-01-27 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device having v-shaped region
US9029912B2 (en) * 2013-01-11 2015-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor substructure having elevated strain material-sidewall interface and method of making the same
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9093514B2 (en) * 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
CN104064465B (zh) * 2013-03-21 2017-07-14 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN104064468B (zh) * 2013-03-21 2017-07-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10438856B2 (en) 2013-04-03 2019-10-08 Stmicroelectronics, Inc. Methods and devices for enhancing mobility of charge carriers
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US9947772B2 (en) 2014-03-31 2018-04-17 Stmicroelectronics, Inc. SOI FinFET transistor with strained channel
CN105448737A (zh) 2014-09-30 2016-03-30 联华电子股份有限公司 用以形成硅凹槽的蚀刻制作工艺方法与鳍式场效晶体管
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9978854B2 (en) * 2014-11-19 2018-05-22 United Microelectronics Corporation Fin field-effect transistor
US9859286B2 (en) * 2014-12-23 2018-01-02 International Business Machines Corporation Low-drive current FinFET structure for improving circuit density of ratioed logic in SRAM devices
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102311055B1 (ko) 2015-04-10 2021-10-12 어플라이드 머티어리얼스, 인코포레이티드 선택적 에피택셜 성장을 위한 성장률을 증강시키기 위한 방법
US9905475B2 (en) * 2015-06-09 2018-02-27 International Business Machines Corporation Self-aligned hard mask for epitaxy protection
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106611701A (zh) * 2015-10-27 2017-05-03 中微半导体设备(上海)有限公司 一种半导体器件的制备方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11538905B2 (en) 2016-09-30 2022-12-27 Intel Corporation Nanowire transistors employing carbon-based layers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
DE112017008314T5 (de) * 2017-12-29 2020-09-17 Intel Corporation Ferroelektrische gate-dielektrika in integrierten schaltungen
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
WO2019164522A1 (en) * 2018-02-26 2019-08-29 Industrial Heat, Llc Monitoring and controlling exothermic reactions using photon detection devices
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11309404B2 (en) * 2018-07-05 2022-04-19 Applied Materials, Inc. Integrated CMOS source drain formation with advanced control
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11088147B2 (en) 2019-06-26 2021-08-10 Micron Technology, Inc. Apparatus with doped surfaces, and related methods with in situ doping
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230245891A1 (en) * 2022-01-31 2023-08-03 Texas Instruments Incorporated Small grain size polysilicon engineering for threshold voltage mismatch improvement

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000030169A1 (en) * 1998-11-12 2000-05-25 Intel Corporation Field effect transistor structure with abrupt source/drain junctions
US6774000B2 (en) * 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3009979B2 (ja) 1993-07-05 2000-02-14 シャープ株式会社 半導体装置及びその製造方法
JP3394083B2 (ja) * 1994-03-04 2003-04-07 シャープ株式会社 半導体装置及びその製造方法
KR0135147B1 (ko) * 1994-07-21 1998-04-22 문정환 트랜지스터 제조방법
JP3761918B2 (ja) * 1994-09-13 2006-03-29 株式会社東芝 半導体装置の製造方法
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
JP2964895B2 (ja) * 1995-01-04 1999-10-18 日本電気株式会社 電界効果型トランジスタおよびその製造方法
JP2894283B2 (ja) * 1996-06-27 1999-05-24 日本電気株式会社 半導体装置の製造方法
JP3544833B2 (ja) * 1997-09-18 2004-07-21 株式会社東芝 半導体装置及びその製造方法
JPH11163343A (ja) * 1997-11-28 1999-06-18 Nec Corp 半導体装置およびその製造方法
JP3487541B2 (ja) * 1997-12-19 2004-01-19 株式会社東芝 電界効果型トランジスタの製造方法
US6159852A (en) * 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
JP4010724B2 (ja) * 1999-12-28 2007-11-21 株式会社東芝 半導体装置の製造方法
US6346732B1 (en) * 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
KR100307636B1 (ko) * 1999-10-07 2001-11-02 윤종용 올라간 구조의 소오스/드레인을 갖는 전계효과 트랜지스터 및 그 제조방법
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
JP2002025972A (ja) * 2000-07-04 2002-01-25 Asahi Kasei Microsystems Kk 半導体装置の製造方法
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US7473947B2 (en) * 2002-07-12 2009-01-06 Intel Corporation Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby
KR100508548B1 (ko) * 2003-04-16 2005-08-17 한국전자통신연구원 쇼트키 장벽 트랜지스터 및 그 제조방법
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
KR100547934B1 (ko) * 2004-08-20 2006-01-31 삼성전자주식회사 트랜지스터 및 그의 제조 방법
JP5203558B2 (ja) * 2004-08-20 2013-06-05 三星電子株式会社 トランジスタ及びこれの製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000030169A1 (en) * 1998-11-12 2000-05-25 Intel Corporation Field effect transistor structure with abrupt source/drain junctions
US6774000B2 (en) * 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019120692A1 (de) * 2019-07-31 2021-02-04 Infineon Technologies Ag Leistungshalbleitervorrichtung und Verfahren
US11652022B2 (en) 2019-07-31 2023-05-16 Infineon Technologies Ag Power semiconductor device and method

Also Published As

Publication number Publication date
US7812394B2 (en) 2010-10-12
CN105895531B (zh) 2020-03-10
JP2008533695A (ja) 2008-08-21
TW200634987A (en) 2006-10-01
US20070105331A1 (en) 2007-05-10
JP2012199557A (ja) 2012-10-18
GB0714625D0 (en) 2007-09-05
CN102282657A (zh) 2011-12-14
CN102709248A (zh) 2012-10-03
WO2006104529A3 (en) 2010-09-02
US7195985B2 (en) 2007-03-27
JP5145049B2 (ja) 2013-02-13
CN102709248B (zh) 2016-01-20
US20090039390A1 (en) 2009-02-12
CN102282657B (zh) 2016-06-01
CN105895531A (zh) 2016-08-24
US7479432B2 (en) 2009-01-20
KR20070100787A (ko) 2007-10-11
US20060148151A1 (en) 2006-07-06
WO2006104529A2 (en) 2006-10-05
TWI297927B (en) 2008-06-11
DE112006000151T5 (de) 2008-04-24
GB2437461A (en) 2007-10-24
JP5451812B2 (ja) 2014-03-26
GB2437461B (en) 2010-11-24

Similar Documents

Publication Publication Date Title
DE112006000151B4 (de) Herstellungsverfahren für CMOS Transistsorübergangsbereiche, die durch ein CVD Ätzen gebildet sind und eine Ablagerungsabfolge in ein und derselben Kammer
DE102018214400B4 (de) Herstellungsverfahren für einen Nanosheet-Transistor mit verbessertem inneren Abstandshalter
US8642434B2 (en) Structure and method for mobility enhanced MOSFETS with unalloyed silicide
DE102016100022B4 (de) CMOS-Vorrichtung mit vorgespanntem Nanodraht und Herstellungsverfahren
DE112004000146B4 (de) Verfahren zur Herstellung eines MOSFET-Bauelements mit zugspannungsverformtem Substrat
KR100822918B1 (ko) 회로 장치와 회로 장치 제조 방법
US7662689B2 (en) Strained transistor integration for CMOS
DE102014204114B4 (de) Transistor mit einer Gateelektrode, die sich rund um ein oder mehrere Kanalgebiete erstreckt, und Verfahren zu seiner Herstellung
DE10360000B4 (de) Abstandselement für eine Gateelektrode mit Zugspannung eines Transistorelements und ein Verfahren zur Herstellung
US20070196992A1 (en) In-situ doped silicon germanium and silicon carbide source drain region for strained silicon CMOS transistors
DE112017005474T5 (de) Vertikal-transport-fet-einheiten unter verwendung einer selektiven epitaxie bei niedriger temperatur
DE112008000974T5 (de) Durch Verformung verbesserte Halbleiterbauelemente und Verfahren zu deren Herstellung
DE102005020410A1 (de) Transistorstruktur und zugehöriges Herstellungsverfahren
DE112010000721T5 (de) Verfahren zur Herstellung von MOS-Bauelementen mit epitaktisch aufgewachsenen verspannungsinduzierenden Source- und Draingebieten
DE112007000760T5 (de) Struktur und Herstellungsverfahren für eine selektiv abgeschiedene Verkappungsschicht auf einem epitaxial aufgewachsenen Source-Drain
DE102021109107A1 (de) Gatestrukturen und verfahren zu deren ausbildung
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE102016113819B4 (de) Verfahren zur Herstellung eines Halbleiter-Bauelements
DE112021005960T5 (de) Finnenstapel mit zugverzerrten und druckverzerrten finnenteilen
DE102015100860A1 (de) Metallunempfindliche Epitaxiebildung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition