JP2894283B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP2894283B2
JP2894283B2 JP8167681A JP16768196A JP2894283B2 JP 2894283 B2 JP2894283 B2 JP 2894283B2 JP 8167681 A JP8167681 A JP 8167681A JP 16768196 A JP16768196 A JP 16768196A JP 2894283 B2 JP2894283 B2 JP 2894283B2
Authority
JP
Japan
Prior art keywords
gate electrode
film
side wall
insulating film
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP8167681A
Other languages
English (en)
Other versions
JPH1012879A (ja
Inventor
紀行 児玉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
Nippon Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Electric Co Ltd filed Critical Nippon Electric Co Ltd
Priority to JP8167681A priority Critical patent/JP2894283B2/ja
Priority to US08/883,818 priority patent/US6137149A/en
Publication of JPH1012879A publication Critical patent/JPH1012879A/ja
Application granted granted Critical
Publication of JP2894283B2 publication Critical patent/JP2894283B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体装置の製造方
法に関し、特に半導体基板の主面からせり上げられたソ
ース・ドレイン層を有するMOS型FETのゲート電極
側壁の形成方法に関する。
【0002】
【従来の技術】MOS集積回路の高速、高密度化には、
トランジスタの微細化は必須であるが、MOSトランジ
スタの微細化は短チャンネル効果や、パンチスルーの抑
制等の問題が深刻になっている。
【0003】この問題を解決するために、ソース・ドレ
イン層をシリコン基板上にせり上げる構造が、特開平2
−222153号公報等に提案されている。従来技術と
して典型的なせり上げ構造トランジスタの製造方法を図
3を用いて説明する。
【0004】まず図3(a)に示すように、シリコン基
板1A上に、素子分離のための局所酸化を行い、素子分
離層2を形成したのち、イオン注入によりN型不純物を
基板の深さ数μm程度の位置に導入して、850℃程度
の急速加熱法(RapidThermal annea
ling:RTA)により、活性化の熱処理を施して、
ウエル領域1Bを形成する。次にゲート酸化膜3を5n
m形成した後に、ゲート電極となる多結晶シリコン膜を
200nm堆積し、続いて酸化膜を50nm堆積後、パ
ターンニングしてゲート電極4、ゲート電極上の酸化膜
5Aを形成する。その後、窒化膜を20nm堆積後、プ
ラズマエッチング法でゲート側壁部以外の窒化膜を除去
して、第1のゲート電極側壁16Aを形成する。
【0005】次に図3(b)に示すように、フッ酸蒸気
処理して、シリコン基板1Aのソース・ドレイン領域の
自然酸化膜を除去した後に、大気にさらすことなく減圧
CVD装置中に導入し、800℃程度で、原料ガスとし
てジクロロシラン(SiCl2 2 )を用い、塩化水素
ガス(HCl)を混合して、シリコン酸化膜上にはシリ
コン膜が形成されないような条件で、シリコン表面が露
出している領域に選択的にエピタキシャル成長を行い、
せり上げられたソース・ドレイン層(せり上げ層)7A
を形成する。このとき、第1のゲート電極側壁16Aと
接する部分に、シリコン層が密着しない傾斜部分(以
降、ファセットと呼ぶ)8A、8Bが生じるので、これ
を埋め込むために、第2の側壁を再度形成する必要があ
る。ここで、8Aは(111)面、8Bは(311)、
(511)等の高指数の面である。
【0006】次に、酸化膜を40nm程度堆積した後
に、プラズマエッチング法で、第1のゲート電極側壁1
6Aに接する部分以外を除去して、第2のゲート電極側
壁16Bを形成してファセット8A、8Bの一部を埋め
込む。その後、ゲート電極4上の酸化膜5Aを除去した
後に、イオン注入の汚染防止用の膜として、5nm程度
酸化した後、イオン注入法により、p型ではBF2 を1
0〜20keV、n型ではヒ素を40〜60keVで、
せり上げられたソース・ドレイン層7A及びゲート電極
4内に導入し、1000℃程度のRTAにより不純物を
シリコン基板1A内及びゲート電極4の全体に拡散させ
るとともに、活性化を行い、ソース・ドレイン拡散層9
をシリコン基板内に形成する。
【0007】次に図3(c)に示すように、汚染防止酸
化膜を除去後、チタンを40nm程度スパッタして70
0℃程度のRTAにより、比較的高抵抗のチタンシリサ
イド膜(TiSi2 )10(10A、10B)を、せり
上げられたソース・ドレイン層7A及びゲート電極4上
に形成する。次でこの処理の際に形成される窒化チタン
や余剰チタン等のチタンシリサイド以外の層を選択的に
エッチングした後に、850℃程度のRTAにより、チ
タンシリサイド膜を低抵抗化して、低抵抗チタンシリサ
イド層10A、10Bとして、シリサイド化工程を完了
する。
【0008】その後、プラズマCVD法にて低温で層間
膜11を堆積し、コンタクト孔12を形成し、アルミ電
極13を形成して基本的なMOSトランジスタを完成さ
せる。
【0009】以上のような、せり上げ構造のトランジス
タの場合は、ソース・ドレイン拡散層9の接合深さxj
は、チャンネル形成領域の上面からpn接合までの深さ
なので、イオン注入法でシリコン基板1Aに形成できる
接合深さより、せり上げ層7Aの膜厚分だけ拡散層の接
合深さを浅くできるので、容易に浅い拡散層が形成でき
るという利点がある。つまり、従来の、イオン注入によ
る不純物導入、急速加熱法による活性化で100nmの
拡散層を形成した場合、せり上げ層7Aを50nmに設
定することにより、拡散層9の形成深さを50nm程度
にできるので、0.25μm以下の微細MOSトランジ
スタに対応した、拡散層が形成できるということにな
る。また、せり上げた部分だけ、ソース・ドレイン領域
のシート抵抗、コンタクト抵抗が高くなるのを防ぐこと
ができる等の効果がある。
【0010】
【発明が解決しようとする課題】図3(b)で示したよ
うに、シリコン膜の選択成長法をもちいて、せり上げ層
7Aを形成した場合、第1のゲート電極側壁6Aにせり
上げ層7Aが密着せず、ファセットが形成されることが
ある。拡散層9は、第2のゲート電極側壁形成後に、イ
オン注入法により形成されるので、拡散層9の形成深さ
は、第2ゲート電極側壁端部の、ファセット形状の影響
を受けていることになる。
【0011】シリコン膜の選択成長時のファセット形成
は、系全体の自由エネルギーが最小になるように、シリ
コン膜の最表面のシリコン原子がより自由エネルギーの
小さな面に移動することに起因する。この、表面自由エ
ネルギーは、シリコン酸化膜上(あるいはシリコン窒化
膜上)、(100),(110),(311),(11
1)面の順に小さいといわれている。せり上げ層7Aの
膜厚が厚くなると、シリコン原子が、ゲート電極側壁か
らより自由エネルギーの小さいシリコン表面(せり上げ
層表面)に拡散して、(111)面等を形成し、ゲート
電極側壁に接する膜厚を小さくして、系の自由エネルギ
ーを小さくするように成長が進むので、ファセットが形
成されることになる。
【0012】一旦ファセットが形成されると、以降はそ
れぞれの面の成長速度の関係で、ファセット形状が決定
される。この場合、(311)面の成長速度は他の面に
比べて遅いので、一旦、(311)面が形成されると
(311)面が広がり、ファセットが横方向に大きく広
がることになる。
【0013】例えば、ファセット形成が図3(b)の8
Aに示すような(111)面のみの場合は、ゲート電極
側壁となす角度が15度程度であるので、せり上げ層7
Aを60nm程度に設定すると横方向に、最大16nm
程度しか広がらないために、第2のゲート電極側壁16
Bを形成することにより比較的完全に埋め込みやすい。
しかしながら実際は、(311)面あるいは(511)
面が形成される場合が多く、この場合は、第1のゲート
電極側壁16Aから、100nm程度ファセットが広が
るので、ファセットを完全に埋め込むと第1のゲート電
極側壁下部の寄生抵抗等の問題が生じ、現実的ではな
い。また、(111)面だけ埋めようとすると、(31
1)、(511)面の形状が拡散層の形成深さに影響す
るという問題が起こる。
【0014】第1のゲート電極側壁に接する領域のファ
セット形状を制御する方法として、特開平5−1829
81号公報に示される方法がある。この方法は、図4に
示すように、第1のゲート電極側壁16Cを、ひさし状
あるいは逆テーパー状に形成した後に、この部分を埋め
込むように、選択エピタキシャル成長を施しせり上げ層
を形成する方法である。しかしながら、微細MOSトラ
ンジスタの製造においては、このような形状のゲート電
極側壁を制御性よく形成することは困難である。
【0015】このように従来の半導体装置の製造方法で
はファセット形状は完全には制御しきれず、ばらつきが
大きいために、このばらつきが拡散層の形成深さに大き
く影響し、製品の歩留まり低下及び特性の不安定性の原
因となるという問題点が生じる。
【0016】本発明の目的は、せり上げ層からの不純物
拡散を制御して、拡散層の形成領域のばらつきを低減す
ることにより、安定した特性を有し歩留まりの向上した
半導体装置及びその製造方法を提供することにある。
【0017】
【0018】
【課題を解決するための手段】 本発明 の半導体装置の製
造方法は、シリコン基板の主面にゲート絶縁膜を介して
ゲート電極を形成する工程と、前記ゲート電極表面を含
む全面に第1絶縁膜と第2絶縁膜を順次形成したのちエ
ッチバックし、前記ゲート電極の側面に第1絶縁膜と第
2絶縁膜とからなる側壁を形成する工程と、前記側壁の
第2絶縁膜を除去し前記第1絶縁膜からなるL字型のゲ
ート電極側壁を形成する工程と、前記ゲート電極側壁の
一部に接しソース・ドレイン形成領域の前記シリコン基
板上にシリコンからなるせり上げられたソース・ドレイ
ン層を選択的に形成する工程と、前記ソース・ドレイン
層に不純物を導入したのち熱処理し前記シリコン基板に
ソース・ドレイン拡散層を形成する工程とを含むことを
特徴とするものである。
【0019】
【発明の実施の形態】次に本発明について図面を用いて
説明する。図1(a)〜(c)は本発明の第1の実施の
形態を説明する為の半導体チップの断面図である。
【0020】まず図1(a)に示すように、図3で説明
した従来例と同様に操作しゲート電極までを形成する。
すなわち、シリコン基板1A上に素子分離層2を局所酸
化法により形成し、イオン注入法により、N型不純物を
導入後、820℃程度で熱処理を施し、不純物の活性化
及び結晶欠陥の回復を行いウエル領域1Bを形成する。
次にゲート酸化膜3を5nm形成後、多結晶シリコン膜
を150nm、酸化シリコン膜(酸化膜)5Aを100
nm順次堆積する。
【0021】その後、レジスト膜を塗布、露光してゲー
ト電極パターンを形成し、プラズマエッチング法によ
り、酸化膜及び多結晶シリコン膜をエッチングし、ゲー
ト電極4及びゲート電極上の酸化膜5Aを形成後、レジ
スト膜を除去する。その後、第1絶縁膜として窒化シリ
コン膜(窒化膜)6を5nm堆積後、第2絶縁膜として
酸化膜5Bを30nm堆積した後に、プラズマエッチン
グ法によりゲート電極側面部以外の窒化膜及び酸化膜を
除去し、ゲート電極4の側面に窒化膜6と酸化膜5Bか
らなる側壁を形成する。
【0022】次に図1(b)に示すように、フッ酸によ
り、ゲート電極側壁の酸化膜5Bを選択的に除去し窒化
膜からなるL字型ゲート電極側壁6Aを形成する。次で
シリコン基板1Aをフッ酸蒸気処理して自然酸化膜を除
去した後に、大気にさらすことなく減圧CVD装置に導
入し、原料ガスとしてジクロロシランを用い、HClを
混入して選択成長を可能にし、成長温度800℃程度
で、シリコン基板上に50nmのエピタキシャル膜を形
成して、せり上げられたソース・ドレイン層(せり上げ
層)7Aとする。
【0023】この際に、せり上げ層7AとL字型ゲート
電極側壁6Aとが接する部分は、5nm程度と非常に薄
いので、この範囲で、ファセットが形成される可能性は
きわめて小さくなる。エピタキシャル膜厚が5nmを越
えると、成長時に酸化膜や窒化膜と接する部分がなくな
るので、自由エネルギーの増加を抑えることができ、形
成される面としては、膜厚50nm程度であれば、(1
10)、(111)面が形成されるだけで、(31
1)、(511)面の形成は押さえられる、成長条件の
幅が広くなる。
【0024】次に図1(c)に示すように、全面に酸化
膜5Cを堆積したのちプラズマエッチング法によりエッ
チングし第2のゲート電極側壁を20nm程度形成して
せり上げ層7Aの側面を埋める。次でイオン注入によ
り、BF2 を、加速電圧20keV、ドース量2x10
15atoms/cm2 程度注入して、1000℃程度で
RTA処理し、ボロンを活性化させると同時に下地基板
方向に拡散させて、ソース・ドレイン拡散層9を形成す
る。その後は、従来例と同様の工程を経て、MOS型ト
ランジスタを完成させる。
【0025】このように第1の実施の形態によれば、薄
膜のL字型ゲート電極側壁を持つので、ファセットが存
在しないせり上げ構造のソース・ドレイン層を安定して
製造できる。従って、安定した深さのソース・ドレイン
拡散層9を形成できるので、安定した特性を有する微細
MOSトランジスタが歩留まりよく製造できる。
【0026】図2(a),(b)は本発明の第2の実施
の形態を説明する為の半導体チップの断面図である。
【0027】まず図2(a)に示すように、第1の実施
の形態と同様に操作し、シリコン基板1A上に素子分離
層2、ウエル領域1B、ゲート酸化膜3、ゲート電極
4、酸化膜5A及びL字型ゲート電極側壁6Aを形成す
る。L字型ゲート電極側壁6A形成後、フッ酸蒸気処理
して自然酸化膜を除去し、次で大気にさらすことなく減
圧CVD炉に入炉して、ジシラン(Si2 6 )を原料
ガスとして、酸化膜上に非晶質シリコンが堆積される条
件で、40nm程度堆積した。
【0028】このとき、シリコン基板に接する領域で
は、エピタキシャル成長した。一方、絶縁膜上では非晶
質シリコン膜が形成された。ゲート電極側壁近傍では窒
化膜(L字型ゲート電極側壁)6Aを境界に非晶質シリ
コン膜7Cと結晶シリコン膜7Bの境界が斜めになり、
ファセット8Cが形成される。次でこのシリコン基板
に、イオン注入法によりBF2 を、加速電圧10keV
でドース量3×1015atoms/cm2 程度注入す
る。
【0029】次に図2(b)に示すように、550℃の
熱処理により、シリコン基板をシードとして横方向成長
により、非晶質シリコン膜7Cの一部を結晶化する。こ
の横方向成長では、非晶質シリコン膜7Cと結晶シリコ
ン膜7Bの界面(ファセット8D)は、L字型窒化膜側
壁上に届く条件とする。その後、非晶質シリコン膜7C
をフッ酸、硫酸、酢酸の混合液で選択的に除去した後
に、RTA法により、ボロンを下地シリコン基板へ拡散
し活性化して、ソース・ドレイン拡散層9を形成する。
【0030】その後は図示していないが、従来のトラン
ジスタの製造方法と同様に、第2のゲート電極側壁形
成、層間膜形成、コンタクト孔開口及びアルミ配線を形
成して、MOS型トランジスタを完成させる。
【0031】本第2の実施の形態でのせり上げ構造トラ
ンジスタでは、L字型ゲート電極側壁6Aの、シリコン
基板に接する部分が、ボロンの拡散を妨げるために、ゲ
ート端から拡散層までの距離は、ファセット8Dの形状
にはよらず、L字型ゲート電極側壁6Aの底部の長さに
よって決定される。すなわち、せり上げ層7Bのゲート
端部の形状によらないので安定した形状の拡散層が形成
できる。
【0032】尚、上記各実施の形態においては、第1の
絶縁膜として窒化膜を用いたが、これはCVD法により
シリコン膜を形成する前処理としてフッ酸蒸気により自
然酸化膜を除去する工程や非晶質シリコン膜等の除去工
程がある為である。又第2の絶縁膜としては酸化膜を用
いたが、窒化膜とのエッチングの選択比が大きいもので
あればよく、PSG膜やBPSG膜等を用いることがで
きる。
【0033】
【発明の効果】以上述べたように本発明では、ゲート電
極側壁を薄膜の絶縁膜でL字型に形成している為、ソー
ス・ドレイン層のせり上げ工程において、選択エピタキ
シャル成長時に、ゲート電極側壁部とシリコン層が接す
る部分を低減でき、ファセット形成を防止できるので、
安定した特性の微細な半導体装置を歩留まりよく製造で
きるという効果がある。
【0034】また、固相エピタキシャル法を用いたせり
上げ工程では、L字型ゲート電極側壁の底部が不純物の
拡散を妨げるために、ソース・ドレイン拡散層が、ファ
セット形状に存在することなく安定した形状で形成でき
るという効果がある。
【図面の簡単な説明】
【図1】本発明の第1の実施の形態を説明する為の半導
体チップの断面図。
【図2】本発明の第2の実施の形態を説明する為の半導
体チップの断面図。
【図3】従来の半導体装置の製造方法を説明する為の半
導体チップの断面図。
【図4】従来の他の半導体装置の製造方法を説明する為
の半導体チップの断面図。
【符号の説明】
1A シリコン基板 1B ウエル領域 2 素子分離層 3 ゲート酸化膜 4 ゲート電極 5A,5B 酸化膜 6 窒化膜 6A L字型ゲート電極側壁 7A せり上げ層 7B 結晶シリコン膜 7C 非晶質シリコン膜 8A〜8D ファセット 9 ソース・ドレイン拡散層 10A チタンシリサイド膜 11 層間膜 12 コンタクト孔 13 アルミ電極 16A〜16C ゲート電極側壁

Claims (2)

    (57)【特許請求の範囲】
  1. 【請求項1】 シリコン基板の主面にゲート絶縁膜を介
    してゲート電極を形成する工程と、前記ゲート電極表面
    を含む全面に第1絶縁膜と第2絶縁膜を順次形成したの
    ちエッチバックし、前記ゲート電極の側面に第1絶縁膜
    と第2絶縁膜とからなる側壁を形成する工程と、前記側
    壁の第2絶縁膜を除去し前記第1絶縁膜からなるL字型
    のゲート電極側壁を形成する工程と、前記ゲート電極側
    壁の一部に接しソース・ドレイン形成領域の前記シリコ
    ン基板上にシリコンからなるせり上げられたソース・ド
    レイン層を選択的に形成する工程と、前記ソース・ドレ
    イン層に不純物を導入したのち熱処理し前記シリコン基
    板にソース・ドレイン拡散層を形成する工程とを含むこ
    とを特徴とする半導体装置の製造方法。
  2. 【請求項2】 第1絶縁膜は窒化シリコン膜である請求
    記載の半導体装置の製造方法。
JP8167681A 1996-06-27 1996-06-27 半導体装置の製造方法 Expired - Fee Related JP2894283B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP8167681A JP2894283B2 (ja) 1996-06-27 1996-06-27 半導体装置の製造方法
US08/883,818 US6137149A (en) 1996-06-27 1997-06-27 Semiconductor device having raised source-drains and method of fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8167681A JP2894283B2 (ja) 1996-06-27 1996-06-27 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH1012879A JPH1012879A (ja) 1998-01-16
JP2894283B2 true JP2894283B2 (ja) 1999-05-24

Family

ID=15854259

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8167681A Expired - Fee Related JP2894283B2 (ja) 1996-06-27 1996-06-27 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US6137149A (ja)
JP (1) JP2894283B2 (ja)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251763B1 (en) * 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
JP3544833B2 (ja) * 1997-09-18 2004-07-21 株式会社東芝 半導体装置及びその製造方法
US6180472B1 (en) 1998-07-28 2001-01-30 Matsushita Electrons Corporation Method for fabricating semiconductor device
US6274479B1 (en) * 1998-08-21 2001-08-14 Micron Technology, Inc Flowable germanium doped silicate glass for use as a spacer oxide
JP2000216235A (ja) * 1999-01-26 2000-08-04 Toshiba Corp 半導体集積回路装置およびその製造方法
KR100518525B1 (ko) * 1999-02-18 2005-10-04 삼성전자주식회사 기생커패시턴스를 줄일 수 있는 전계효과 트랜지스터 및 그 제조방법
KR100332106B1 (ko) * 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 제조 방법
US6319783B1 (en) * 1999-11-19 2001-11-20 Chartered Semiconductor Manufatcuring Ltd. Process to fabricate a novel source-drain extension
KR100341182B1 (ko) * 1999-11-30 2002-06-20 윤종용 반도체소자의 모스 트랜지스터 형성방법
TW497120B (en) * 2000-03-06 2002-08-01 Toshiba Corp Transistor, semiconductor device and manufacturing method of semiconductor device
JP3492973B2 (ja) * 2000-03-30 2004-02-03 株式会社東芝 半導体装置の製造方法
US6399450B1 (en) * 2000-07-05 2002-06-04 Advanced Micro Devices, Inc. Low thermal budget process for manufacturing MOS transistors having elevated source and drain regions
JP2002198525A (ja) 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6787424B1 (en) 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
US6756277B1 (en) 2001-02-09 2004-06-29 Advanced Micro Devices, Inc. Replacement gate process for transistors having elevated source and drain regions
US6551885B1 (en) 2001-02-09 2003-04-22 Advanced Micro Devices, Inc. Low temperature process for a thin film transistor
US6495437B1 (en) 2001-02-09 2002-12-17 Advanced Micro Devices, Inc. Low temperature process to locally form high-k gate dielectrics
KR100487527B1 (ko) * 2001-07-27 2005-05-03 삼성전자주식회사 높여진 소오스/드레인을 갖는 반도체 장치 및 그 제조방법
US7002223B2 (en) 2001-07-27 2006-02-21 Samsung Electronics Co., Ltd. Semiconductor device having elevated source/drain
JP2003258248A (ja) * 2002-03-05 2003-09-12 Mitsubishi Electric Corp 半導体装置の製造方法
US6774000B2 (en) 2002-11-20 2004-08-10 International Business Machines Corporation Method of manufacture of MOSFET device with in-situ doped, raised source and drain structures
US20050045949A1 (en) * 2003-08-28 2005-03-03 Chun-Chieh Lin Ultra-thin body transistor with recessed silicide contacts
DE10351006B4 (de) * 2003-10-31 2010-01-21 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Transistors mit erhöhten Drain- und Source-Gebieten, wobei eine reduzierte Anzahl von Prozessschritten erforderlich ist
JP3802530B2 (ja) * 2003-12-12 2006-07-26 株式会社東芝 半導体装置及びその製造方法
US7312125B1 (en) 2004-02-05 2007-12-25 Advanced Micro Devices, Inc. Fully depleted strained semiconductor on insulator transistor and method of making the same
US7208803B2 (en) * 2004-05-05 2007-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a raised source/drain and a semiconductor device employing the same
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
JP4274566B2 (ja) * 2005-04-25 2009-06-10 エルピーダメモリ株式会社 半導体装置の製造方法
US7528065B2 (en) * 2006-01-17 2009-05-05 International Business Machines Corporation Structure and method for MOSFET gate electrode landing pad
US7598572B2 (en) * 2006-10-25 2009-10-06 International Business Machines Corporation Silicided polysilicon spacer for enhanced contact area
KR100858883B1 (ko) 2007-03-16 2008-09-17 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
JP4503627B2 (ja) * 2007-03-29 2010-07-14 Okiセミコンダクタ株式会社 半導体装置及びその製造方法
JP5630939B2 (ja) * 2007-07-11 2014-11-26 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体装置及びその製造方法
US8921190B2 (en) 2008-04-08 2014-12-30 International Business Machines Corporation Field effect transistor and method of manufacture
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US9537004B2 (en) 2011-05-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain formation and structure
US8853862B2 (en) * 2011-12-20 2014-10-07 International Business Machines Corporation Contact structures for semiconductor transistors
JP5956809B2 (ja) * 2012-04-09 2016-07-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9012310B2 (en) 2012-06-11 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation of source and drain regions
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US9252008B2 (en) 2013-01-11 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9093468B2 (en) 2013-03-13 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
US8877592B2 (en) 2013-03-14 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of doped film for source and drain regions
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery
JP2015228418A (ja) * 2014-05-30 2015-12-17 ルネサスエレクトロニクス株式会社 半導体集積回路装置およびその製造方法
JP6220416B2 (ja) * 2016-04-28 2017-10-25 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5198378A (en) * 1988-10-31 1993-03-30 Texas Instruments Incorporated Process of fabricating elevated source/drain transistor
US4951100A (en) * 1989-07-03 1990-08-21 Motorola, Inc. Hot electron collector for a LDD transistor
US5153145A (en) * 1989-10-17 1992-10-06 At&T Bell Laboratories Fet with gate spacer
JPH03166735A (ja) * 1989-11-27 1991-07-18 Hitachi Ltd 半導体装置およびその製造方法
US5168072A (en) * 1990-10-12 1992-12-01 Texas Instruments Incorporated Method of fabricating an high-performance insulated-gate field-effect transistor
KR100274555B1 (ko) * 1991-06-26 2000-12-15 윌리엄 비. 켐플러 절연 게이트 전계 효과 트랜지스터 구조물 및 이의 제조 방법
US5214295A (en) * 1992-01-28 1993-05-25 Micron Technology, Inc. Thin film field effect transistor, CMOS inverter, and methods of forming thin film field effect transistors and CMOS inverters
US5213990A (en) * 1992-04-01 1993-05-25 Texas Instruments, Incorporated Method for forming a stacked semiconductor structure
JP3180485B2 (ja) * 1992-12-26 2001-06-25 株式会社デンソー 半導体装置および半導体装置の製造方法
JP3009979B2 (ja) * 1993-07-05 2000-02-14 シャープ株式会社 半導体装置及びその製造方法
KR960006081A (ko) * 1994-07-13 1996-02-23 가나이 쓰토무 반도체 장치
JP2964925B2 (ja) * 1994-10-12 1999-10-18 日本電気株式会社 相補型mis型fetの製造方法
US5472896A (en) * 1994-11-14 1995-12-05 United Microelectronics Corp. Method for fabricating polycide gate MOSFET devices
US5545579A (en) * 1995-04-04 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of fabricating a sub-quarter micrometer channel field effect transistor having elevated source/drain areas and lightly doped drains
US5518945A (en) * 1995-05-05 1996-05-21 International Business Machines Corporation Method of making a diffused lightly doped drain device with built in etch stop
US5650347A (en) * 1995-07-26 1997-07-22 Lg Semicon Co., Ltd. Method of manufacturing a lightly doped drain MOS transistor

Also Published As

Publication number Publication date
US6137149A (en) 2000-10-24
JPH1012879A (ja) 1998-01-16

Similar Documents

Publication Publication Date Title
JP2894283B2 (ja) 半導体装置の製造方法
CN101604691B (zh) 半导体器件和半导体器件的制造方法
US20090233411A1 (en) Semiconductor device and method of manufacturing semiconductor device
US6051473A (en) Fabrication of raised source-drain transistor devices
JP2000091578A (ja) 垂直トランジスタの作製プロセス
JPH1027908A (ja) コバルトシリサイドの薄い層が形成されるデバイスの作製プロセス
JP2877104B2 (ja) 半導体装置の製造方法
JP2000223703A (ja) 半導体装置及びその製造方法
JPH09283440A (ja) 選択エピタキシャル膜の形成方法
JP3149414B2 (ja) 浅い接合部を有する半導体デバイスを製作する方法
US5846869A (en) Method of manufacturing semiconductor integrated circuit device
US5973372A (en) Silicided shallow junction transistor formation and structure with high and low breakdown voltages
US5998273A (en) Fabrication of semiconductor device having shallow junctions
JP4010724B2 (ja) 半導体装置の製造方法
US5998248A (en) Fabrication of semiconductor device having shallow junctions with tapered spacer in isolation region
US6221760B1 (en) Semiconductor device having a silicide structure
JP4745187B2 (ja) 半導体装置の製造方法
KR100495284B1 (ko) 반도체장치의제조방법
JP4417808B2 (ja) 半導体装置の製造方法
EP0287318B1 (en) Integrated transistor and manufacturing process therefor
JP2000269500A (ja) 半導体装置の製造方法
JP2000311861A (ja) 半導体膜の選択成長方法および半導体装置の製造方法
JPH10303418A (ja) 半導体装置の製造方法
JP3187314B2 (ja) 半導体装置の製造方法
KR0161384B1 (ko) 얇은 접합을 갖는 반도체 장치의 제조방법

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19990202

LAPS Cancellation because of no payment of annual fees