JP2000091578A - 垂直トランジスタの作製プロセス - Google Patents

垂直トランジスタの作製プロセス

Info

Publication number
JP2000091578A
JP2000091578A JP11240663A JP24066399A JP2000091578A JP 2000091578 A JP2000091578 A JP 2000091578A JP 11240663 A JP11240663 A JP 11240663A JP 24066399 A JP24066399 A JP 24066399A JP 2000091578 A JP2000091578 A JP 2000091578A
Authority
JP
Japan
Prior art keywords
layer
silicon
semiconductor
dopant
plug
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11240663A
Other languages
English (en)
Other versions
JP3506965B2 (ja
Inventor
Michael Hagenroser John
マイケル ハーゲンロザー ジョン
Donald P Monroe
ポール モンロー ドナルド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia of America Corp
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Publication of JP2000091578A publication Critical patent/JP2000091578A/ja
Application granted granted Critical
Publication of JP3506965B2 publication Critical patent/JP3506965B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors

Abstract

(57)【要約】 (修正有) 【課題】 垂直トランジスタの作製プロセスを提供す
る。 【解決手段】 材料の少くとも3つの層が基板上に形成
された後、層中に窓又は溝が形成される。シリコン基板
中にソース又はドレイン領域の1つが形成されるシリコ
ン基板100の表面で、窓は終端する。次に、窓又は溝
は半導体材料で満される。この半導体プラグは、トラン
ジスタの垂直チャネルになる。従って、プラグ中にソー
ス延長部、ドレイン延長部及びチャネル領域を形成する
ために、結晶半導体プラグはドープされる。続く工程
で、垂直チャネルの最上部上に、ソース又はドレインの
他方を形成し、犠牲となる第2の材料層を除去する。次
に、ドープされた半導体プラグの露出された部分上に、
デバイスのゲート誘電体が形成される。次に、ゲート電
極を堆積させる。得られたデバイスの物理的なゲート長
は、第2の材料層の堆積させた厚さに対応する。

Description

【発明の詳細な説明】
【0001】
【本発明の背景】本発明は垂直トランジスタの作製プロ
セスに係る。
【0002】
【技術背景】集積回路において、単位面積当りのデバイ
スの数を増すために、デバイス密度をより高くする傾向
がある。デバイス密度は個々のデバイスをより小さく作
り、かつ相互により近接して置くことによって増大す
る。デバイス寸法(形状寸法又は設計ルールとよばれ
る)は0.25μmから0.18μm、更にそれより小
さく減少しつつある。また、同じ大きさでデバイス間の
距離を減すことが望ましい。
【0003】現在、ほとんどのMOS(金属−酸化物−
半導体)トランジスタは、平坦な形状をもつ。プレーナ
MOSデバイスにおいて、電流の方向は基板表面と平行
である。デバイス密度を増大させるため、これらデバイ
スの大きさを減少させる必要はあるが、これらの小さな
デバイスを作製することは、次第に難しくなりつつあ
る。特に、放射感受性材料中にパターン像を描画するた
めに用いる放射の波長より、デバイスの寸法が小さくな
ると、リソグラフィは極めて難しくなる。
【0004】タカト・エイチ(Takato H)らに
より、“超高密度LSI用ゲート包囲トランジスタ(S
GT)のインパクト”アイ・イーイーイー・トランスア
クションズ・オン・エレクトロン・デバインズ(IEE
E Transactions on Electro
n Devices)第38(3)巻,573−577
(1991)に述べられている垂直デバイス形態は、よ
り空間を使うデバイス形態を提案している。そのデバイ
スの概略が図1に示されている。デバイス10はソース
15、ドレイン20、及びチャネル25をもつ、チャネ
ル25の長さは、上にデバイスが形成されている基板3
0の表面に垂直である。チャネルの長さが基板表面に垂
直であるため、このデバイスは垂直トランジスタと呼ば
れる。ゲート35はチャネル25を囲む。
【0005】垂直MOSFET(金属−酸化物−半導体
電界効果トランジスタ)はプレーナMOSFETより、
より高密度につめ込むことはできるが、垂直トランジス
タのプロセスは平易ではない。従って、垂直MOSFE
Tの作製をより容易に、かつより効率良くするプロセス
が、望まれる。
【0006】
【本発明の要約】本発明は垂直MOSFETの作製プロ
セスに係る。このプロセスにおいて、たとえばシリコン
窒化物(SiO34 )のような電気的に絶縁性の材料
の第1層が、半導体基板上に形成される。適当な半導体
基板の例には、シリコン基板及び絶縁性基板上のシリコ
ン(SOI)が含まれる。シリコン基板の表面領域は高
濃度ドープされている(すなわち、ドーパント濃度は1
×1019原子/cm3 を越える)。絶縁性材料の第1の
層は、約25nmないし約250nmの範囲の厚さを有
する。第1の層の厚さは、ゲートと(ソース又はドレイ
ンのいずれが基板上に形成されるかに依存して)ソース
又はドレイン間のゲート−ソース容量(Cgs)が十分
低くなるように選択される。この考えによると、上述の
範囲のより高い部分内の厚さが好ましい。第1の層の厚
さはまた、ソース/ドレイン延長部の直列抵抗が十分低
く、かつ基板の高濃度ドープ領域から外方拡散により、
ソース/ドレイン延長部が容易に形成されるように選択
される。これらの考えによると、上述の範囲の低い方の
部分内の厚さが好ましい。当業者は、具体的な実施例に
適用される他の考察とともに、上述の考察に基いて、適
切な厚さを選択することができる。
【0007】材料の第1層の上に、材料の第2層が形成
される。しかし、第2の層の材料(たとえば、二酸化シ
リコン(SiO2 ))は、選択されたエッチャントに対
し、第1の層の絶縁性材料とは著しく異なるエッチング
抵抗をもつ。具体的には、選択されたエッチャントに対
し、第2の層の材料のエッチング速度は、第1の層の絶
縁性材料のエッチング速度より、はるかに高い。もし、
選択されたエッチャント中の材料の第2の層のエッチン
グ速度が、材料の第1の層のエッチング速度の少くとも
約10倍であると有利である。選択されたエッチャント
に対し、第2の層の材料のエッチング速度は、中にデバ
イスのチャネルが形成される半導体材料のエッチング速
度より、少くとも10倍速い、結晶シリコンはそのよう
な半導体材料の一例である。第2の層の材料のエッチン
グ速度が、半導体材料のエッチング速度より、少くとも
100倍速いと有利である。
【0008】材料の第2の層の厚さは、デバイスの物理
的なゲート長を規定するように選択される。それはこの
第2の層が犠牲になるもの、すなわちそれは除去され、
この層により規定される空間中にデバイスのゲートが形
成されるものだからである。この方式でゲート長を規定
すると、従来のリソグラフィ技術を用いて得られるよ
り、はるかに良いゲート長制御が行える。
【0009】第2の層上に、材料の第3の層が形成され
る。第3の層に選択される材料は、電気的に絶縁性の材
料である。もし、第3の層中の絶縁性材料が、第2の層
の材料より、選択されたエッチャント中で、より低いエ
ッチング速度をもつなら、有利である。もし、選択され
たエッチャント中における第3の材料層中の材料のエッ
チング速度に対する第2の層中の材料のエッチング速度
の比が、少くとも1対10であると有利である。プロセ
スの容易さの点から、もし第1の層の材料が第3の層の
材料と同じであると有利である。
【0010】次に、窓又は溝(以後簡単に窓と呼ぶ)が
三層構造を貫いて、シリコン基板の高濃度ドープ表面ま
でエッチングされる。窓の寸法は具体的なデバイスにつ
いての寸法上の制約及び窓を形成するために用いられる
リソグラフィ技術の限界により決る。窓は従来のリソグ
ラフィ技術を用いて形成される。具体的には、エネルギ
ーで規定可能な材料の層を形成することにより、三層構
造上にマスクが形成され、エネルギーで規定可能な材料
層中に、パターンの像を導入する。次にパターンが現像
され、マスクを通して露出された三層構造の部分のみ
が、所望の窓又は溝の寸法及び位置に対応する部分であ
る。次に三層構造中に窓がエッチングされる。窓がエッ
チングされた後、基板表面上に残るマスクの部分が、当
業者には周知の従来の手段を用いて除去される。
【0011】次に、窓を半導体材料で満す。半導体材料
が結晶、多結晶又はアモルファスであるが、典型的な場
合、半導体材料はシリコン、シリコン−ゲルマニウム又
はシリコン−ゲルマニウム−カーボンといった結晶材料
である。結晶半導体材料はドープされるか、されない。
窓内に結晶半導体材料を形成する技術は、当業者には良
く知られている。たとえば、一技術において、結晶材料
は窓又は溝内に、エピタキシャルに形成される。別の実
施例において、半導体材料のアモルファス層が、基板上
に形成されるが、窓内に堆積した半導体材料及び窓内の
最上部上における小さなプラグを除いて全て除去され
る。次に、材料を結晶化させるために、アモルファス半
導体材料をアニールする(固相エピタキシー)。
【0012】デバイスのチャネル及びデバイスのソース
及びドレイン延長部が、窓内に形成された半導体(たと
えばシリコン)プラグ中に形成される。従って、シリコ
ンプラグはある領域中で、ドープされる。シリコンプラ
グをドープするための各種の方法が適当と考えられる。
一実施例において、プラグが形成された後の注入又は別
の適当な方法により、ドープされたシリコンプラグは成
長中、その場形成される。ソース及びドレイン延長部を
形成するために、1ないし複数の隣接した材料の複数の
層(すなわち、結晶半導体を満した窓が中に形成される
材料の複数の層)から、プラグ中に相対する形のドーパ
ントを追いやることができる。この技術は、固相拡散と
して知られる。固相拡散において、ドープされた酸化物
(たとえば二酸化シリコン)が、ドーパント源として用
いられる。二酸化シリコンには、所望のドーパント(た
とえばひ素、リン、ホウ素)がドープされる。高温にお
いて、ドーパントは隣接した結晶半導体材料中に、ドー
プされた酸化物から追いやられる。ドープされる領域が
プラグとドーパント源として用いられる材料の層間の界
面により規定されるため、この技術は有利である。この
技術により、自己整合したソース/ドレイン延長部(す
なわち、ゲートに整合したソース及びドレイン延長部領
域)の形成が可能になる。
【0013】当業者は化学気相堆積を通して材料層が形
成されるのと同時に、ドーパントが導入される方式を良
く知っており、そのような技術については、ここで詳細
に述べない。一般に、ドーパントは材料の堆積中の適当
な点で、雰囲気中に導入され、それによってドーパント
はシリコンプラグ中の所望の場所に、所望の濃度で存在
する。他の実施例において、チャネルが形成された後、
又は高濃度ドープ基板からプラグの底部中に拡散した
後、ドーパントがチャネル中に注入される。
【0014】ドープシリコン(又は他の半導体)プラグ
が形成された後、材料の第4の層が、基板上に形成され
る。材料の層は選択されたエッチング手段中で、エッチ
ング抵抗を有し、第1及び第3の材料の層のエッチング
抵抗と整合する。プロセスの考察から、材料のこの層は
第3の材料層と同じであると、有利である。
【0015】従来のリソグラフィ技術を用いて、基板上
に別のエッチングマスクが形成される。このエッチング
マスクは、シリコンプラグ上の第4の材料層の部分及び
プラグに隣接した第4の材料層の部分が、マスクを通し
て露出されないように、パターン形成される。得られた
マスク構造は、次に第2の材料層まで非等方的にエッチ
ングされる。エッチングの結果、構造のマスクされない
部分の下の第2の部分が、露出される。次に、得られた
構造が、等方的にエッチングされる。エッチング及び第
2の材料層と第1及び第3の材料層間のエッチング速度
の差の結果、第2の材料層は完全に除去されるが、第1
の材料層及びシリコンプラグ上で最上部に隣接した第3
/第4の材料層の部分は残る。このエッチングの結果、
第2の層の厚さに対応したシリコンプラグの部分が、露
出される。シリコンプラグの露出された部分は、形成さ
れつつあるデバイスのゲート長を規定する。
【0016】次に、基板をシリコンプラグの露出された
部分上に、熱酸化物の層が成長する条件下に置く。次
に、湿式エッチング(たとえばフッ化水素酸水溶液のよ
うな)又は無水フッ化水素酸といった従来の手段を用い
て、熱酸化層が除去される。この犠牲となる酸化は、側
壁の欠陥を修復するために行われる。熱酸化物層が除去
された後、ゲート誘電体の層(たとえば、二酸化シリコ
ン又は他の適当な高誘電定数材料)が、シリコンプラグ
の露出された部分上に、形成される。他の適当なゲート
誘電体材料には、二酸化シリコン、シリコン窒化物、シ
リコンオキシナイトライド及び金属酸化物(たとえば、
五酸化タンタル、チタン酸化物及びアルミニウム酸化
物)が含まれる。ゲート誘電体の厚さは、約1nmない
し約20nmである。選択される厚さは、誘電体材料の
誘電定数に依存する。
【0017】一実施例において、二酸化シリコンのゲー
ト誘電体層が酸素を含む雰囲気中で、約700℃ないし
約1100℃の範囲の温度に、基板を加熱することによ
り、形成される。炉酸化及び急速熱酸化の両方が、適当
と考えられる。化学気相堆積、ジェット気相堆積又は原
子層堆積といった他の手段も、ゲート誘電体を形成する
のに適当と考えられる。所望の厚さのゲート誘電体を形
成する条件は、当業者にはよく知られている。
【0018】次に、基板上に適当なゲート材料(たとえ
ばその場ドープアモルファスシリコン)の十分適合する
層を堆積することにより、ゲート電極を形成する。層は
パターン形成し、ゲートを形成するため、その後再結晶
化する。ゲートの形態は、主として設計上の選択であ
る。しかし、ゲートは上に形成されたゲート酸化物でシ
リコンプラグの部分を囲む。
【0019】
【詳細な記述】本発明は垂直トランジスタの作製プロセ
スに係る。プロセスにおいて、材料の複数の層が、中に
ソース領域又はドレイン領域が形成されるシリコン基板
の表面上に形成される。複数の層は、選択されたエッチ
ング手段中で、異なるエッチング抵抗をもつ。複数の層
構造中の層の1つは、デバイスの物理的ゲート長を規定
するために用いられる犠牲となる層である。具体的に
は、犠牲となる層の厚さと位置が、垂直トランジスタの
ゲートの厚さと位置を決る。
【0020】本発明の一実施例について、図2A−2J
を参照しながら述べる。図2Aを参照すると、高濃度ド
ープソース領域105がシリコン基板100中に形成さ
れる。この実施例において、デバイスのソース領域はシ
リコン基板中に形成され、ドレイン領域はその後形成さ
れる垂直チャネルの最上部に形成される。別の実施例に
おいて、ドレイン領域は基板中に形成され、ソース領域
はその後形成される垂直チャネルの最上部上に形成され
る。ソース領域が基板中に形成される実施例について、
ここで記述する。この記述から、当業者はドレイン領域
がシリコン基板中に形成され、ソース領域がその後形成
される垂直チャネルの最上部上に形成されるデバイス
を、容易に形成することができるであろう。
【0021】高濃度ドープソース領域、その中のドーパ
ントの濃度及びドーパントの形(すなわちn形又はp
形)は、すべて設計上の選択である。ドーパントがリン
(P)、ひ素(As)、アンチモン(Sb)又はホウ素
(B)であり、ドーパント濃度は約1×1019原子/c
3 ないし約5×1020原子/cm3 の範囲で、基板中
の領域の深さが約200nmより小さい高濃度ドープソ
ース領域105が適当と考えられる。
【0022】図2Bを参照すると、材料の3つの層11
0、115及び120が、シリコン基板100中の高濃
度ドープソース領域105上に、形成されている。材料
の第1の層110はSi34 のように、電気的に絶縁
性である。材料層110は深いソース領域105を、上
のゲート電極(図2J中の155)から電気的に分離す
る。このように、材料層110はこの目的に合った材料
で作られ、厚さをもつ。約25nmないし約250nm
の範囲の厚さが、材料層110として適当と考えられ
る。
【0023】材料の第2の層115が材料の第1層11
0上に形成される。しかし、第2層115の材料は選択
されたエッチャントに対し、第1層110の絶縁性材料
とは著しく異なるエッチング抵抗を有する。具体的に
は、選択されたエッチャントに対し、第2層115の材
料のエッチング速度は、第1層110の絶縁性材料のエ
ッチング速度より、はるかに高い。もし、選択されたエ
ッチャントに対し、第2層115の材料のエッチング速
度が、中にデバイスチャネルが形成される半導体材料
(すなわち、図2D中の130)のエッチング速度より
著しく高いと、有利である。結晶シリコンは適当な半導
体プラグ材料の例である。
【0024】材料の第2層115の厚さは、デバイスの
物理的なゲート長を規定する。これはこの第2層115
が犠牲となるもの、すなわち、それは除去され、デバイ
スのゲートがこの層により規定される空間内に形成され
るものだからである。犠牲となる第2層115が除去さ
れた時、ゲート酸化物(図2H中の150)がゲートの
チャネルとなるものの上に、形成される。
【0025】材料の第3層120が第2層115上に形
成される。第3層120用に選択される材料は、電気的
に絶縁性の材料である。もし、第3層120中の絶縁性
材料が、選択されたエッチャント中で、第2層115の
材料より、低いエッチング速度をもつなら有利である。
もし、選択されたエッチャント中で、第3の材料の層1
20中の材料のエッチング速度に対する第2層115中
の材料のエッチング速度の比が、少くとも約1:10で
あると有利である。
【0026】図2Cを参照すると、次に窓又は溝125
のような開口(便宜上、窓又は溝は以後単純に窓とよ
ぶ)が、3つの層110、115及び120を貫いて、
シリコン基板100の高濃度ドープ表面105まで、エ
ッチングされる。窓の断面の厚さ(断面中の水平の寸
法)は、具体的なデバイスについての寸法の制限及び窓
を形成するために用いられるリソグラフィ技術の制約に
よって決る。溝の長さ(垂直方向とともに、断面中の水
平方向の寸法に対し垂直な長さ)は、主として設計上の
選択である。与えられた断面の水平方向寸法に対し、開
口中に形成された導電体を貫く電流は、溝の長さが増す
とともに増加するであろう。従来のリソグラフィ技術を
用いて、窓が形成される。
【0027】図2Dを参照すると、次に窓125はシリ
コン130のような単結晶半導体材料で満される。結晶
半導体材料の他の例には、シリコン−ゲルマニウム及び
シリコン−ゲルマニウム−カーボンが含まれる。結晶半
導体材料はドープされるかアンドープである。窓内に結
晶半導体材料を形成する技術は、当業者には良く知られ
ている。たとえば、結晶材料は窓125内にエピタキシ
ャルに形成される。別の実施例において、半導体材料の
アモルファス層を、基板の表面上に堆積させ、窓125
内に堆積させた半導体材料130及び窓125の最上部
における小さなプラグ131を除いて、全て除去され
る。次に、アモルファス半導体材料は、材料を再結晶化
させるため、アニールされる。
【0028】窓125内に形成された半導体(たとえば
シリコン)プラグは、デバイスのチャネルになる。従っ
て、ソース及びドレイン延長部(図示されていない)と
ともに、チャネル(やはり図示されていない)を形成す
るため、シリコンプラグ130はドープされる。1つの
形(すなわちn形又はp形)のドーパントが、ソース及
びドレイン延長部を形成するために、シリコンプラグ1
30中に導入され、相対する形のドーパントが、チャネ
ルを形成するために、プラグ中に導入される。シリコン
プラグをドープする各種の方法が、適当と考えられる。
シリコンプラグが形成されるのと同時にドーピングする
か、シリコンプラグが形成された後に、ドーパントを注
入するのが、適当な手段と考えられる。
【0029】ドーパントはソース及びドレイン延長部の
ためのドーパント源として、層110及び120を用い
ることによって、単結晶シリコンプラグ130中に追い
やることができる。この技術は固相拡散として知られ、
その場合、酸化物(たとえば二酸化シリコン)が、ドー
パント源として用いられる。二酸化シリコンは所望のド
ーパント(たとえばひ素、リン、ホウ素)をドープされ
る。高温において、ドーパントはドープされた酸化物か
ら、隣接したアンドープ(又は相対する形のドーパント
を低濃度にドープした)結晶半導体材料へ、追いやられ
る。ドープされた領域が、シリコンプラグ130とドー
パント源として用いられる材料の層110及び120間
の界面により規定されるため、この技術は有利である。
この技術により、自己整合ソース/ドレイン延長部の形
成が可能になる(すなわち、ソース/ドレイン延長部が
ゲートと整合する)。固相拡散技術の例は、オノ・エム
(Ono,M)ら、“10nmリンソース及びドレイン
接合を有するサブ50nmゲート長N−MOSFET”
アイイ−ディ−エム(IEDM)93,119−122
頁(1993)及びサイトー・エム(Saito,M)
ら、“0.1及びサブ0.1ミクロンチャネル長に適し
たSPDD D−MOSFET構造とその電気的特
性”、アイイーディーエム(IEDM)92,897−
900頁(1992)に述べられている。これらはここ
に参照文献として含まれる。
【0030】当業者は、化学気相堆積を通して材料の層
が形成されるのと同時にドーパントが導入される方式を
周知しており、そのような技術について、ここで詳細に
述べない。一般に、ドーパントは材料の堆積中の適当な
点で、雰囲気中に導入され、そのためドーパントはシリ
コンプラグ中の所望の位置に、所望の濃度で存在する。
他の実施例において、ドーパントはチャネルが形成され
た後、チャネル中に注入され、ソース/ドレイン延長領
域を形成するために、高濃度ドープ基板からプラグの底
部中に拡散させる。イオン注入はプラグの最上部に、ソ
ース/ドレイン延長領域を形成する適切な手段である。
【0031】窓125が単結晶シリコン130で満さ
れ、シリコン130が所望の方式でドープされた後、絶
縁性材料の第4の層135が図2Eに示されるように、
基板上に形成される。層135は層110及び120の
エッチング抵抗に整合する選択されたエッチング手段中
で、エッチング抵抗をもつ材料である。プロセスの考え
に基くと、層135はその下の層120と同じ材料であ
ると有利である。シリコンプラグ130がドープされ、
ドーパントが所望の方式でプラグ130中に分布した
ら、基板はシリコンプラグ130中のドーパントの分布
に著しい影響を与える条件下に置かない。従って、この
工程後、基板は1100℃を越える温度に露出されな
い。プロセスのこの点以後、基板が1000℃を越える
温度に露出されなければ有利である。実施例によって
は、プロセスのこの点以後、基板は長時間(たとえば数
分を越える時間)900℃を越える温度に露出されな
い。しかし、シリコンプラグ130中のドーパントの分
布に悪影響を与えることなく、基板を約1000℃に達
する温度で、急速熱アニールすることはできる。
【0032】従来のリソグラフィ技術を用いて、多層構
造上に別のエッチングマスク(図示されていない)が形
成される。このエッチングマスクは、シリコンで満した
窓上の第4の材料層の部分及び窓に隣接した第4の材料
層の部分が、マスクを通して露出されないように、パタ
ーン形成される。次に、上にエッチングマスクが形成さ
れた構造は、従来のドライエッチング手段を用いて、非
等方的にエッチングされる。ドライエッチング手段中
で、層110、115、120及び135のエッチング
速度はほぼ同じで、層115は層110、120及び1
35より低いエッチング速度をもつ。このエッチング工
程で得られた構造が、図2Fに示されている。このエッ
チングの結果、マスクを通して露出された層135及び
120の部分は、完全に除去される。また、マスクによ
って被覆されない層115の部分は、その厚さの一部が
エッチング除去される。
【0033】次に、基板は湿式エッチング又はドライエ
ッチングする。この手段中での層115のエッチング速
度は、層110、120及び135のエッチング速度よ
り、著しく速い。図2Gに示されるように、湿式エッチ
ング手段中でのエッチングの選択性により、層110の
主要部分及び層120及び135の残りの部分を除去す
ることなく、層110及び120間の犠牲となる層は、
完全に除去される。シリコンプラグ130の最上部14
0に隣接したその上の層120及び135の部分は残
る。このエッチングの結果、層115の厚さに対応する
シリコンプラグ130の部分が、露出される。プラグ1
30の露出された表面145は、形成されつつあるデバ
イスの物理的なゲート長になる。
【0034】次に、結晶シリコンプラグ130の露出さ
れた表面上に、熱酸化物(図示されていない)の層を成
長させるため、基板は酸素を含む雰囲気中で加熱され
る。熱酸化物の薄い層は、湿式エッチング(たとえば、
フッ化水素酸水溶液)のような従来の手段を用いて、除
去される。犠牲となる熱酸化物の形成及び除去の結果、
シリコンプラグ130の表面は平滑で、ある程度の側壁
欠陥は除去される。犠牲となる酸化物を形成及び除去す
るために用いられる具体的な条件は、シリコンプラグの
幅を所望の寸法に調整するために、必要に応じて選択さ
れる。
【0035】熱酸化物の層が除去された後、ゲート誘電
体(たとえば、二酸化シリコン、シリコンオキシナイト
ライド、シリコン窒化物又は金属酸化物)の層150
が、シリコンプラグ130の露出された部分上に形成さ
れる。ゲート誘電体の厚さは、約1nmないし約20n
mである。一実施例において、基板を酸素を含む雰囲気
中で、約700℃ないし約1100℃の範囲の温度に加
熱することにより、二酸化シリコン層が形成される。化
学気相堆積、ジェット気相堆積及び原子層堆積といった
ゲート誘電体を形成する他の手段も、適当と考えられ
る。所望の厚さのゲート誘電体を形成する条件は、当業
者には良く知られている。
【0036】図21を参照すると、次に適当なゲート材
料(たとえばその場ドープされたアモルファスシリコ
ン)の適合する層155を堆積することにより、ゲート
電極が形成される。次に、シリコンプラグ中のドーパン
トの分布に著しく影響しない条件を用いて、アモルファ
スシリコンは再結晶化される。適当なゲート材料の他の
例には、多結晶シリコン、シリコン−ゲルマニウム及び
シリコン−ゲルマニウム−カーボンが含まれる。適切な
近い抵抗率をもち、ゲート誘電体材料及び半導体プロセ
スと両立する金属及び金属を含む化合物も、適当なゲー
ト材料と考えられる。ゲート材料が半導体プラグ材料の
禁制帯の中央に十分近い仕事関数をもつと有利である。
そのような金属の例には、チタン、チタン窒化物、タン
グステン、タングステンシリサイド、タンタル、タンタ
ル窒化物及びモリブデンが含まれる。ゲート材料の層を
形成するための適当な手段には、化学気相堆積、電解メ
ッキ及びそれらの組合せが含まれる。
【0037】図2Jを参照すると、層155はゲート1
55を形成するために、パターン形成される。ゲートの
形態は、主に設計上の選択である。しかし、ゲートはそ
の上に形成されたゲート酸化物で、シリコンプラグの部
分を囲む。
【0038】別の実施例について、図3A−3Pを参照
しながら述べる。図3Aを参照すると、高濃度ドープソ
ース領域205が、シリコン基板200に形成されてい
る。適当な基板200の一例は、ホウ素をドープしたシ
リコン基板である。ホウ素ドーパントの濃度は、約2×
1015原子/cm3 である。深いソース領域、その中の
ドーパントの濃度及びドーパントの形(すなわちn形又
はp形)は、すべて設計上の選択による。ドーパントが
アンチモン又はひ素で、ピークドーパント濃度が1×1
19原子/cm3 以上、基板中のドープ領域の深さが約
200nmより小さいn+ の深いソース領域205は、
適当と考えられる。
【0039】図3Bを参照すると、材料の5つの層21
0、211、215、216及び220が、シリコン基
板200中の深いソース領域205上に、形成される。
材料の第1層210は電気的に絶縁性の材料である。材
料層210は深いソース領域205を、最終的に上のゲ
ート電極(図3P中の265)となるものから、電気的
に分離する。従って、材料層210はこの目的に合った
材料で作られ、厚さをもつ。適当な材料の例には、ドー
プされたシリコン酸化物が含まれる。実施例によって
は、第1層もドーパント源として使用される。ドーパン
ト源はその後形成されるデバイスの垂直チャネル(図3
C)をドーピングするために、用いられる。シリコン酸
化物ドーピング源の一例は、PSG(リンシリケートガ
ラス、すなわちリンドープシリコン酸化物)である。当
業者は基板上にPSGの層を形成するための適当な手段
(たとえばプラズマ促進化学気相堆積(CVD))を知
っている。適当な厚さは、約25nmないし約250n
mの範囲内である。
【0040】材料の第1層210上に、材料の第2層2
11が形成される。第2層はエッチストップとして意図
される。当業者には知られているように、エッチストッ
プはエッチングが下の層又は複数の層に進むのを防止す
るように、設計される。当業者はエッチストップ層の選
択は、上の層をエッチングするために用いられる具体的
なエッチング手段によって決ることを知っている。本発
明のプロセスにおいて、上の層はPSG及びアンドープ
シリコン酸化物(たとえば、シリコン酸化物はテトラエ
チレンオルトシリケート(TEOS)から形成される)
で、そのような材料に対するエッチャントが、下の層に
浸透するのを効果的に停止するエッチストップ材料が、
選択される。シリコン窒化物、Si34 は適当なエッ
チストップ材料と考えられる。エッチストップ層の厚さ
は、選択されたエッチャントに対するエッチストップ材
料の抵抗に、大きく依存する(すなわち、有効なエッチ
ストップであるためには、エッチングを行うのに必要な
時間内に、エッチストップ層を貫通できない)。
【0041】材料の第2層211上に、材料の第3層2
15が形成される。しかし、第3層215の絶縁性材料
は、選択されたエッチャントに対し、エッチストップ層
211の絶縁性材料とは著しく異なるエッチング抵抗を
もつ。具体的には、選択されたエッチャントに対し、第
3層215の絶縁性材料のエッチング速度は、エッチス
トップ層211の絶縁性材料のエッチング速度より、は
るかに大きい。
【0042】材料の第3層215の厚さは、デバイスの
ゲート長に対応するように選択される。犠牲となる第3
の層215が除去された時、ゲート酸化物(図3M中の
250)がデバイスのチャネル260(図3P)となる
ものの上に、形成される。
【0043】第3層215上に、材料の第4層216が
形成される。材料の第4層216は層211と同じ機能
をもつ。従って、層211の材料及び厚さの選択を支配
する考えは、層216の材料及び厚さの選択を支配す
る。
【0044】第4層216上に、絶縁性材料の第5層2
20が形成される。第5層220中の絶縁性材料が、選
択されたエッチャント中で、第1層210の絶縁性材料
と同じエッチング速度をもつなら、有利である。プロセ
スを容易にするという点からは、第1層210の材料が
第5層220の材料と同じであると有利である。
【0045】図3Cを参照すると、5つの層210、2
11、215、216及び220を貫いて、シリコン基
板200中の高濃度ドープ領域205まで、窓225が
形成される。窓の直径は具体的なデバイスの寸法上の制
約及び窓を形成するのに用いられるリソグラフィ技術の
制約によって決る。窓は従来のリソグラフィ技術を用い
て形成される。次に、窓の底部におけるシリコンを清浄
化するために、窓225を化学的に清浄化(たとえばR
CA又はピラニアクリーン)する。この清浄化工程の
後、窓225に隣接した層210及び220の小さな部
分が、除去される。このエッチングの結果が、図3Dに
示されている。
【0046】図3Eを参照すると、次に窓225は結晶
半導体材料(たとえばシリコン)230で満される。窓
内に多結晶シリコンを形成する技術は、当業者には良く
知られている。一実施例において、アモルファスシリコ
ンを基板表面全体上に堆積させ、窓内に堆積させたシリ
コン230及び窓の最上部における小さな部分231を
除いて全て除去する。次に、基板をアニーリングするこ
とにより、アモルファス半導体を再結晶化させる。
【0047】窓225内に形成された結晶半導体プラグ
230は、デバイスのチャネル(図3P中の260)と
なる。従って、結晶半導体プラグ230は、ドープされ
る。チャネル領域260用のドーパントが、プラグ23
0が形成されるのと同時に導入されるなら、有利であ
る。しかし、ドーパントの注入も適当と考えられる。
【0048】窓225が結晶半導体230で満され、半
導体230が、所望の方式でドープされた後、図3Fで
示されるように、基板上に材料の第6の層235が形成
される。層235は自己整合最上部接触(図3G中のド
レイン接触235)を作る材料である。適当な材料の一
例は、ドープされた多結晶シリコンである。選択される
ドーパントは、シリコンチャネル(図3P中の260)
をドープするのに用いられるのと相対する形のドーパン
トである。ドーパントの濃度は、約1020原子/cm3
より大きい。
【0049】図3Fに示されるように、材料の層236
を層235上に堆積させる。この材料は、残った部分が
結晶半導体プラグ230及びそれに隣接する領域の上に
なるように、パターン形成される(図3G)。層236
の材料は、層215を除去するのに選択されたエッチャ
ント中で、層215の材料のエッチング速度より著しく
低いエッチング速度をもつよう、選択される。この点
で、層236から選択された材料が、層211及び21
6の材料と同じであるなら、有利である。適当な材料の
一例は、シリコン窒化物である。シリコン窒化物の層2
36は先に述べた技術を用いて、層235上に形成され
る。
【0050】図3Gに示されるように、従来のリソグラ
フィ技術を用いて、シリコンを満した窓の上、又はシリ
コンを満した窓に隣接した層の部分のみが残るように、
(1ないし複数のドライエッチ工程を用いて)層23
6、235及び220はパターン形成される。層22
0、235及び236の残った部分は、デバイスのドレ
インを形成する。図3Hに示されるように、材料の層2
40を次に堆積させる。層240の材料は、層215を
除去するために選択されるエッチャント中で、層215
の材料のエッチング速度より著しく低いエッチング速度
をもつように、選択される。層240の適当な材料の一
例は、シリコン窒化物である。層240の厚さは、層2
35及び220の残った部分が、その後のエッチャント
と接触することから保護されるように、選択される。次
に、層240は、ドライプラズマエッチのような非等方
的エッチャントを用いて、エッチングされる。図3Iに
示されるように、非等方的エッチングの後残る層240
の部分のみが、層220及び235に隣接した部分であ
る。このエッチングの結果、層215は露出される。
【0051】次に、基板を湿式エッチング(たとえばフ
ッ化水素酸水溶液)又は等方的ドライエッチング(たと
えば、無水フッ化水素酸)し、それによって層215の
露出された残った部分が除去される。図3Jに示される
ように、層210の残った部分はなお層211によって
被覆され、層220及び235は層216、236及び
240の残った部分によって、封じられている。その結
果、層210、220及び235の残った部分は、その
後のエッチング手段と接触しないよう分離されたままで
ある。
【0052】図3Kを参照すると、犠牲となる二酸化シ
リコン層245がシリコンプラグ230の露出された表
面上に、成長している。約10nmより小さい程度の犠
牲となるシリコン酸化物の厚さが、適当と考えられる。
次に、従来の等方的エッチング(たとえばフッ化水素酸
水溶液)を用いて、犠牲となるシリコン酸化物245が
除去される(図3L)。犠牲となる酸化物の形成及び除
去の結果、シリコンプラグ230の表面は平滑で、ある
程度の側壁欠陥が除去される。層211は湿式エッチン
グ手段が、層210に接触するのを防止する。このエッ
チングの結果、層215の堆積させた厚さに対応するシ
リコンプラグ230の部分が、露出される。プラグ23
0の露出された部分は、形成されつつあるデバイスの物
理的なゲート長を規定する。
【0053】次に、シリコンプラグ230の露出された
部分上に、ゲート誘電体の層250が形成される条件
に、基板を置く。得られた構造が、図3Mに示されてい
る。ゲート誘電体250の厚さは、約1nmないし約2
0nmである。適当な厚さの一例は、6nmである。も
し、半導体プラグがシリコンなら、酸素を含む雰囲気中
で、約700℃ないし約1100℃の範囲の温度に、基
板を加熱することにより、二酸化シリコンのゲート誘電
体層が形成される。ゲート誘電体を形成する他の手段
(たとえば、化学気相堆積、ジェット気相堆積又は原子
層堆積)も、適当と考えられる。所望の厚さのゲート誘
電体を形成する条件は、当業者には良く知られている。
【0054】図3Nを参照すると、次に十分に適合する
適当なゲート材料の層255(たとえば、ドーパントが
同時に導入されるドープされたアモルファスシリコンの
層)を堆積させることにより、ゲート電極が形成され
る。次に、多結晶シリコンを形成するために、アモルフ
ァスシリコンは続いて再結晶化される。ドーパント濃度
は層255の抵抗率が十分低いよう、十分である。図3
0を参照すると、デバイスのゲート265を形成するた
め、層255はパターン形成される。ゲートの形態は、
主に設計上の選択である。しかし、ゲートは上に形成さ
れたゲート酸化物250で、シリコンプラグ230の部
分を囲む。
【0055】図3Pを参照すると、ドーパントは固相拡
散により、ドーパント源層210及び220から結晶半
導体プラグ230中に追いやられ、ソース232及びド
レイン233延長部を形成する。この技術の利点は、ソ
ース及びドレイン延長部(従って、デバイスのチャネ
ル)が、デバイスのゲートになるものと整合することで
ある。ドーパント源層210及び220に対して、固相
拡散によりドープされた結晶半導体プラグ230の部分
中のドーパント濃度は、典型的な場合、少くとも約1×
1019/cm3 で、約5×1019/cm3 のドーパント
濃度が有利と考えられる。この固相拡散技術で、非常に
浅いソース及びドレイン延長部が得られる。ソース23
2及びドレイン233延長部がプラグ230中に浸透す
る距離は、プラグの幅の半分より小さいことが好まし
い。このようにドーパントの浸透を制限することによ
り、ドープされた領域がプラグ230の相対する側と著
しく重なることが避けられる。また、ソース232及び
ドレイン233延長部が、デバイスゲート下に延びる距
離は、ゲート長の5分の1より小さく制限されることが
好ましい。ドーパントは、プラグ230のチャネル領域
260中のドーパントの形とは、相対する形である。
【0056】別の実施例(図示されていない)におい
て、シリコンプラグ230(図3E)の最上部分231
は、シリコンプラグ230の最上部が、層220の最上
部と同一面になるように、研磨される。化学機械研磨の
ような手段が、適当と考えられる。このようにシリコン
プラグ230の最上部分を研磨することにより、最上部
ソース/ドレイン接触を形成するために、層235から
シリコンプラグ230中へドーパントを拡散させること
が、より良く制御できる。
【0057】更に別の実施例において、アンドープ二酸
化シリコンの薄い層(たとえば約25nmの厚さ)が、
層205上に形成される。図3Eを参照すると、この層
(図示されていない)は高濃度ドープドーパント源層2
10から下方へ層205を貫き、かつ上方へ、形成され
ているシリコンプラグ230中へ、固相リンドーパント
拡散することの障壁として働く。
【0058】上述の実施例は本発明を用いるプロセスの
具体例を示すためにあげた。当業者は本発明を実施する
のに有用な多くのプロセス工程、材料及び手段があるこ
とを、認識するであろう。付随した特許請求の範囲に合
致するものを除いて、本発明は実施例に制限するために
考案されたものではない。
【図面の簡単な説明】
【図1】垂直トランジスタの概略側面図である。
【図2A】本発明の一実施例のプロセス工程を示す図で
ある。
【図2B】本発明の一実施例のプロセス工程を示す図で
ある。
【図2C】本発明の一実施例のプロセス工程を示す図で
ある。
【図2D】本発明の一実施例のプロセス工程を示す図で
ある。
【図2E】本発明の一実施例のプロセス工程を示す図で
ある。
【図2F】本発明の一実施例のプロセス工程を示す図で
ある。
【図2G】本発明の一実施例のプロセス工程を示す図で
ある。
【図2H】本発明の一実施例のプロセス工程を示す図で
ある。
【図2I】本発明の一実施例のプロセス工程を示す図で
ある。
【図2J】本発明の一実施例のプロセス工程を示す図で
ある。
【図3A】本発明の第2の実施例のプロセス工程を示す
図である。
【図3B】本発明の第2の実施例のプロセス工程を示す
図である。
【図3C】本発明の第2の実施例のプロセス工程を示す
図である。
【図3D】本発明の第2の実施例のプロセス工程を示す
図である。
【図3E】本発明の第2の実施例のプロセス工程を示す
図である。
【図3F】本発明の第2の実施例のプロセス工程を示す
図である。
【図3G】本発明の第2の実施例のプロセス工程を示す
図である。
【図3H】本発明の第2の実施例のプロセス工程を示す
図である。
【図3I】本発明の第2の実施例のプロセス工程を示す
図である。
【図3J】本発明の第2の実施例のプロセス工程を示す
図である。
【図3K】本発明の第2の実施例のプロセス工程を示す
図である。
【図3L】本発明の第2の実施例のプロセス工程を示す
図である。
【図3M】本発明の第2の実施例のプロセス工程を示す
図である。
【図3N】本発明の第2の実施例のプロセス工程を示す
図である。
【図3O】本発明の第2の実施例のプロセス工程を示す
図である。
【図3P】本発明の第2の実施例のプロセス工程を示す
図である。
【符号の説明】
10 デバイス 15 ソース 20 ドレイン 25 チャネル 30 基板 35 ゲート 100 シリコン基板 105 ソース領域、表面 110 層、材料層、第1層 115 層、第2層 120 層、第3層 125 溝、窓 130 半導体材料、シリコン 131 プラグ 135 層 140 最上部 145 表面 150 層 155 ゲート電極、層、ゲート 200 基板 205 ソース領域、高濃度ドープ領域 210 層、第1層、ドーパント源層 211 層、第2層、エッチストップ層 215 層、第3層 216 層 220 層 225 窓 230 半導体材料、シリコン、プラグ、半導体 231 部分 232 ソース 233 ドレイン 235 層、ドレイン接触 236 層 240 層 245 二酸化シリコン層 250 ゲート酸化物 255 層 260 チャネル、チャネル領域 265 ゲート電極、ゲート
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ドナルド ポール モンロー アメリカ合衆国 07901 ニュージャーシ ィ,サミット,ウィンチップ ロード 35

Claims (25)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板中の半導体デバイスのソース
    領域及びドレイン領域から成るグループから選択された
    第1のデバイスを形成する工程;第1層及び第3層間に
    第2層がはさまれた半導体基板中の第1のデバイス領域
    上に、材料の少くとも3つの層を形成する工程;窓が半
    導体基板中に形成された第1のデバイス領域で終端する
    材料の少くとも3つの層内に、窓を形成する工程;半導
    体材料で窓を満し、それによって材料の少くとも3つの
    層内に、半導体プラグを形成し、プラグは第1及び第2
    の端部を有し、第1の端部は第1のデバイス領域と接触
    する工程;シリコンプラグの第2の端部中に、ソース領
    域及びドレイン領域から成るグループから選択された第
    2のデバイス領域を形成し、第1及び第2のデバイス領
    域の1つはソース領域であり、他はドレイン領域である
    工程;第3層の一部を除去し、それによって第3層の除
    去された部分下の第2層を露出させる工程;第2層を除
    去し、それによって半導体プラグの一部を露出させる工
    程;半導体プラグの露出された部分上に、誘電体材料の
    層を形成する工程;誘電体材料の層に接触して、ゲート
    を形成する工程を含む垂直トランジスタの作製プロセ
    ス。
  2. 【請求項2】 第2層はエッチャント中でエッチングす
    ることにより除去され、エッチャント中で第1層は第1
    のエッチング速度をもち、第2層は第2のエッチング速
    度をもち、第3層は第3のエッチング速度をもち、第2
    のエッチング速度はエッチャント中で、第1のエッチン
    グ速度及び第3のエッチング速度より、少くとも10倍
    速い請求項1記載のプロセス。
  3. 【請求項3】 エッチャントは等方的湿式エッチャント
    及び等方的ドライエッチャントから成るグループから選
    択される請求項2記載のプロセス。
  4. 【請求項4】 半導体プラグはドープされた半導体プラ
    グで、ドーパントはn形ドーパント及びp形ドーパント
    から成るグループから選択される請求項1記載のプロセ
    ス。
  5. 【請求項5】 ドープされた半導体プラグは、半導体材
    料を窓内に堆積させるのと同時に、半導体材料中にドー
    パントを導入することにより形成される請求項4記載の
    プロセス。
  6. 【請求項6】 ドープされた半導体プラグは、半導体材
    料を窓内に堆積させた後、その中にドーパントを注入す
    ることにより形成される請求項4記載のプロセス。
  7. 【請求項7】 半導体材料は結晶半導体材料で、シリコ
    ン、シリコン−ゲルマニウム及びシリコン−ゲルマニウ
    ム−カーボンから成るグループから選択される請求項4
    記載のプロセス。
  8. 【請求項8】 第1層及び第3層は電気的に絶縁性の材
    料で作られる請求項2記載のプロセス。
  9. 【請求項9】 電気的に絶縁性の材料は、シリコン窒化
    物、二酸化シリコン及びドープされた二酸化シリコンか
    ら選択される請求項8記載のプロセス。
  10. 【請求項10】 材料の第1層、材料の第2層又は材料
    の第1層及び第2層の両方の上に、エッチストップ層を
    形成する工程を更に含む請求項1記載のプロセス。
  11. 【請求項11】 材料の少くとも3つの層が上に形成さ
    れる前に、第1のデバイス領域上に、拡散障壁層を形成
    する工程を更に含む請求項1記載のプロセス。
  12. 【請求項12】 電気的に絶縁性の材料は、ソース延長
    部及びドレイン延長部に対するドーパント源であるドー
    プされた二酸化シリコンで、プロセスは更に、半導体プ
    ラグ中にソース及びドレイン延長部を形成するために、
    第1層及び第3層からのドーパントで、半導体プラグを
    ドーピングする工程を含む請求項9記載のプロセス。
  13. 【請求項13】 ドープされた二酸化シリコン中のドー
    パントの形は、n形及びp形から成るグループから選択
    され、ドーパントは半導体プラグ中のドーパントの形と
    は相対する形である請求項12記載のプロセス。
  14. 【請求項14】 半導体プラグの露出された部分上に、
    熱酸化物の層を形成し、熱酸化物の層を除去し、次に半
    導体プラグの露出された部分上に、誘電体材料の層を形
    成する工程を更に含む請求項1記載のプロセス。
  15. 【請求項15】 基板はシリコン基板及び絶縁性基板上
    のシリコンから成るグループから選択される請求項1記
    載のプロセス。
  16. 【請求項16】 酸素を含む雰囲気中で、約700℃な
    いし約1100℃の範囲の温度に、基板を加熱すること
    により、半導体プラグ上に絶縁性材料の層を形成する請
    求項1記載のプロセス。
  17. 【請求項17】 誘電体材料の層は、化学気相堆積によ
    り、半導体プラグ上に形成される請求項1記載のプロセ
    ス。
  18. 【請求項18】 誘電体材料の層は、原子層堆積によ
    り、半導体プラグ上に形成される請求項1記載のプロセ
    ス。
  19. 【請求項19】 誘電体材料の層は、ジェット気相堆積
    により、半導体プラグ上に形成される請求項1記載のプ
    ロセス。
  20. 【請求項20】 半導体プラグ上に形成される誘電体材
    料の層は、二酸化シリコン、シリコン窒化物、シリコン
    オキシナイトライド及び金属酸化物から成るグループか
    ら選択される請求項1記載のプロセス。
  21. 【請求項21】 半導体プラグ上に形成される誘電体材
    料の層の厚さは、約1nmないし約20nmである請求
    項20記載のプロセス。
  22. 【請求項22】 第2層はエッチャント中でエッチング
    することにより除去され、半導体プラグは第1のエッチ
    ング速度をもち、第2層は第2のエッチング速度をも
    ち、エッチャント中で第2のエッチング速度は第1のエ
    ッチング速度より、少くとも10倍速い請求項1記載の
    プロセス。
  23. 【請求項23】 ゲートはドープされた多結晶シリコ
    ン、ドープされたアモルファスシリコン、ドープされた
    多結晶シリコン−ゲルマニウム、ドープされたアモルフ
    ァスシリコン−ゲルマニウム、ドープされた多結晶シリ
    コン−ゲルマニウム−カーボン、ドープされたアモルフ
    ァスシリコン−ゲルマニウム−カーボン、金属及び金属
    を含む化合物から成るグループから選択される材料であ
    る請求項1記載のプロセス。
  24. 【請求項24】 ゲート材料は化学気相堆積、電解メッ
    キ又はそれらの組合せにより、基板上に形成される請求
    項23記載のプロセス。
  25. 【請求項25】 金属及び金属を含む化合物は、チタ
    ン、チタン窒化物、タングステン、タングステンシリサ
    イド、タンタル、タンタル窒化物及びモリブデンから成
    るグループから選択される請求項24記載のプロセス。
JP24066399A 1998-08-28 1999-08-27 垂直トランジスタの作製プロセス Expired - Fee Related JP3506965B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/143274 1998-08-28
US09/143,274 US6027975A (en) 1998-08-28 1998-08-28 Process for fabricating vertical transistors

Publications (2)

Publication Number Publication Date
JP2000091578A true JP2000091578A (ja) 2000-03-31
JP3506965B2 JP3506965B2 (ja) 2004-03-15

Family

ID=22503349

Family Applications (1)

Application Number Title Priority Date Filing Date
JP24066399A Expired - Fee Related JP3506965B2 (ja) 1998-08-28 1999-08-27 垂直トランジスタの作製プロセス

Country Status (5)

Country Link
US (1) US6027975A (ja)
EP (1) EP0989599B1 (ja)
JP (1) JP3506965B2 (ja)
KR (1) KR100572647B1 (ja)
DE (1) DE69909205T2 (ja)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003163281A (ja) * 2001-09-18 2003-06-06 Agere Systems Inc 垂直置換ゲートトランジスタと集積可能な容量の構造及び作製法
JP2003163282A (ja) * 2001-09-21 2003-06-06 Agere Systems Guardian Corp 多動作電圧垂直置換ゲート(vrg)トランジスタ
JP2003179067A (ja) * 2001-09-18 2003-06-27 Agere Systems Guardian Corp 縦型リプレイスメント・ゲート・トランジスタと両立性のあるバイポーラ接合トランジスタ
JP2003536276A (ja) * 2000-06-22 2003-12-02 コミツサリア タ レネルジー アトミーク 埋込ゲートを備えた縦型mosトランジスタおよびその製造方法
JP2008047933A (ja) * 2000-04-20 2008-02-28 Agere Systems Guardian Corp チャネルアクセストランジスタおよび積層型蓄積キャパシタを備えた垂直dram装置および関連方法
WO2009072192A1 (ja) * 2007-12-05 2009-06-11 Unisantis Electronics (Japan) Ltd. 半導体装置
JP2010062574A (ja) * 2000-08-25 2010-03-18 Agere Systems Inc 垂直型トランジスタの回路接続アーキテクチャ
JP2013102193A (ja) * 2001-12-26 2013-05-23 Agere Systems Inc Cmos垂直置換ゲート(vrg)トランジスタ
US8896056B2 (en) 2007-12-05 2014-11-25 Unisantis Electronics Singapore Pte Ltd. Surrounding gate transistor semiconductor device
JP2015073095A (ja) * 2013-10-03 2015-04-16 エーエスエム アイピー ホールディング ビー.ブイ. ワイヤ−ベース半導体装置を製造する方法
JP2016184750A (ja) * 2016-05-25 2016-10-20 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置、及び、半導体装置の製造方法
US10217665B2 (en) 2014-08-28 2019-02-26 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device and method for producing semiconductor device

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6328794B1 (en) * 1993-06-26 2001-12-11 International Business Machines Corporation Method of controlling stress in a film
US6027975A (en) * 1998-08-28 2000-02-22 Lucent Technologies Inc. Process for fabricating vertical transistors
US6245623B1 (en) * 1998-11-06 2001-06-12 Advanced Micro Devices, Inc. CMOS semiconductor device containing N-channel transistor having shallow LDD junctions
KR100281124B1 (ko) * 1999-01-20 2001-01-15 김영환 반도체소자 및 그의 제조방법
TW410382B (en) * 1999-06-11 2000-11-01 United Microelectronics Corp Method of manufacturing forming metal oxide semiconductor transistor with raised source/drain
DE60001601T2 (de) * 1999-06-18 2003-12-18 Lucent Technologies Inc Fertigungsverfahren zur Herstellung eines CMOS integrieten Schaltkreises mit vertikalen Transistoren
US6551946B1 (en) 1999-06-24 2003-04-22 Agere Systems Inc. Two-step oxidation process for oxidizing a silicon substrate wherein the first step is carried out at a temperature below the viscoelastic temperature of silicon dioxide and the second step is carried out at a temperature above the viscoelastic temperature
US6670242B1 (en) 1999-06-24 2003-12-30 Agere Systems Inc. Method for making an integrated circuit device including a graded, grown, high quality gate oxide layer and a nitride layer
US20030235957A1 (en) * 2002-06-25 2003-12-25 Samir Chaudhry Method and structure for graded gate oxides on vertical and non-planar surfaces
US6506653B1 (en) * 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
US6518622B1 (en) * 2000-03-20 2003-02-11 Agere Systems Inc. Vertical replacement gate (VRG) MOSFET with a conductive layer adjacent a source/drain region and method of manufacture therefor
US6300199B1 (en) 2000-05-24 2001-10-09 Micron Technology, Inc. Method of defining at least two different field effect transistor channel lengths using differently angled sidewall segments of a channel defining layer
KR100594218B1 (ko) * 2000-05-30 2006-07-03 삼성전자주식회사 수직채널형 mos 트랜지스터의 채널형성 방법
US6617173B1 (en) * 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US6506638B1 (en) * 2000-10-12 2003-01-14 Advanced Micro Devices, Inc. Vertical double gate transistor structure
US6599789B1 (en) * 2000-11-15 2003-07-29 Micron Technology, Inc. Method of forming a field effect transistor
US6664143B2 (en) * 2000-11-22 2003-12-16 North Carolina State University Methods of fabricating vertical field effect transistors by conformal channel layer deposition on sidewalls
KR100393208B1 (ko) * 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
US6455377B1 (en) * 2001-01-19 2002-09-24 Chartered Semiconductor Manufacturing Ltd. Method to form very high mobility vertical channel transistor by selective deposition of SiGe or multi-quantum wells (MQWs)
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6706603B2 (en) * 2001-02-23 2004-03-16 Agere Systems Inc. Method of forming a semiconductor device
US6518616B2 (en) 2001-04-18 2003-02-11 International Business Machines Corporation Vertical gate top engineering for improved GC and CB process windows
US6780735B2 (en) * 2001-04-30 2004-08-24 International Business Machines Corporation Method to increase carbon and boron doping concentrations in Si and SiGe films
US6551942B2 (en) 2001-06-15 2003-04-22 International Business Machines Corporation Methods for etching tungsten stack structures
US6690040B2 (en) * 2001-09-10 2004-02-10 Agere Systems Inc. Vertical replacement-gate junction field-effect transistor
US6709904B2 (en) * 2001-09-28 2004-03-23 Agere Systems Inc. Vertical replacement-gate silicon-on-insulator transistor
US6429109B1 (en) 2001-12-14 2002-08-06 Chartered Semiconductor Manufacturing Ltd Method to form high k dielectric and silicide to reduce poly depletion by using a sacrificial metal between oxide and gate
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7071043B2 (en) * 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US6806126B1 (en) * 2002-09-06 2004-10-19 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor component
US7372091B2 (en) * 2004-01-27 2008-05-13 Micron Technology, Inc. Selective epitaxy vertical integrated circuit components
US7667250B2 (en) * 2004-07-16 2010-02-23 Aptina Imaging Corporation Vertical gate device for an image sensor and method of forming the same
US7241655B2 (en) * 2004-08-30 2007-07-10 Micron Technology, Inc. Method of fabricating a vertical wrap-around-gate field-effect-transistor for high density, low voltage logic and memory array
JP2006310651A (ja) * 2005-04-28 2006-11-09 Toshiba Corp 半導体装置の製造方法
US20060255412A1 (en) * 2005-05-13 2006-11-16 Nirmal Ramaswamy Enhanced access devices using selective epitaxial silicon over the channel region during the formation of a semiconductor device and systems including same
US7504685B2 (en) 2005-06-28 2009-03-17 Micron Technology, Inc. Oxide epitaxial isolation
KR100675285B1 (ko) * 2005-10-10 2007-01-29 삼성전자주식회사 수직 트랜지스터를 갖는 반도체소자 및 그 제조방법
US7491995B2 (en) 2006-04-04 2009-02-17 Micron Technology, Inc. DRAM with nanofin transistors
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR20080110366A (ko) * 2007-06-15 2008-12-18 주식회사 동부하이텍 반도체 소자의 게이트 형성 방법
US8078063B2 (en) * 2008-02-05 2011-12-13 Finisar Corporation Monolithic power monitor and wavelength detector
KR101559868B1 (ko) 2008-02-29 2015-10-14 삼성전자주식회사 수직형 반도체 소자 및 이의 제조 방법.
KR101031476B1 (ko) 2008-07-25 2011-04-26 주식회사 하이닉스반도체 올 어라운드 게이트형 반도체 장치 및 그 제조 방법
JP4530098B1 (ja) * 2009-05-29 2010-08-25 日本ユニサンティスエレクトロニクス株式会社 半導体装置
CN102931237B (zh) * 2012-10-10 2015-07-22 哈尔滨工程大学 垂直非对称环栅mosfet器件的结构及其制造方法
CN102983171B (zh) * 2012-12-11 2015-10-28 哈尔滨工程大学 垂直无结环栅mosfet器件的结构及其制造方法
EP3123520A4 (en) * 2014-03-28 2017-11-22 Intel Corporation Selectively regrown top contact for vertical semiconductor devices
US20150380258A1 (en) * 2014-06-25 2015-12-31 Stmicroelectronics, Inc. Method for controlling height of a fin structure
US9882047B2 (en) 2016-02-01 2018-01-30 International Business Machines Corporation Self-aligned replacement metal gate spacerless vertical field effect transistor
US9711618B1 (en) 2016-03-31 2017-07-18 International Business Machines Corporation Fabrication of vertical field effect transistor structure with controlled gate length
US9954109B2 (en) 2016-05-05 2018-04-24 International Business Machines Corporation Vertical transistor including controlled gate length and a self-aligned junction
US11239342B2 (en) 2018-06-28 2022-02-01 International Business Machines Corporation Vertical transistors having improved control of top source or drain junctions

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60128654A (ja) * 1983-12-16 1985-07-09 Hitachi Ltd 半導体集積回路
US5140388A (en) * 1991-03-22 1992-08-18 Hewlett-Packard Company Vertical metal-oxide semiconductor devices
JPH05183158A (ja) * 1991-10-18 1993-07-23 Nec Corp 半導体装置およびその製造方法
US5612563A (en) * 1992-03-02 1997-03-18 Motorola Inc. Vertically stacked vertical transistors used to form vertical logic gate structures
JPH05267678A (ja) * 1992-03-17 1993-10-15 Rohm Co Ltd 半導体装置およびその製造方法
JPH06169089A (ja) * 1992-05-07 1994-06-14 Nec Corp 縦型mosfetの製造方法
JP3229012B2 (ja) * 1992-05-21 2001-11-12 株式会社東芝 半導体装置の製造方法
US5324673A (en) * 1992-11-19 1994-06-28 Motorola, Inc. Method of formation of vertical transistor
US5918155A (en) * 1995-03-13 1999-06-29 Hitachi, Ltd. Satellite communication system and method thereof
JPH098290A (ja) * 1995-06-20 1997-01-10 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5943574A (en) * 1998-02-23 1999-08-24 Motorola, Inc. Method of fabricating 3D multilayer semiconductor circuits
US6027975A (en) * 1998-08-28 2000-02-22 Lucent Technologies Inc. Process for fabricating vertical transistors

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008047933A (ja) * 2000-04-20 2008-02-28 Agere Systems Guardian Corp チャネルアクセストランジスタおよび積層型蓄積キャパシタを備えた垂直dram装置および関連方法
JP2003536276A (ja) * 2000-06-22 2003-12-02 コミツサリア タ レネルジー アトミーク 埋込ゲートを備えた縦型mosトランジスタおよびその製造方法
JP2010062574A (ja) * 2000-08-25 2010-03-18 Agere Systems Inc 垂直型トランジスタの回路接続アーキテクチャ
JP2003179067A (ja) * 2001-09-18 2003-06-27 Agere Systems Guardian Corp 縦型リプレイスメント・ゲート・トランジスタと両立性のあるバイポーラ接合トランジスタ
JP2003163281A (ja) * 2001-09-18 2003-06-06 Agere Systems Inc 垂直置換ゲートトランジスタと集積可能な容量の構造及び作製法
JP2010157742A (ja) * 2001-09-18 2010-07-15 Agere Systems Inc 垂直置換ゲートトランジスタと集積可能な容量の構造及び作製法
JP2003163282A (ja) * 2001-09-21 2003-06-06 Agere Systems Guardian Corp 多動作電圧垂直置換ゲート(vrg)トランジスタ
JP2013102193A (ja) * 2001-12-26 2013-05-23 Agere Systems Inc Cmos垂直置換ゲート(vrg)トランジスタ
WO2009072192A1 (ja) * 2007-12-05 2009-06-11 Unisantis Electronics (Japan) Ltd. 半導体装置
JP5252740B2 (ja) * 2007-12-05 2013-07-31 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
US8896056B2 (en) 2007-12-05 2014-11-25 Unisantis Electronics Singapore Pte Ltd. Surrounding gate transistor semiconductor device
JP2015073095A (ja) * 2013-10-03 2015-04-16 エーエスエム アイピー ホールディング ビー.ブイ. ワイヤ−ベース半導体装置を製造する方法
US10217665B2 (en) 2014-08-28 2019-02-26 Unisantis Electronics Singapore Pte. Ltd. Semiconductor device and method for producing semiconductor device
US10340184B2 (en) 2014-08-28 2019-07-02 Unisantis Electronics Singapore Pte. Ltd. Method for producing a semiconductor device
JP2016184750A (ja) * 2016-05-25 2016-10-20 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置、及び、半導体装置の製造方法

Also Published As

Publication number Publication date
US6027975A (en) 2000-02-22
EP0989599B1 (en) 2003-07-02
DE69909205D1 (de) 2003-08-07
KR100572647B1 (ko) 2006-04-24
KR20000017583A (ko) 2000-03-25
EP0989599A1 (en) 2000-03-29
DE69909205T2 (de) 2004-04-15
JP3506965B2 (ja) 2004-03-15

Similar Documents

Publication Publication Date Title
JP3506965B2 (ja) 垂直トランジスタの作製プロセス
EP1063694B1 (en) Process for fabricating vertical transistors
US6653181B2 (en) CMOS integrated circuit having vertical transistors and a process for fabricating same
US7033877B2 (en) Vertical replacement-gate junction field-effect transistor
JP4797185B2 (ja) 縦型リプレイスメント・ゲート・トランジスタと両立性のあるバイポーラ接合トランジスタ
US7491610B2 (en) Fabrication method
JP2000223703A (ja) 半導体装置及びその製造方法
JP2000216386A (ja) 浅い接合部を有する半導体デバイスの製作
TWI262561B (en) Method of forming ultra-shallow junction devices and its application in a memory device
US6087248A (en) Method of forming a transistor having thin doped semiconductor gate
JPH0974189A (ja) 半導体装置の製造方法
JP3805917B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20031217

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071226

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081226

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081226

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091226

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101226

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101226

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111226

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121226

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121226

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131226

Year of fee payment: 10

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees