JP2015073095A - ワイヤ−ベース半導体装置を製造する方法 - Google Patents

ワイヤ−ベース半導体装置を製造する方法 Download PDF

Info

Publication number
JP2015073095A
JP2015073095A JP2014183997A JP2014183997A JP2015073095A JP 2015073095 A JP2015073095 A JP 2015073095A JP 2014183997 A JP2014183997 A JP 2014183997A JP 2014183997 A JP2014183997 A JP 2014183997A JP 2015073095 A JP2015073095 A JP 2015073095A
Authority
JP
Japan
Prior art keywords
dielectric
wire
forming
layer
layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014183997A
Other languages
English (en)
Other versions
JP6226839B2 (ja
JP2015073095A5 (ja
Inventor
チー シエ
Qi Xie
チー シエ
ヴラジーミル マチカウトサン
Machkaoutsan Vladimir
ヴラジーミル マチカウトサン
ヤン ウィレム マエス
Willem Maes Jan
ヤン ウィレム マエス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2015073095A publication Critical patent/JP2015073095A/ja
Publication of JP2015073095A5 publication Critical patent/JP2015073095A5/ja
Application granted granted Critical
Publication of JP6226839B2 publication Critical patent/JP6226839B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/068Nanowires or nanotubes comprising a junction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/739Transistor-type devices, i.e. able to continuously respond to applied control signals controlled by field-effect, e.g. bipolar static induction transistors [BSIT]
    • H01L29/7391Gated diode structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/491Vertical transistors, e.g. vertical carbon nanotube field effect transistors [CNT-FETs]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/89Deposition of materials, e.g. coating, cvd, or ald
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/936Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
    • Y10S977/938Field effect transistors, FETS, with nanowire- or nanotube-channel region

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

【課題】デバイスサイズの継続的な減少を容易にする半導体装置構造の提供。
【解決手段】ドープされた半導体材料のワイヤ220は、チャネル領域を形成し、その周囲のゲート290は、導電材料によって形成される。ワイヤ220及びゲート290は、ゲート誘電体280によって隔てられ、ゲート290はゲートコンタクトを形成するために上部に延びる部位290aを有する。ゲートは、ワイヤ220の周辺部の周りに完全に広がる。下部コンタクト250は、ワイヤ220の下端との電気的な接触を提供する。下部コンタクト250は、下部コンタクトとの電気的な接触を形成するための上部に延びる部位250aを有する。上部コンタクト320は、ワイヤ220の上端との電気的な接触を提供する。下部コンタクト250及び上部コンタクト320は、ソース/ドレインコンタクトとして機能する。
【選択図】図1

Description

本発明は、半導体工程に関するものであり、特に、トランジスタのような半導体装置の形成に関するものである。
集積回路用の演算能力を増加させる継続的な需要のために、集積回路を形成する半導体装置の密度は、継続的に増加している。これらのより高密度なデバイスを製造するために、半導体装置のサイズは、継続的に減少されている。
トランジスタは、このようなデバイスの一例である。しかし、トランジスタがより小さくなると、様々な問題が生じる。例えば、トランジスタのサイズを小さくすることにより、構成されるフィーチャーのサイズが小さくなる。その結果、デバイスの信頼性のある動作を実現するために、トランジスタが晒される電圧は、減少する。トランジスタの閾値電圧も同様に減少する。トランジスタを動作するために使用可能な電圧振幅の大きさも減少し、トランジスタを完全に“オフ”にすることを困難にする。よって、トランジスタの継続的な微細化は、望まれないリーク電流を増加させる一因となっている。
したがって、デバイスサイズの継続的な減少を容易にする半導体装置構造及び製造方法の継続的な需要が存在する。
一態様の例では、半導体装置を製造する方法を提供する。前記方法は、単結晶シリコン基板を提供するステップを備える。スペーサ層によって隔てられる3つの酸化物層を含む層のスタックは、基板に亘って形成される。垂直ナノワイヤホールは、単結晶基板へスタックダウンでエッチングされる。エピタキシャルプロセスを用いてナノワイヤホールを充填することにより、ナノワイヤは、形成される。
別の態様の例では、半導体装置を製造する方法を提供する。前記方法は、シリコン基板を提供するステップを備える。誘電体スタックは、前記基板に形成され、誘電体スタックは、複数の誘電体層を備える。隣接する誘電体層は、隣接する誘電体層とは異なる材料で形成されるスペーサ層によって隔てられる。垂直に延びるホールは、誘電体スタックを通じて形成される。前記ホールは、エピタキシャル堆積を行うことによって充填され、ホールを充填する材料は、ワイヤを形成する。
本発明のこれら及び他の特徴を好ましい実施形態の図面を参照して記載するが、それらは本発明を例示するためであり、本発明を限定するものではない。図面は必ずしも縮尺通りではない。また、同一の数字は、全体を通じて同一の部材を示す。
図1は、垂直なワイヤ−ベーストランジスタの斜視図の例である。 図2Aは、基板の概略断面図である。 図2Bは、誘電体スタックの一部を形成するためにエッチストップ及び誘電体層を形成した後の図2Aの基板の概略断面図である。 図3は、誘電体層の一部を、異なるドーピングがされた誘電体で置換した後の図2Bの基板の概略断面図である。 図4は、誘電体スタックの追加部分を形成するためにスペーサ層及び追加誘電体層を形成した後の図3の基板の概略断面図である。 図5は、誘電体スタックの追加部分を形成するために追加スペーサ層及び追加誘電体層を形成した後の図4の基板の概略断面図である。 図6は、誘電体層の一部を異なるドーピングがされた誘電体で置換し、追加スペーサ層を堆積した後の図5の基板の概略断面図である。 図7Aは、側面エッチストップを形成した後の図6の基板の概略断面図である。 図7Bは、図7Aの構造の概略的なトップ−ダウンビューである。 図8は、誘電体スタックに開口をエッチングした後の図7A及び7Bの構造の概略断面図である。 図9は、垂直に延びるワイヤを形成するために誘電体スタックにおいて開口を形成した後の図8の構造の概略断面図である。 図10は、誘電体スタックにおいて開口を充填する材料をドーピングした後の図9の構造の概略断面図である。 図11は、下部コンタクトを規定するための開口を形成した後の図10の構造の概略断面図である。 図12は、下部コンタクトを規定するための開口をライニングした後の図11の構造の概略断面図である。 図13は、開口の底部においてライナーを除去した後の図12の構造の概略断面図である。 図14は、露出された誘電体層の一部を除去した後の図13の構造の概略断面図である。 図15は、下部コンタクトを形成するために導電材料で、埋め込み開口空間を充填した後の図14の構造の概略断面図である。 図16は、ゲートを規定するための開口を形成した後の図15の構造の概略断面図である。 図17は、ライナーで開口を内張りした後の図16の構造の概略断面図である。 図18は、ライナーの底部をエッチングした後の図17の構造の概略断面図である。 図19は、開口によって露出された誘電体層の一部を除去した後に形成される埋め込み開口空間を示す図18の構造の概略断面図である。 図20は、埋め込み開口空間において誘電体ライナーを形成した後の図19の構造の概略断面図である。 図21は、ゲートを形成するために導電材料で埋め込み開口空間を充填した後の図20の構造の概略断面図である。 図22は、上部コンタクトを規定するための開口を形成した後の図21の構造の概略断面図である。 図23は、埋め込み開口空間を形成するために開口によって露出された誘電体層の一部を除去した後の図22の構造の概略断面図である。 図24は、上部コンタクトを形成するために導電材料で埋め込み開口空間を充填した後の図23の構造の概略断面図である。 図25は、図24の構造の概略的な断面トップダウンビューである。
トランジスタスケールが、25nm以下(例えば、15nm以下又は10nm以下)の限界寸法(critical dimensions)を下回ると、次第に短くなるチャネル長を通じて流れる電流は、制御がより困難になり、高い密度は、トランジスタと電気的に接触するためのスペースを減少させてしまう。その結果、トランジスタ電気的な振る舞いは、低下し、製造の信頼性及びトランジスタへのコンタクトの形成が困難になる。
ワイヤの複数の側面を包囲する又はワイヤの複数の側面に延びるゲートを有する垂直なワイヤ−ベーストランジスタは、優れたトランジスタアーキテクチャを提供する。図1は、垂直なワイヤ−ベーストランジスタ10の概略的な斜視図の一例である。ドープされた半導体材料のワイヤ220は、チャネル領域を形成し、その周囲のゲート290は、導電材料によって形成される。ワイヤ220及びゲート290は、ゲート誘電体280によって隔てられる。図示されるように、ゲート290は、ゲートコンタクトを形成するために上部に延びる部位290aを有する。図示されるように、ゲートは、ワイヤ220の周辺部の周りに完全に広がることが好ましい。下部コンタクト250は、ワイヤ220の下端との電気的な接触を提供する。下部コンタクト250は、下部コンタクトとの電気的な接触を形成するための上部に延びる部位250aを有する。上部コンタクト320は、ワイヤ220の上端との電気的な接触を提供する。下部コンタクト250及び上部コンタクト320は、ソース/ドレインコンタクトとして機能することが理解されるであろう。本明細書で用いられているように、用語「ワイヤ(wire)」は、細長い導電体又はその幅よりも長い長さを有する半導電性構造であることも理解されるであろう。ワイヤの長さに対して垂直な断面で見られるように、断面形状は、任意の形状であってもよい。いくつかの実施形態では、断面形状は円形である。
図1をさらに参照すると、垂直に延びるワイヤは、ゲートが横方向に延びる従来のデバイスで使用可能なものよりも長いチャネルを提供することができる一方で、小さなフットプリントも提供し、これは、従来のデバイスと同じか又は小さい。ワイヤの複数の側面を包囲する又はワイヤの複数の側面に沿って延びるゲートと組み合わせることで、これらの垂直なデバイスは、電流フローの改善された制御を提供することができる。また、垂直に延びるワイヤは、コンタクトを形成するためにワイヤに隣接するより大きな面積を残すことができ、それにより、これらのトランジスタを含む集積回路の他のデバイスとの信頼性のある接続を容易にする。
本明細書で記載される一部の実施形態では、一般的な、垂直に延びる、ワイヤ−ベースのデバイスを形成するための方法を提供する。誘電体層のスタックは、基板に亘って形成されるスペーサ層によって隔てられる。一部の実施形態では、2又はそれ以上、又は3又はそれ以上の誘電体層は、誘電体層を隔てるスペーサ層により、提供される。誘電体層は、各スペーサ層の上部又は下部に配置されてもよい。垂直に延びる開口は、誘電体層に形成され、開口は、垂直に延びるワイヤを形成するために充填される。一部の実施形態では、エピタキシャル堆積によって充填がなされる。ワイヤへの上部及び/又は下部コンタクトは、誘電体層の一部、隣接するワイヤの上部及び/又は下部の一部を、導電性材料で置換することにより形成される。導電性材料では、誘電体スタックの上面に延びる開口を塞ぎ、それにより、その表面での電気的な接触を形成する。3又はそれ以上の誘電体が提供される場合、ワイヤの中間部に隣接する誘電体層も導電性材料で置換されてもよく、これは、誘電体層の上面へ延び、電気的な接触を形成する。この中間コンタクト構造は、誘電体によってワイヤから隔てられ、トランジスタゲートとして機能する。
一部の実施形態では、ワイヤは、ドライブ−イン アニール(drive−in anneal)時に、隣接するドープされた誘電体領域からワイヤへドーパントを打ち込むことによりドープされてもよい。他の一部の実施形態では、ワイヤは、成膜直後(as−deposited)にドープされてもよい。
ワイヤによって形成されるデバイスは、誘電体材料で充填される、横方向分離トレンチを用いて他のデバイスから横方向(水平方向)に分離され、横方向のエッチストップ及び分離壁を形成してもよい。横方向のエッチストップは、デバイスが収容される分離セルを個別に規定する。一部の実施形態では、横方向のエッチストップは、材料の複数の層を含んでもよい。例えば、ライナーは、横方向のエッチストップの外壁を形成し、本明細書で説明される誘電体層のエッチングに用いられるエッチング液に耐性があってもよい。横方向のエッチストップ内部は、別の誘電体で形成されてもよい。
セルに隣接するトランジスタは、P−MOS及びN−MOS構造を含む相補型金属酸化物半導体(complementary metal‐oxide‐semiconductor(CMOS))構造を形成してもよい。一部の実施形態では、P−MOS及びN−MOS構造のそれぞれのワイヤは、異なる半導体材料で形成されてもよい。
各種のコンタクト及びトランジスタゲートを含む、本明細書に記載される各種の層及び材料は、原子層堆積(atomic layer deposition(ALD))によって堆積されてもよいことが理解されるであろう。本明細書で説明される各種のALDに対して、堆積される基板表面は、堆積サイクルで、互いに反応する前駆体に交互に露出されてもよく、堆積サイクルは、反応生成物の層(例えば、単層(monolayer))を形成するために、堆積面で第1の前駆体を吸収し、その後、第2の互いに反応する前駆体による、吸収された前駆体の反応を含む。サイクルは繰り返され、単層は、堆積された材料が所望の厚さに到達するまで互いに堆積される。一部の実施形態では、基板を収容する堆積チャンバへ一時的に分離したパルスで前駆体を流すことによって、交互の露出がなされる。他の一部の実施形態では、チャンバへの前駆体の流れの停止及び開始によって異なる時間で堆積チャンバへ前駆体をパルスすることなく、基板及び/又はリアクタ部材の移動によって、交互の露出がなされる。各堆積サイクルは、第1及び第2の前駆体に加えて、他の反応種を含むことができることが理解されるであろう。他の一部の実施形態では、堆積サイクルで用いられる前駆体は、時間で変化してもよい。
本明細書で説明されるように、一部の実施形態では、ワイヤ−ベースデバイスは、トランジスタのような半導体装置である。上部及び下部コンタクトは、ソース/ドレインコンタクトとして機能することができ、中間導電材料は、誘電体によってワイヤから隔てられることができ、ゲートとして機能することができる。他の一部の実施形態では、誘電体層の一又はそれ以上は、省略されてもよく、又は一又はそれ以上の誘電体層の置換は、省略されてもよい。このような場合、前記方法は、ワイヤの上部及び/又は下部にコンタクトを有する垂直に延びる導電性又は半導電性ワイヤを有する他のデバイスを形成するために適用されてもよい。
上記で述べたように、有利には、前記方法によって形成されるデバイスは、小さな領域以外を塞ぐ一方で、デバイスによって塞がれる領域の大部分をコンタクトの形成に用いることが可能となる。これは、デバイスとコンタクトの形成されやすさ及びデバイスの最終的な信頼性を改善することができる。例えば、一部の実施形態では、トランジスタは、約25nm以下、約15nm以下又は約10nm以下の限界寸法を有するセルを占有してもよい。また、トランジスタが形成される実施形態では、ゲートは、ワイヤの複数の側面に形成されてもよく、又はワイヤの周辺部を完全に取り囲んで形成されてもよい。このような配置は、ワイヤを通じる電流フローの制御を改善することができる。また、本明細書で説明されるように、各コンタクト及びゲートは、誘電体によって隔てられた、異なるレベルで形成されることができる。誘電体は、エッチャントへ露出及びこのような露出によって生じる変更及び損傷から、ゲートを含む他の以前に形成された構造を有利に保護してもよい。
ここで図2Aを参照すると、基板100の模式的な断面図が示される。基板100は、例えば、半導体ウェーハのような(単体、又は半導体ウェーハ上又はその下に形成される材料を含む他の材料とのアセンブリにおける)バルク半導体材料及び(例えば、他の材料とのアセンブリにおける)半導体材料層を含む、半導体材料を含む半導体基板であってもよい。一部の実施形態では、基板は、シリコンウェーハを含む。基板は、アイソレーション構造、ドープ領域及び/又は同一又は他のレベルの電子デバイスのような各種の特徴を含むことが理解されるであろう。
一部の実施形態の第1の段階では、誘電体スタックは、基板100に形成されてもよい。図2Bは、誘電体スタック122の一部を形成するためにエッチストップ層110及び誘電体層120を形成した後の図2Aの構造の概略的な断面図である。本明細書の文脈では、用語「層(layer)」は、特段の指示がない限り、単一又は複数の両方を包含する。層は、下にある基板の一部又は全部を覆ってもよい。
図2Bを続けて参照すると、エッチストップ層110は、誘電体層120を除去するために後に用いられるエッチング液に耐性のある材料で形成されてもよい。例えば、エッチストップ層110は、シリコン窒化物で形成されてもよく、誘電体層120は、シリコン酸化物で形成されてもよい。層110及び120のそれぞれは、例えば、化学気相堆積(CVD)プロセス又は原子層堆積(ALD)プロセスのような気相前駆体を用いる堆積プロセスによって堆積されてもよい。このようなプロセス、特に、ALDプロセスは、約25nm以下の限界寸法のような微細な電子デバイス向けに望まれる厚さで均一かつコンフォーマルな層を形成する利点を有することができる。
一部の実施では、誘電体層120は、例えば、燐、砒素、アンチモン、ボロン、ゲルマニウム又はインジウムでドープされてもよい。一部の実施では、ドーパントは、ボロンのようなp型ドーパントである。ドーパントは、例えば、ドーパント種のイオン注入又はガス状ドーパント種の拡散によって誘電体層120に組み込まれてもよいことが理解されるであろう。
一部の他の実施形態では、ドーパントは、層が形成された成膜直後(as−deposited)に誘電体層120へ組み込まれてもよい。例えば、誘電体層120は、基板が、異なる時間で、交互かつ順次2又はそれ以上の前駆体に露出される原子層堆積時にドープされてもよい。交互の前駆体は、層120を形成する化合物のための前駆体を含み、ドーパント前駆体も含む。堆積サイクルは、少なくとも一度、基板を各前駆体に実質的に単独で露出することを含む。複数の堆積サイクルは、所望の総厚に到達するまで、堆積された層のビルドアップするように行われる。基板は、また、堆積サイクル時に、酸素、窒素、アルゴン、ヘリウム、水素又はフッ素プラズマのようなプラズマに露出されてもよい。一部の実施形態では、各種のドーパントプロファイルは、いくつかの堆積サイクルのみにおいて基板をドーパントに露出することにより、層120内に形成されることができる。例えば、層120の上部及び下部サブレイヤーを形成する堆積サイクルは、ドーパント前駆体を除外してもよく、それにより、これらの層をドーパントフリーで形成することができる。これらのドーパントフリーサブレイヤーは、層120にドーパントを封入することを目的としており、下部及び覆っている層へ所望のドーパント拡散を低減してもよい。
誘電体層120の一部は、相補型金属酸化物半導体(CMOS)構造を形成するために反対の型にドープされた誘電体層によって置き換えられてもよい。図3は、異なるドープがなされた誘電体層で誘電体層の一部を置換した後の図2Bの構造の概略的な断面図である。一部の実施形態では、誘電体層120の一部は、除去されてもよく、別の誘電体層は、層120の除去された部分を置き換えるために堆積され、他の誘電体層は、層120とは反対の型でドープされた誘電体層130を形成するようにドープされる。層120の一部を除去することは、層120に亘ってマスクをパターニングすることによって実行され、層120のマスク保護部分が維持される一方で、層120の露出される部分は、除去される。例えば、層120がシリコン酸化物で形成されるフッ化炭素エッチングのような、層120を形成する材料のためのエッチング選択は、層120の露出された部分を選択的に除去するように実行されてもよい。誘電体層130は、層120が除去された領域に順次堆積されてもよい。誘電体層130は、層120に対して反対の型でドープされる。層120と同様に、誘電体層130は、成膜直後(as−deposited)にドープされてもよく、又は当該層の堆積後にドープされてもよい。層120がp型のドーパントを含む場合、層130は、燐のようなn型ドーパントでドープされてもよい。一部の実施形態では、層120及び130は、異なる材料で形成されてもよい。一部の他の実施形態では、層120及び130は、同一材料で形成されてもよく、これは、同一のエッチング化学物質を層120及び130の両方のエッチングのために用いられることを可能にすることにより、エッチングプロセスのような後の処理に利点を提供してもよい。層130を形成する材料は、そのように形成される層130の後に層120に亘って広がってもよいことが理解されるであろう。化学機械研磨(CMP)プロセスは、下部構造の形成のために水平面を形成するように行われてもよい。
誘電体スタック122の形成は、材料の追加の層の形成を継続する。図4は、スペーサ層140及び追加誘電体層150を形成した後の図3の構造の概略的な断面図である。スペーサ層140は、誘電体層120及び130の材料とは異なり、かつ誘電体層120及び130を除去するためのエッチングに耐性がある材料で形成されてもよい。一部の実施形態では、スペーサ層140は、誘電体材料で形成され、スペーサ層140は、エッチストップ層110を形成する材料と同一材料であってもよい。例えば、スペーサ層140は、シリコン窒化物で形成されてもよい。一部の実施形態では、誘電体層150は、シリコン酸化物で形成されてもよい。層140及び150の一方又は両方は、例えば、CVD又はALDによって形成されてもよいことが理解されるであろう。また、誘電体スタック122の様々な層は、説明の簡素化のために、スペーサ層及び誘電体層と呼ばれてもよいことが理解されるであろう。しかし、スペーサ層及び誘電体層のいずれも誘電体材料で形成されてもよい。
本明細書にさらに説明されるように、誘電体層150の厚さは、誘電体スタック122形成されるトランジスタにおけるチャネルの長さを決定してもよい。一部の実施形態では、誘電体層150の厚さは、約3−30nm、約3−15nm又は約3−10nmである。一部の実施形態では、誘電体層150は、ALDによって堆積され、ALDは、膜厚の特別に優れた制御を提供することができ、よって、この厚さによって定義されるチャネル長を制御することができる。
追加の層は、誘電体スタック122に順次追加されてもよい。図5は、誘電体スタック122の追加部分を形成するために追加スペーサ層160及び追加誘電体層170を形成した後の図4の構造の概略的な断面図である。スペーサ層160及び誘電体層170は、それぞれ、本明細書で説明される層140及び150と同様に形成されてもよい。一部の実施形態では、スペーサ層160及び140は、同一材料で形成されてもよい、及び/又は誘電体層150及び170は、同一材料で形成されてもよい。
一部の実施形態では、誘電体層170は、ドープされてもよい。例えば、誘電体層170は、誘電体層120と同様にドープされてもよく、例えば、ボロンのようなp型のドーパントを含んでもよい。層120と同様に、ドーピングは、イオン注入、ガス状ドーパント種の拡散のような各種のプロセス、及び/又は誘電体層170が堆積されるときのドーパントの取り込みによってなされてもよい。
誘電体スタック122は、追加層を順次増加させてもよい。図6は、誘電体層の一部を異なるドープがなされた誘電体層180で置換し、追加スペーサ層190を順次堆積した後の図5の構造の概略的な断面図である。ドープされた誘電体層180は、ドープされた誘電体層130と同様に形成されてもよい。例えば、層170の一部は、除去され、その後、ドープされた誘電体層180で置換されてもよく、これは、成膜直後(as−deposited)にドープされる、又はドープされない層180の堆積後にドープされてもよい。層170及び180は、また、後の処理のために平滑な水平面を形成するためにCMPプロセスにさらされてもよい。誘電体層170がp型でドープされる一部の実施形態では、誘電体層180は、n型ドーパントでドープされてもよい。
続いて図6を参照すると、追加スペーサ層190は、ドープされた誘電体層170及び180に亘って形成されてもよい。一部の実施形態では、スペーサ層190は、層170及び180をエッチングするために後に用いられるエッチング化学物質に耐性のある材料で形成される。例えば、スペーサ層190は、スペーサ層160及び140及び/又はエッチストップ層110を形成するために用いられる同一の材料で形成されてもよい。誘電体層170及び180がシリコン酸化物で形成される一部の実施形態では、スペーサ層190は、シリコン窒化物で形成されてもよい。
よって、続いて図6を参照すると、誘電体スタック122は、複数の誘電体層120、130、150、170及び180で形成され、かつこれらの誘電体層を隔てる複数のスペーサ層140、160及び190で形成されてもよい。本明細書で述べられるように、一部の実施形態では、スペーサ層140、160及び190は、誘電体層120、130、150、170及び180の一部を除去するために後に用いられるであろうエッチングに耐性のある材料で形成されてもよい。よって、スペーサ層140、160、190及びエッチング層110は、エッチストップとして機能してもよい。スペーサ層140、160、190及びエッチング層110を形成する材料は、また、誘電体材料で形成されてもよく、かつ後の形成される導電部品間に電気的な絶縁を提供するために機能してもよい。一部の実施形態では、図示されるように、層120及び130と、層170と180とは、同一の垂直レベルで堆積され、かつ反対の型でドープされてもよい。
次に、一部の実施形態の第2の段階では、横方向エッチストップ(lateral etch stops)は、誘電体スタック122を個別の領域に分割するように形成され、これらの個別の領域における電子デバイスの製造を容易にする。図7Aは、横方向エッチストップ200を形成した後の図6の構造の概略的な断面図である。横方向エッチストップ200は、誘電体スタック122の上面の保護マスク露出部分で、誘電体スタック122に亘る保護マスク(図示せず)を堆積及びパターニングすることによって形成されてもよい。トレンチは、指向性エッチング(例えば、異方性エッチング)によってこれらの部分にエッチングされてもよい。トレンチは、誘電体層120、130、150、170及び180の一部を除去するために後に用いられるエッチングに耐性のある材料で順次充填されてもよい。一部の実施形態では、エッチストップ200は、層110、140、160又は190を含む他のエッチストップ層と同様の材料で形成されてもよい。例えば、エッチストップ200は、シリコン窒化物で形成されてもよい。
エッチストップ200が、簡素化のために、ハッチング無しで図示されているが、エッチストップ200は、単一の均一な材料を含んでもよく、又は2又はそれ以上の異なる材料を含んでもよい。例えば、エッチストップ200は、エッチストップ層として機能するライナー及びエッチストップ200の堆積の残りの部分を充填する別の材料を含む、材料の一又はそれ以上の層を含んでもよい。有利には、ライナーは、所望のエッチストップ特性を有するように選択されてもよく、フィラーは、エッチストップの堆積を完全に充填する及び/又は高い堆積レートを提供するように選択されてもよい。一部の実施形態では、ライナーは、シリコン窒化物で形成されてもよく、フィラーは、シリコン酸化物で形成されてもよい。ライナーは、CVD又はALDによって堆積されてもよい。有利には、ALDは、非常に優れた、高いコンフォーマリティ及び均一性を提供することができる。フィラーもまた、CVD又はALDによって堆積されてもよい。有利には、CVDは、プロセススループットを増大させるためのに高い堆積レートを提供してもよい。横方向エッチストップ200が充填された後、誘電体スタック122に亘って広がる残りの材料は、例えば、CMPプロセスによって除去されてもよい。
横方向エッチストップ200は、個々のセルを線引きする側壁を構築してもよく、個々のセルのそれぞれは、電子デバイスを含むであろう。図7Bは、図7Aの概略的なトップダウンビューである。図示されるように、図7Aの横方向エッチストップ200は、セル702のそれぞれの周りの連続的な境界を形成してもよい。形状は、セル702の閉じたパッキングを提供するように選択されてもよい。一部の実施形態では、図示されるように、横方向エッチストップは、セル702に対して矩形又は正方形状を規定してもよい。一部の他の実施形態では、セルは、六角形状を有してもよい。他の任意の形状も必要に応じて規定されてもよいことが理解されるであろう。
一部の実施形態の第3の段階では、ワイヤは、誘電体スタック122において形成される。図8は、誘電体スタック122におけるワイヤを実現するために開口210をエッチングした後の図7A及び7Bの構造の概略的な断面図である。開口210は、誘電体スタック122のマスクを露出するいくつかの領域で、誘電体スタック122に亘ってマスク(図示せず)を堆積及びパターニングすることによって形成されてもよい。誘電体スタック122の露出された領域は、開口210を規定するために指向性エッチングを用いてエッチングされ、開口210は、基板100へ延びてもよい。
開口210は、その後、ワイヤ220を形成するために充填される。図9は、誘電体スタック122の開口210を充填された後の図8の構造の概略的な断面図である。一部の実施形態では、開口は、シリコン(Si)、ゲルマニウム(Ge)、III−V族材料(つまり、周期律表のIII族及びV族からの元素を含む材料)、及び/又はそれらの混合物のような半導体材料で充填される。半導体材料は、材料が開口210に優先的にに堆積されるエピタキシャル堆積によって開口210へ堆積されてもよい。有利には、開口210の狭い幅及び相対的に大きい深さ、及び開口210の側壁を形成する誘電体は、アスペクト比トラッピングの現象を利用することによって、良質なエピタキシャル構造を製造することができる。一部の実施形態では、ワイヤ220は、いわゆるナノワイヤであってもよく、数十ナノメートル以下のオーダーの幅を有する。例えば、ワイヤ220は、約30−300nm又は約50−200nmの長さ(又は高さ)、及び約3−20nm、3−15nm又は3−10nmの幅を有してもよい。
続いて図9を参照すると、開口210(図8)のそれぞれは、同一材料で充填され、それにより、同一材料のワイヤ220を形成する。一部の他の実施形態では、異なるワイヤ220は、異なる材料を含んでもよい。例えば、第1のドーパント型を有する層130及び180を通過するような開口210のいくつかは、一時的な、犠牲材料で充填されてもよく、一方で、第2のドーパント型の層130及び180を通過するような他の開口210は、第1の半導体材料で充填される。したがって、犠牲材料は除去され、新たに露出される開口210は、第2の半導体材料で充填される。有利には、異なる材料で異なる開口210を充填する能力は、材料が使用される状況との良好な互換性を有する材料の選択を可能にすることができる。例えば、ワイヤ220のいくつかは、シリコンで形成されてもよいが、一方でワイヤ220の他の部分は、ゲルマニウム又はIII−V族材料で形成される。一部の実施形態では、Geは、P−MOS構造のワイヤのために使用されることができ、InGa1−xAs(0<x<1)は、N−MOS構造のワイヤを形成するために使用されることができる。
一部の他の実施形態では、個々のワイヤ220は、ワイヤ220内の異なるレベルにおいて配置される異なる材料により、異なる材料の組み合わせで形成されてもよい。例えば、シリコンは、ワイヤ220の上部、中間又は下部の1つ又は2つを形成してもよく、一方で、ゲルマニウム又はIII−V族材料は、ワイヤ220の他の部分の一又はそれ以上を形成してもよい。このような実施形態では、各種の材料は、開口210に順次堆積されてもよい。一部の実施形態では、異なる材料は、ワイヤの歪を生じ、それにより、電荷キャリア移動度を増加させる。ワイヤ220が、異なる状況(例えば、それらが後に異なってドープされる)で配置されてもよいため、異なるワイヤ220は、それらが使用されるであろう状況と互換するために選択される材料の異なるシーケンスを含んでもよい。
ワイヤ220は、その後、ドープされてもよい。図10は、誘電体スタック122のワイヤ220をドープした後の図9の構造の概略的な断面図である。一部の実施形態では、ワイヤ220は、誘電体スタック122がアニールされるドライブ−イン アニール(drive−in anneal)によってドープされてもよく、誘電体層120、170及び130、180のドーパントを、これらの層と接触するワイヤ220に打ち込む又は拡散させる。図示されるように、ドープの後、図示された構造の左側のワイヤ220は、ドープ領域220a及び220bを含む。一部の実施形態では、ドライブ−イン アニール(drive−in anneal)は、ドーパントが、左ワイヤ220の中間部220cへ適切に拡散される前に、停止される。同様に、右ワイヤ220は、ドープされた部分220a’及び220b’、及び実質的にドープされない中間部220c’を含む。一部の実施形態では、実質的にドープされない中間部220c及び220c’は、チャネル領域として機能してもよく、かつ約30nm以下、約20nm以下又は約5−15nm以下の高さを有してもよい。
一部の実施形態の第4の段階では、ワイヤ220とインタラクトするコンタクト及び導電構造は、形成される。図11は、下部コンタクトを規定するための開口230を形成した後の図10の構造の概略的な断面図である。開口230は、誘電体スタック122に亘ってマスク(図示せず)を堆積及びパターニングすることによって形成されてもよい。誘電体スタック122は、マスクの開口を通じて指向性エッチングでエッチングされ、それにより、開口230を形成する。指向性エッチングは、一又はそれ以上のエッチング化学物質を使用し、当該層で又は当該層においてストップすることにより層を露出する用に構成されることが理解されるであろう。
開口230の壁は、その後、ライナーでリンクされる。図12は、ライナー232で開口230を内張りした後の図11の構造の概略的な断面図である。開口230は、誘電体層120及び130へアクセス可能なように機能し、それにより、これらの層をエッチャントへ露出させ、除去させることを可能にする。ライナー232は、開口230の側面で層を保護するように堆積される。また、ライナー232は、後に形成される導電構造間の横方向の電気的な絶縁を提供するために機能してもよく、このような絶縁を提供するために十分な厚さであることが好ましい。一部の実施形態では、ライナー232は、ALDによって形成され、ALDは、形成される高いコンフォーマリティ、均一性、及びステップカバレッジを可能にする。一部の実施形態では、ライナー232を形成する材料は、層110及び140を形成する材料と同一である。例えば、ライナー232は、シリコン窒化物で形成されてもよい。
ライナー232は、また、開口230の底部に内張りされ、層120及び130へのアクセスをブロックすることが理解されるであろう。開口230の底部におけるライナー232の一部は、後のエッチングのために層120及び130へのアクセスを提供するために除去されてもよい。図13は、開口230の底部におけるライナー232を除去した後の図12の構造の概略的な断面図である。この除去は、ライナー232を指向性エッチングにさらすことによって行われてもよく、指向性エッチングは、開口230の側面におけるライナー232の一部に対する開口230の底部におけるライナー232の一部を好ましく除去する。よって、誘電体層120及び130は、開口230の底部において露出されてもよい。
露出された誘電体層120及び130は、続いて、例えば、これらの層を形成する材料のためのウェットエッチング選択を用いて選択的に除去されてもよい。図14は、誘電体スタック122の上面下に延びる開口空間(open volumes)240を残すために、露出された誘電体層120及び130を除去した後の図13の構造の概略的な断面図である。これらの開いた空間240は、また、埋め込み開口空間(buried open volumes)ともよばれる。ワイヤ220の底部220a及び220a’は、埋め込み開口空間240において露出される。横方向エッチストップ200、エッチストップ110及びスペーサ層140は、誘電体層120及び130を除去するために使用されるエッチャントを閉じ込めてもよいことが理解されるであろう。よって、埋め込み開口空間240は、各セル702内及び各セルの特定のレベル内に閉じ込められてもよい。図14及び以下の図の多くは、図示の簡素化のために、2つのセル702に注目することが理解されるであろう。しかし、本明細書で開示されるプロセスは、このようなセル702のアレイのそれぞれに適用されてもよい。例えば、図13及び14に示されるセル702の左及び/又は右側に、別のセルが存在する場合、別の開口230は、それらのセルに形成されてもよいことが理解される。
コンタクトは、続いて、下部220a及び220a’になされてもよい。図15は、下部コンタクト250を形成するために導電性材料で埋め込み開口空間240を充填した後の図14の構造の概略的な断面図である。下部コンタクト250は、ワイヤ220aの下部220a及び220a’と接触し、かつ、構造の上面へ延びており、他の回路との電気的な接触がなされる。下部コンタクト250は、制限されず、RuO、IrO、W、Ir、Pt、SrRuO、Rh、Pd、Ag、Cu、Re、Os又はAu又はそれらの混合物、又はNbN、ZrN、HfN、MoN、WN、VN、又はTaN又はそれらの混合物のような貴金属、貴金属の酸化物又は窒化物で形成されてもよい。好ましくは、下部コンタクトは、Ti、Ta、Nb、W、Ni又はそれらの混合物のような遷移金属、又はTiN、TaN、NbN及びWN又はそれらの混合物のような遷移金属窒化物で形成される。一部の実施形態では、下部コンタクト250は、ALDによって形成されてもよい。ALDは、堆積サイクルごとのほぼ自己限定層(self−limited layer)(例えば、単一層)での前駆体を堆積することができることが理解されるであろう。複数の堆積サイクルは、空間240を完全に充填するように実行されてもよい。このような、単一層ごとの(monolayer−by−monolayer)成長は、堆積レートが局所的な前駆体濃度に密接に関連する他の堆積プロセスで生じたとき、開口240のネックでの堆積をピンチオフせずに、下部コンタクト250を形成する材料が、埋め込み空間240を完全に充填することを可能にする。
トランジスタが製造される実施形態では、ゲートは、続いて形成されてもよい。図16は、ゲートを規定するための開口260を形成した後の図15の構造の概略的な断面図である。エッチマスク(図示せず)は、誘電体スタック122に亘って形成され、誘電体スタック122は、開口260を形成するために指向性エッチングを用いてエッチマスクを通じてエッチングされる。指向性エッチングは、誘電体層150上又は誘電体層150内で停止し、それにより、露出された層150の一部を残す。
ライナーは、層150の一部を除去するために用いられる、後のエッチングからこれらの壁を保護するために、開口260の壁に堆積される。図17は、ライナー262で開口260をライニングした後の図16の構造の概略的な断面図である。一部の実施形態では、ライナー262は、ALDによって形成される。ライナー262を形成する材料は、層110、140及びライナー232(図12)を形成する材料と同一であってもよい。例えば、ライナー262は、シリコン窒化物で形成されてもよい。
ライナー262の底部が、開口260の底部を覆うため、ライナー262の底部は、エッチャントが、下にある誘電体層150にアクセス可能となるように除去されてもよい。図18は、ライナー262の底部をエッチングした後の図17の構造の概略的な断面図である。エッチングは、指向性エッチングであってもよく、これは、ライナー262の底部を選択的に除去する一方で、開口260の側壁のライナー262を残す。よって、誘電体層150は、開口260の底部に露出されたまま残る。
図19は、開口260によって露出された誘電体層150の一部を除去した後に形成される埋め込み開口空間270を示す図18の構造の概略的な断面図である。誘電体層150は、当該層を形成する材料のためのウェットエッチング選択により選択的に除去されてもよい。得られた埋め込み空間270は、露出されるワイヤ220の中間部220c及び220c’を残す。
中間部220c及び220c’は、チャネル領域として機能し、ゲート誘電体によりゲートから隔てられることが理解されるであろう。有利には、このゲート誘電体は、誘電体内に埋め込み空間270の壁を単に内張りすることにより、形成されてもよい。図20は、埋め込み開口空間270の誘電体ライナー280を形成した後の図19の構造の概略的な断面図である。一部の実施形態では、誘電体ライナー280は、ALDによって形成されてもよく、ALDは、中間部220c及び220c’の側面の信頼性のあるカバレッジを提供する一方で、良好な厚さ制御を維持することができる。
誘電体ライナー280は、好ましくは、(シリコン酸化物よりも高い誘電率を有する)high−k誘電体材料で形成される。high−k誘電体材料の例は、ハフニウム酸化物のような、ハフニウム(Hf)を含む化合物を含む。high−k誘電体材料の他の例は、ジルコニウム(Zr)、イットリウム(Y)、アルミニウム(Al)又はチタニウム(Ti)の酸化物を含む。一部の実施形態では、誘電体ライナー280のALD堆積は、金属及び酸素前駆体それぞれへの埋め込み開口空間270の交互かつ連続的な露出を含む。一部の実施形態では、ハロゲン化金属が金属前駆体として用いられ、例えば、化学式MXnを有する化合物では、Mが金属であり、Xが、フッ化物、塩化物、臭化物又はヨウ化物のようなハロゲン化物であり、nがMの価数に等しく、例えば、MがTiである場合、TiCl、TiBr及びTiIである。一部の実施形態では、金属ハロゲン化前駆体は、AlCl、HfCl、HfI、SiCl、SiBr、SiI、SiF、ZrCl及びZrIを含む。金属前駆体は、埋め込み開口空間270の壁にほぼ単一の分子層ではなく形成されるように提供されてもよい。金属の層は、その後、特に制限されないが、酸素、プラズマ励起酸素、原子状酸素、オゾン、水、酸素/水素、水素/二酸化炭素、一酸化窒素(NO)、二酸化窒素(NO)、亜酸化窒素(NO)、過酸化水素(H)等を含む酸素前駆体と反応される。金属及び酸素前駆体への交互の露出は、誘電体ライナー280が所望の厚さに到達するまで継続することができる。
一部の実施形態では、誘電体材料は、例えば、ジルコニウムを含むハフニウム酸化物のような、上記で述べた材料の組み合わせを含んでもよい。一部の実施形態では、high−k誘電体は、これらの酸化物の2又はそれ以上の構成層によって形成されるナノラミネートである(例えば、ナノラミネートは、ハフニウム酸化物及びシリコン酸化物の層を含んでもよい)。例えば、これらの酸化物は、金属及び酸素前駆体へ交互に露出するALDによって形成されてもよい。ナノラミネートは、異なる堆積サイクルで使用される金属前駆体を変更することにより形成されてもよい。
一部の実施形態では、high−k誘電体は、GeO、GeAlO、Al、AlSiO及びTaSiO又はそれらの任意の組み合わせを含むことができる。
導電性材料は、ゲートを形成するために開口空間270へ堆積されてもよい。図21は、ゲート290を形成するために導電性材料で埋め込み開口空間270を充填した後の図20の構造の概略的な断面図である。各ゲート290は、ワイヤ220の1つの周辺部の周りに完全に広がることが好ましい。一部の他の実施形態では、ゲート290は、ワイヤ290の周囲に部分的に広がってもよい。導電性材料は、構造の上面に広がっており、他の回路との電気的な接触を可能にする。一部の実施形態では、導電性材料は、金属である。金属の例は、制限されず、Ni、Fe、Co、Cu、Ag、Cr、V、Mn、Zn、Sn、Pb、Bi、In、及びCdを含む。一部の実施形態では、導電性材料は、Ti、Ta、W、TiC、TaC、WC、TiN、TaN、WN、TiAlC又はTaAlC又はそれらの混合物である。
導電性材料は、ALD、電気化学堆積(例えば、電気めっき)、無電解めっき、シードなしめっき、CVD、超臨界流体からの反応性堆積(例えば、溶媒として超臨界二酸化炭素CO2を用いる化学流体堆積(chemical fluid deposition(CFD)))、又はそれらの組み合わせによって堆積されてもよい。例えば、一部の実施形態では、シード層は、ALDによって堆積され、残りの層は、電気化学堆積によって堆積されてもよい。
一部の実施形態では、ゲート290の少なくとも一部は、金属酸化物を形成することによって堆積され、その後、金属層を残すために金属酸化物を還元する。金属酸化物は、例えば、CVD又はALDによって形成されてもよい。一部の実施形態では、金属酸化物は、ALDによって形成され、埋め込み開口空間270は、金属及び酸素前駆体へ交互に露出され、それにより、金属酸化物を形成する。酸化物は、金属を形成するために還元される。
一部の他の実施形態では、金属ゲートは、複数の金属を含む混合酸化物を堆積するために、ALD時に2つの異なる前駆体を用いて形成されてもよい。混合酸化物は、その後、混合金属を形成するために還元される。例えば、それぞれ完全に混合された酸化ALDサイクルは、第1の金属を含む第1の材料を単層になるまで形成する第1の堆積サブサイクルと、第2の金属を含む第2の材料を単層になるまで形成する第2の堆積サブサイクルと、を含んでもよい。第1及び第2の堆積サブサイクルは、完全なALDサイクルを構築する。各完全なALDサイクルの第1の堆積サブサイクル及び第2の堆積サブサイクルの数は、所望の堆積を実現するために変更されてもよい。一部の実施形態では、形成された混合酸化物は、第2の金属よりも第1の金属を多く含む。一部の実施形態では、混合金属酸化物の金属の少なくとも80%、少なくとも90%、少なくとも95%、少なくとも97%、少なくとも98%又は少なくとも99.5%は、第1の金属である。一部の実施形態では、混合金属酸化物の金属の約30−80%又は約40−60%は、第2の金属である。一部の実施形態では、第1の金属は、Ni、Fe、Co、Cu、Ag、Cr、V、Mn、Zn、Sn、Pb、Bi、In及びCdからなる群から選択され、第2の金属は、Pt、Ni、Pd、Rh、Ru及びCoからなる群から選択される。有利には、混合酸化物の形成は、酸化物が、一つの金属のみを含む酸化物よりも低い温度で酸化されることが可能となる。
堆積に続いて、金属酸化物は、還元されてもよい。一部の実施形態では、還元剤は、水素雰囲気で導入されることができる。一部の実施形態では、金属酸化物は、水素含有雰囲気で少なくとも部分的に還元され、これは、H、NH、N、水素含有プラズマ、水素ラジカル、水素原子又はそれらの混合物を含むことができる。一部の実施形態では、混合金属酸化物は、一又はそれ以上の反応性有機化合物と接触してもよく、反応性有機化合物は、アルコール(−OH)、アルデヒド(−CHO)及びカルボン酸(−COOH)の群から選択された少なくとも1つの官能基を含む。一部の実施形態では、基板は、水素環境で還元され、有機還元剤と接触する。
一部の実施形態では、金属酸化物は、金属層を形成するために還元され、これは、その後、埋め込み開口空間270への追加の金属の電気化学堆積のためのシード層として用いられる。
一部の他の実施形態では、CFDは、埋め込み空間の金属を堆積するために行われる。超臨界流体(supercritical fluid (SCF))は、その臨界温度及び臨界圧力を超える物質であることが理解されるであろう。例えば、COは、温度が約+30℃を超え、かつ圧力が約73.75barを超えるとき、超臨界流体となる。質量移動は、超臨界流体により迅速になる。動粘度は、通常の液体よりもほぼ1つの次数の大きさで小さくなり、超臨界流体の表面張力は、無視できる。超臨界流体の拡散係数は、通常の液体よりもほぼ1つの次数の大きさで大きくなる。有利には、輸送特性は、ガス状のものと類似する一方で、密度は、液体の密度に近い。SCFsは、非常に狭い開口を浸透し、様々な化合物に溶解する。銅を含む金属は、溶媒に加えられるキレート化合物を用いて、超臨界COに溶解することができる(典型的な条件は、約+60℃、約150bar)。超臨界流体は、その後、除去され、金属を残す。有機金属銅化合物は、また、超臨界COに溶解することができる。PCT公報WO03/053895、A. Cabanas et al. in J. Chemistry of Materials、 vol. 15(15) (2003)、 pp. 2910‐2916、E. Kondoh et al. in Microelectronic Engineering、 vol. 64(1‐4) (2002) pp. 495‐499、及びJ. M. Blackburn et al. in Science、 vol. 294(5540) (2001) pp. 141‐145は、超臨界COからの銅の堆積の例として挙げられる。
堆積された導電性材料は、金属以外の導体であることが理解されるであろう。一部の実施形態では、導電性材料は、導電性ポリマーでありうる。例示的な導電性ポリマーは、ヨウ素をドープしたポリアセチレン、ポリアニリン、ポリピロール又はポリチオフェンを含むが、これに限定されない。ポリマーは、熱硬化性ポリマーの場合にトレンチ及びビアに射出成形されることができる。別の実施形態では、モノマーは、トレンチ及びビアを充填する電気伝導ポリマーを形成するために、in situで重合及びドープされる。例えば、一実施形態では、開口の表面は、Ti(OC/Al(Cのようなチーグラー・ナッタ触媒の分子層又は重合プロセスを促進する他の化合物でコートされる。ヨウ素を放出するヨウ素又はヨウ素化合物への基板の露出は、反応チャンバへのアセチレンガスの導入前、又は反応アセチレンガスの導入時のインターバルのいずれかで行われる。その後、開口は、(モノマーとして)アセチレンガスへ露出され、開口は、ヨウ素でドープされたポリアセチレンで充填される。ポリアセチレンの液相合成は、例えば、H. Shirakawa et al. in J.C.S. Chem. Comm. (1977)、 pp. 578‐580及びB. Lesiak et al. in Polish J. Chem.、 74 (2000) pp. 847‐865によって説明されている。これらの文献で説明されている触媒は、ビア及びトレンチの表面でのガス状モノマーからドープされた導電性ポリマーの合成に適用される。
一部の他の実施形態では、導電性材料は、カーボンナノチューブでありうる。例えば、相対的に短いカーボンナノチューブビットは、例えば、超臨界COのような流体に懸濁され、埋め込み空間に導入される。その後、流体は、反応チャンバの圧力を低くすることにより気化され、カーボンナノチューブビットは、ファンデルワールス相互作用により互いに接着する。その後、電流は、カーボンナノチューブを通じて流れることが可能になる。カーボンナノチューブ導体の電気伝導性は、非常に高い電流密度に耐えうる連続的なカーボンナノチューブワイヤーが形成されるという理由で、電流の処理時に改善する。電流によるカーボンナノチューブ同士の「溶接」は、K. Jiang et al. in Nature、 419 (2002) p. 801により提案されており、これは、本明細書に参照によって援用される。
他の実施形態では、導体250は、ナノ金属パウダーから製造されることができる。好適なナノ金属パウダーは、Argonide Corporation、 291 Power Court、 Sanford、 Fla.、 USAによって販売されている。好ましくは、一実施形態では、ナノ金属パウダーは、超臨界COのような不活性液体のスラリーとして懸濁され、その後、埋め込み空間へ導入される。液体は、反応チャンバの圧力をゆっくりと下げることにより構造から除去される。その後、基板は、焼結プロセスを開始するために約200−300℃に加熱される。ナノ金属粒子は、共に融合し、埋め込み空間を通じて広がる連続的な導体を形成する。粒子の大きさ分布が、フラクタル状、例えば、3つの異なる大きさレベルであるナノ金属パウダーの混合物を選択することが有益である。このような混合物の使用は、埋め込み空間への金属粒子の非常に密なパッキングを可能にする。これは、最小の粒子(例えば、直径約1−3nm)は、中間サイズの粒子(例えば、直径約5−8nm)の間の穴を充填することができ、かつ中間サイズの粒子は、大きなサイズの粒子(例えば、直径約10−20nm)の間の穴を充填することができるためである。この場合、焼結プロセスの前の粒子間の空のスペースはわずかしかないため、焼結プロセスは、導体の堆積を実質的に減少させない。
一部の実施形態では、導電性材料は、また、ポーラロンスレッド(polaron threads)を含む超伝導体から製造されることもできる。L. Grigorovらは、米国特許第5,777,292号で教示しており、その開示は、参照によって本明細書に援用され、ポーラロンを有する導体の形成は、材料を通じて伝導スレッド(conducting threads)を形成する。より詳細なポーラロン導体は、ウェブページ http://www.ultraconductors.comに示されている。材料における付随する局所的な歪を有する電子は、ポーラロンと呼ばれる。頻繁に、ポーラロンは、材料の不純物によって捕捉される。ポーラロンは、それ自体の周囲にポテンシャル井戸を生成する。ポーラロンの電子は、ポーラロンが連鎖している場合、電気のキャリアとして移動及び機能することができる。有利には、超伝導体の抵抗は、室温を超える場合でさえ、銅と超伝導体との抵抗の間にある。また、極小RCリレーが得られ、コンタクト抵抗は、無視できる。
ここで、図22を参照すると、ワイヤ220のための上部コンタクトは、続いて形成される。図22は、上部コンタクトを規定するための開口300を形成した後の図21の構造の概略的な断面図である。エッチマスク(図示せず)は、誘電体スタック122に亘って形成され、スペーサ層190は、開口300を形成するために指向性エッチングを用いて、エッチマスクを通じてエッチングされる。スペーサ層190が十分に薄い一部の他の実施形態では、ウェットエッチングが用いられてもよい。エッチングは、誘電体層170及び180上又は誘電体層170及び180内で停止し、それにより、露出されたこれらの層を残す。
誘電体層170及び180の一部は、続いて除去される。図23は、開口300によって露出される誘電体層170及び180の一部を除去した後の図22の構造の概略的な断面図である。誘電体層170及び180は、これらの層を形成する材料のためのウェットエッチング選択により選択的に除去されてもよい。得られる埋め込み空間310は、露出されるワイヤー220の上部220b及び220b’を残す。一部の他の実施形態では、ウェットエッチングに替えて、誘電体層170及び180をエッチングするために指向性エッチングが用いられる。このような場合、開口空間310は、埋め込み空間ではないが、スペーサ層190の開口300(図22)の幅と同様の幅を有するトレンチであってもよい。
開口空間310は、続いて、上部220b及び220b’への上部コンタクトを形成するために導体材料で充填されてもよい。図24は、上部コンタクト320を形成するために、導体材料で埋め込み開口空間310を充填した後の図23の構造の概略的な断面図である。一部の実施形態では、導体材料は、制限されず、Ru、RuO、IrO、W、Ir、Pt、SrRuO、Rh、Pd、Ag、Cu、Re、Os又はAu又はそれらの混合物、又はNbN、ZrN、HfN、MoN、WN、VN、又はTaN又はそれらの混合物のような貴金属、貴金属の酸化物又は窒化物で形成されてもよい。一部の実施形態では、上部コンタクト250は、好ましくは、Ti、Ta、Nb、W、Ni又はそれらの混合物のような遷移金属、又はTiN、TaN、NbN及びWN又はそれらの混合物のような遷移金属窒化物で形成される。一部の実施形態では、上部コンタクト320は、ALDによって形成されてもよい。上部コンタクト320は、ワイヤー220の上部220b及び220b’と接触し、かつ構造の上面に広がっており、他の回路との接触がなされてもよい。
図25は、図24の構造の概略的なトップダウンビューである。図示されるように、横方向エッチストップ200は、複数のセル702を隔て、複数のセル702のそれぞれは、トランジスタのような半導体を含んでもよい。図25は、半導体デバイスへの様々なコンタクトの位置のためのレイアウトの一例を提供する。上部コンタクト320、下部コンタクト250及びゲート290の拡張は、上面に見られてもよく、必要に応じて隔てられてもよく、それらの構造との電気的な接触を容易にする。図示されるように、この上面で、上部コンタクト320は、円形形状を有してもよく、上部コンタクト250及びゲート290は、矩形形状を有してもよい。これらの形状は、任意の形状であってもよく、かつ他の形状も使用されてもよいことが理解されるであろう。好ましくは、断面形状は、上部コンタクト320、下部コンタクト250及びゲート290を形成するために除去される様々な層のためのエッチャントの流れを容易にし、かつ他の回路への電気的な接触を容易にするために選択される。上面でのこれらの構成の位置は、任意の位置であり、かつ他の位置も取り得ることもまた理解されるであろう。好ましくは、前記構成は、短絡の可能性を低減するため、及び他の電気回路と接続する他の構成(例えば、導電性プラグ(図示せず))とのアライメントを容易にするために、十分に離間している。よって、図24及び25は、本明細書に記載の方法によって形成される集積回路の側面部分断面図を提供することが理解されるであろう。
各種の変更は、本明細書に記載の方法になされてもよいことが理解されるであろう。本明細書で述べたように、各種の堆積プロセスは、埋め込み空間240、270及び/又は310を充填するために使用されてもよい。一部の実施形態では、全ての空間は、同一の材料で充填されてもよく、同一の堆積プロセスを用いてもよい。一部の別の実施形態では、例えば、コスト、材料特性及び互換性、及び/又は製造の容易さの理由で、異なる材料は、異なる埋め込み空間を充填するための使用されてもよい。例えば、空間270は、ゲート290を形成するために金属で充填されてもよい一方で、空間240及び310は、下部及び上部コンタクト250及び320を形成するために、別の導電材料で形成されてもよい。例えば、他の導電化合物は、TiClのようなハロゲン化物前駆体及びNHのような窒素前駆体を用いるALDによって堆積されるTiNであってもよい。タングステン金属のような金属導体の空間のための例示的なALD反応物質は、WFのような金属化合物及びボラン(例えば、ジボランB)のような還元剤を含む。一部の実施形態では、コンタクト250及び320は、金属のいずれかで形成されてもよく、ゲート290のために本明細書で述べられた堆積プロセスに関連してもよい。例えば、ニッケルは、ALDによって堆積されるコンタクト250及び320を形成するために用いられてもよい。
また、一部の実施形態では、反対の型にドープされた層130を形成するために層120の一部を置換すること、及び反対の方にドープされた層180を形成するために層170の一部を置換することではなく、層120及び170の異なる部分は、異なるドープがなされた層120及び130を形成するために異なるドーパントでドープされてもよい。例えば、保護マスクは、一方の型のドーパントでドープされるマスク露出部の開口により、層120に亘って形成及びパターニングされてもよい。それらの露出された領域は、その後、ドープされ、マスクは、続いて、除去され、別のマスクは、形成及びパターニングされ、別のドーパント型でドープされる層120の別の部分を露出する。それらの他の露出された部分は、その後、他の型のドーパントを用いてドープされてもよい。マスクは、その後、除去されてもよい。層170は、異なるドーパントで同様にドープされてもよい。一部の別の実施形態では、異なるドープされた層130及び180は、同様にドープされたワイヤ−ベースデバイスの繰り返しアレイを形成するために省略されてもよい。
一部の実施形態では、層120及び/又は170は、ドープされなくてもよく、ドライブ−イン アニール(drive−in anneal)は、ワイヤ220のドープのために使用されない。そうではなく、ワイヤ220は、成膜直後(as−deposited)でドープされてもよい。このような成膜直後のドーピングは、ワイヤ220のドープされた領域とドープされない領域とのシャープな境界を形成することを助け、これは、ワイヤを用いて形成されるトランジスタの電気的な機能性の予測可能性を増大させることができる。
一部の実施形態では、ワイヤ220は、トンネル電界効果トランジスタ(TFET)を形成してもよい。図10を再度参照すると、同一型のドーパントで上部及び下部220a、220a’及び220b、220b’をドーピングするのではなく、上部及び下部は、異なるドーパントでドープされてもよい。例えば、下部220a、220a’は、p型ドーパントでドープされる一方で、上部220b、220b’は、n型ドーパントでドープされてもよい。このようなドーピングは、一方のドーパント型で誘電体層120、130をドーピングし、かつ他方のドーパント型で誘電体層170、180をドーピングすることによりなされてもよい。ドライブ−イン アニールは、その後、本明細書に記載されたように、ワイヤ220へドーパントを打ち込むように実行されてもよい。一部の他の実施形態では、ワイヤ220は、成膜直後(as−deposited)に所望のドーパントでドープされる。
続いて図10を参照すると、一部の実施形態では、相補型TFETは、特定レベルで異なるドープがなされたワイヤ部分を有するTFETによって形成されてもよい。下部220a及び220a’は、異なるドープがされ、上部220b及び220b’は、異なるドープがされ、個々のワイヤ220の上部及び下部は、異なるドープがされてもよい。例えば、下部220a及び220a’は、それぞれ、n及びp型ドーパントでドープされる一方で、上部220b及び220b’は、それぞれ、p及びn型ドーパントでドープされてもよい。ドーピングは、ドライブ−イン アニール(drive−in anneal)及び/又は成膜直後(as−deposited)で行われてもよい。
一部の実施形態では、個別のTFETを形成するワイヤ220は、2又はそれ以上の異なる半導体材料を含んでもよい。例えば、下部220a、220b’及び中間部220cは、シリコンで形成されてもよい一方で、上部220c、220c’は、ゲルマニウムで形成されてもよい。一部の実施形態では、シリコン部分は、nドープ又はドープされなくてもよく、ゲルマニウム部分は、pドープされてもよく、デバイスを通じて電流を増大させるための利点を提供することができる。一部の他の実施形態では、異なるTFETは、ワイヤ220のための半導体の異なる組み合わせを含んでもよい。例えば、相補型TFETを有する一部の実施形態では、ワイヤ220の1つは、nドープ及びドープされないシリコン部分を有するpドープされたゲルマニウムを含んでもよく、相補型ワイヤ220は、pドープ及びドープされないシリコン部分を有するnドープされたインジウム−砒素部分を含んでもよい。
TFETのためのソース/ドレインコンタクト及びゲートは、続いて、コンタクト230、320及びゲート290を形成するために本明細書で記載されたように形成されてもよいことが理解されるであろう。
ゲート290及びコンタクト250、320は、他のシーケンスで形成されうることが理解されるであろう。有利には、エッチストップ層110及びスペーサ層140、160及び190は、他のレベルでの他の構成を保護及び隔離する。例えば、一部の実施形態では、ゲート290は、コンタクト250及び320の後に形成されてもよい。
当業者により、本発明から逸脱されない範囲で種々の省略、追加および変形が、本発明の範囲を逸脱しない限り、当業者によりなされうることがわかるであろう。実施形態の特定の特徴及び態様の各種のコンビネーション又はサブコンビネーションがなされ、発明の詳細な説明の範囲内にあることが考慮される。開示された実施形態の各種の特徴及び態様は、順番に、互いに組み合わされる又は構築されることができる。このような変更および変形の全ては、添付の特許請求の範囲により規定されるような、本発明の範囲内で逸脱しないことが意図される。

Claims (30)

  1. 半導体装置を製造する方法であって、
    単結晶シリコン基板を提供するステップと、
    前記単結晶シリコン基板に亘って層のスタックを形成するステップであって、前記スタックが、スペーサ層によって隔てられる3つのシリコン酸化物層を含む、ステップと、
    前記単結晶シリコン基板へスタックダウンで垂直ナノワイヤホールをエッチングするステップと、
    エピタキシャル堆積プロセスを用いて前記垂直ナノワイヤホールを充填することによりナノワイヤを形成するステップと、を備える方法。
  2. 前記シリコン酸化物層の一又はそれ以上は、ドーパントを含み、
    前記シリコン酸化物層の少なくとも1つから前記ナノワイヤへドーパントを打ち込むためにアニールを行うステップをさらに備える請求項1に記載の方法。
  3. 前記シリコン酸化物層の他のものは、ドーパントを含む請求項2に記載の方法。
  4. 前記ナノワイヤの幅は、約15nm以下である請求項1に記載の方法。
  5. 半導体装置を製造する方法であって、
    シリコン基板を提供するステップと、
    前記シリコン基板に誘電体スタックを形成するステップであって、前記誘電体スタックが、複数の誘電体層を含み、隣接する前記誘電体層が、隣接する前記誘電体層とは異なる材料で形成されるスペーサ層によって隔てられる、ステップと、
    前記誘電体スタックを通じて垂直に延びるホールを形成するステップと、
    エピタキシャル堆積を行うことにより前記ホールを充填するステップであって、前記ホールを充填する材料がワイヤを形成する、ステップと、を備える方法。
  6. 前記誘電体スタックを形成するステップは、
    第1のスペーサ層を堆積するステップと、
    第1の誘電体層を堆積するステップと、
    第2のスペーサ層を堆積するステップと、
    第2の誘電体層を堆積するステップと、
    第3のスペーサ層を堆積するステップと、
    第3の誘電体層を堆積するステップと、
    第4のスペーサ層を堆積するステップと、を備える請求項5に記載の方法。
  7. 側面エッチストップを形成するステップであって、前記側面エッチストップが、前記ワイヤを収容するための領域の周囲に連続的に広がっている、ステップをさらに備え、
    前記側面エッチストップを形成するステップは、
    前記誘電体スタックを通じて前記シリコン基板へトレンチをエッチングするステップと、
    前記複数の誘電体層を形成する材料とは異なる材料で前記トレンチを少なくとも部分的に充填するステップと、を備える請求項6に記載の方法。
  8. 前記誘電体スタックを通じて垂直に延びる前記ホールを形成するステップは、複数の前記ホールを形成することを含み、前記ホールを充填するステップによって複数の前記ワイヤを形成し、前記ホールのそれぞれは、前記ワイヤの1つを有し、
    複数の前記側面エッチストップを形成するステップであって、各側面エッチストップが、領域のアレイを隔てかつ線引きし、各領域が前記ワイヤの1つを収容するためのものであり、複数の前記側面エッチストップは、各領域の周囲に連続的に広がる、ステップをさらに備える請求項7に記載の方法。
  9. 第1の誘電体層を露出するために前記誘電体スタックにおいて第1の開口を形成するステップと、
    前記側面エッチストップによって囲まれる領域内の前記第1の誘電体層を選択的にエッチングし、それにより、第1の埋め込み空間を形成するステップと、をさらに備える請求項7に記載の方法。
  10. 前記第1の埋め込み空間を、前記ワイヤと電気的に接触する下部導電材料で充填するステップをさらに備える請求項9に記載の方法。
  11. 第2の誘電体層を露出するために前記誘電体スタックにおいて第2の開口を形成するステップと、
    前記側面エッチストップによって囲まれる領域内の前記第2の誘電体層を選択的にエッチングし、それにより、第2の埋め込み空間を形成するステップと、をさらに備える請求項10に記載の方法。
  12. 前記ワイヤの露出された部分に誘電体ライナーを形成することを含む、前記第2の埋め込み空間にhigh−k誘電体材料を堆積することにより前記誘電体ライナーを形成するステップをさらに備える請求項11に記載の方法。
  13. 前記ワイヤの周辺部の周りに配置される中間導電材料で前記第2の埋め込み空間を充填するステップをさらに備える請求項12に記載の方法。
  14. 前記誘電体ライナーを形成するステップは、原子層堆積によって前記誘電体材料を堆積することを含む請求項12に記載の方法。
  15. 第3の誘電体層を露出するために前記誘電体スタックにおいて第3の開口を形成するステップと、
    前記側面エッチストップによって囲まれる領域内の前記第3の誘電体層を選択的にエッチングし、それにより、第3の埋め込み空間を形成するステップと、をさらに備える請求項14に記載の方法。
  16. 前記第3の埋め込み空間を、前記ワイヤと電気的に接触する上部導電材料で充填するステップをさらに備える請求項15に記載の方法。
  17. 前記ワイヤは、トランジスタのチャネル領域を形成し、前記下部導電材料は、下部トランジスタコンタクトを形成し、中間導電材料は、ゲートを形成し、前記上部導電材料は、上部トランジスタコンタクトを形成する請求項16に記載の方法。
  18. 前記側面エッチストップによって囲まれる別の領域に相補型ワイヤを形成するステップであって、前記別の領域は、前記ワイヤが収容される領域に隣接される、ステップをさらに備える請求項17に記載の方法。
  19. 前記ワイヤの上部及び下部は、P型にドープされ、前記ワイヤは、PMOSトランジスタのチャネル領域を形成し、前記補助ワイヤの上部及び下部は、N型にドープされ、前記相補型ワイヤは、NMOSトランジスタのチャネル領域を形成する請求項18に記載の方法。
  20. 前記ワイヤの上部及び下部と前記相補型ワイヤの上部及び下部とは、反対の型でドープされ、前記ワイヤの上部は、前記相補型ワイヤの上部に対して反対の型でドープされ、前記ワイヤ及び前記相補型ワイヤは、相補型トンネル電界効果トランジスタを形成する請求項18に記載の方法。
  21. 前記第1の誘電体層をドープするステップと、
    前記第3の誘電体層をドープするステップと、をさらに備える請求項6に記載の方法。
  22. 前記第1の誘電体層及び前記第3の誘電体層から前記ワイヤへドーパントを拡散するステップをさらに備える請求項21に記載の方法。
  23. 前記ドーパントを拡散するステップは、前記第1の誘電体層及び前記第3の誘電体層から前記ワイヤへドーパントを打ち込むために前記誘電体スタックをアニールすることを含む請求項22に記載の方法。
  24. 複数の前記誘電体層のそれぞれは、同一の材料を含む請求項5に記載の方法。
  25. 複数の前記誘電体層のそれぞれは、シリコン酸化物を含む請求項24に記載の方法。
  26. 前記スペーサ層のそれぞれは、同一の材料を含む請求項5に記載の方法。
  27. 前記スペーサ層のそれぞれは、シリコン窒化物を含む請求項26に記載の方法。
  28. 垂直に延びる前記ホールを形成するステップは、前記ホールを前記シリコン基板へエッチングすることを含む請求項5に記載の方法。
  29. 前記ホールを充填するステップは、前記ワイヤを形成する材料が堆積されたときに、前記ワイヤを形成する材料をドーピングすることを含む請求項5に記載の方法。
  30. 前記ホールを堆積するステップは、シリコン、ゲルマニウム又はその混合物を堆積することを含む請求項5に記載の方法。
JP2014183997A 2013-10-03 2014-09-10 ワイヤ−ベース半導体装置を製造する方法 Active JP6226839B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/045,680 US9012278B2 (en) 2013-10-03 2013-10-03 Method of making a wire-based semiconductor device
US14/045,680 2013-10-03

Publications (3)

Publication Number Publication Date
JP2015073095A true JP2015073095A (ja) 2015-04-16
JP2015073095A5 JP2015073095A5 (ja) 2017-06-08
JP6226839B2 JP6226839B2 (ja) 2017-11-08

Family

ID=49995284

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014183997A Active JP6226839B2 (ja) 2013-10-03 2014-09-10 ワイヤ−ベース半導体装置を製造する方法

Country Status (4)

Country Link
US (2) US9012278B2 (ja)
JP (1) JP6226839B2 (ja)
KR (1) KR101824155B1 (ja)
TW (1) TWI609491B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108541341A (zh) * 2016-02-01 2018-09-14 高通股份有限公司 垂直堆叠的纳米线场效应晶体管
JP2019525484A (ja) * 2016-08-08 2019-09-05 東京エレクトロン株式会社 三次元半導体デバイス及び製造方法
JP2020520110A (ja) * 2017-05-16 2020-07-02 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation Vfetの下部接点抵抗が低減された半導体デバイスを形成する方法および半導体デバイス
JP2022515592A (ja) * 2019-01-02 2022-02-21 インターナショナル・ビジネス・マシーンズ・コーポレーション 積層垂直輸送電界効果トランジスタのための2重輸送配向
WO2022153676A1 (ja) * 2021-01-15 2022-07-21 国立大学法人東北大学 半導体デバイス、集積回路及びその製造方法
JP7479398B2 (ja) 2019-04-29 2024-05-08 インターナショナル・ビジネス・マシーンズ・コーポレーション 垂直積層フィン半導体デバイス

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9012278B2 (en) * 2013-10-03 2015-04-21 Asm Ip Holding B.V. Method of making a wire-based semiconductor device
WO2015147865A1 (en) * 2014-03-28 2015-10-01 Intel Corporation Aspect ratio trapping (art) for fabricating vertical semiconductor devices
JP5692884B1 (ja) * 2014-08-19 2015-04-01 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Sgtを有する半導体装置の製造方法
US9871111B2 (en) * 2014-09-18 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US20160268256A1 (en) * 2015-03-13 2016-09-15 Qualcomm Incorporated Complementary metal-oxide semiconductor (cmos) transistor and tunnel field-effect transistor (tfet) on a single substrate
US9972622B2 (en) * 2015-05-13 2018-05-15 Imec Vzw Method for manufacturing a CMOS device and associated device
TWI566417B (zh) * 2015-12-04 2017-01-11 財團法人工業技術研究院 p型金屬氧化物半導體材料與電晶體
US9882047B2 (en) * 2016-02-01 2018-01-30 International Business Machines Corporation Self-aligned replacement metal gate spacerless vertical field effect transistor
US10361300B2 (en) 2017-02-28 2019-07-23 International Business Machines Corporation Asymmetric vertical device
CN108878521B (zh) * 2017-05-09 2021-10-15 中芯国际集成电路制造(上海)有限公司 垂直隧穿场效应晶体管及其形成方法
US10475808B2 (en) * 2017-08-30 2019-11-12 Macronix International Co., Ltd. Three dimensional memory device and method for fabricating the same
CN109494249B (zh) * 2017-09-11 2022-05-24 联华电子股份有限公司 半导体元件及其制造方法
KR102337408B1 (ko) * 2017-09-13 2021-12-10 삼성전자주식회사 수직 채널을 가지는 반도체 소자 및 그 제조 방법
CN108511344B (zh) * 2018-02-09 2021-01-22 中国科学院微电子研究所 垂直纳米线晶体管与其制作方法
US10424653B1 (en) * 2018-05-21 2019-09-24 International Business Machines Corporation Vertical transport field effect transistor on silicon with defined junctions
US11164791B2 (en) * 2019-02-25 2021-11-02 International Business Machines Corporation Contact formation for stacked vertical transport field-effect transistors
CN110021603B (zh) * 2019-04-11 2021-09-14 德淮半导体有限公司 半导体结构及其形成方法
US11439825B2 (en) 2019-12-19 2022-09-13 Medtronic, Inc. Determining posture state from ECAPs
US11202912B2 (en) 2019-12-19 2021-12-21 Medtronic, Inc. Posture-based control of electrical stimulation therapy
US11179567B2 (en) 2019-12-19 2021-11-23 Medtronic, Inc. Hysteresis compensation for detection of ECAPs
US11857793B2 (en) 2020-06-10 2024-01-02 Medtronic, Inc. Managing storage of sensed information

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0669441A (ja) * 1992-03-02 1994-03-11 Motorola Inc 半導体メモリ装置
JP2000091578A (ja) * 1998-08-28 2000-03-31 Lucent Technol Inc 垂直トランジスタの作製プロセス
JP2003163282A (ja) * 2001-09-21 2003-06-06 Agere Systems Guardian Corp 多動作電圧垂直置換ゲート(vrg)トランジスタ
JP2004104135A (ja) * 1996-06-21 2004-04-02 Micron Technology Inc Soi型トランジスタを用いたsoi型トランジスタ回路及びその製造方法
JP2011228596A (ja) * 2010-04-22 2011-11-10 Shirado Takehide 半導体装置及びその製造方法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4450472A (en) 1981-03-02 1984-05-22 The Board Of Trustees Of The Leland Stanford Junior University Method and means for improved heat removal in compact semiconductor integrated circuits and similar devices utilizing coolant chambers and microscopic channels
US4938742A (en) 1988-02-04 1990-07-03 Smits Johannes G Piezoelectric micropump with microvalves
US5218515A (en) 1992-03-13 1993-06-08 The United States Of America As Represented By The United States Department Of Energy Microchannel cooling of face down bonded chips
US5241450A (en) 1992-03-13 1993-08-31 The United States Of America As Represented By The United States Department Of Energy Three dimensional, multi-chip module
US5461003A (en) 1994-05-27 1995-10-24 Texas Instruments Incorporated Multilevel interconnect structure with air gaps formed between metal leads
US5619177A (en) 1995-01-27 1997-04-08 Mjb Company Shape memory alloy microactuator having an electrostatic force and heating means
US5777292A (en) 1996-02-01 1998-07-07 Room Temperature Superconductors Inc. Materials having high electrical conductivity at room teperatures and methods for making same
US5801442A (en) 1996-07-22 1998-09-01 Northrop Grumman Corporation Microchannel cooling of high power semiconductor devices
US5763951A (en) 1996-07-22 1998-06-09 Northrop Grumman Corporation Non-mechanical magnetic pump for liquid cooling
WO1998003997A1 (en) 1996-07-22 1998-01-29 Northrop Grumman Corporation Closed loop liquid cooling within rf modules
FR2754391B1 (fr) 1996-10-08 1999-04-16 Sgs Thomson Microelectronics Structure de contact a facteur de forme eleve pour circuits integres
US5901037A (en) 1997-06-18 1999-05-04 Northrop Grumman Corporation Closed loop liquid cooling for semiconductor RF amplifier modules
US6272169B1 (en) 1998-06-09 2001-08-07 Advanced Micro Devices, Inc. Software based modems that interact with the computing enviroment
US6060383A (en) 1998-08-10 2000-05-09 Nogami; Takeshi Method for making multilayered coaxial interconnect structure
US6197641B1 (en) * 1998-08-28 2001-03-06 Lucent Technologies Inc. Process for fabricating vertical transistors
US6406995B1 (en) 1998-09-30 2002-06-18 Intel Corporation Pattern-sensitive deposition for damascene processing
US6329118B1 (en) 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
US6291353B1 (en) 1999-08-19 2001-09-18 International Business Machines Corporation Lateral patterning
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
SG105459A1 (en) 2000-07-24 2004-08-27 Micron Technology Inc Mems heat pumps for integrated circuit heat dissipation
US6569754B2 (en) 2000-08-24 2003-05-27 The Regents Of The University Of Michigan Method for making a module including a microplatform
ATE327998T1 (de) 2001-12-12 2006-06-15 Du Pont Abscheidung von kupfer mit kupferformatkomplexen
US6716693B1 (en) 2003-03-27 2004-04-06 Chartered Semiconductor Manufacturing Ltd. Method of forming a surface coating layer within an opening within a body by atomic layer deposition
US7018917B2 (en) 2003-11-20 2006-03-28 Asm International N.V. Multilayer metallization
US7241655B2 (en) * 2004-08-30 2007-07-10 Micron Technology, Inc. Method of fabricating a vertical wrap-around-gate field-effect-transistor for high density, low voltage logic and memory array
FR2897204B1 (fr) * 2006-02-07 2008-05-30 Ecole Polytechnique Etablissem Structure de transistor vertical et procede de fabrication
US7892956B2 (en) * 2007-09-24 2011-02-22 International Business Machines Corporation Methods of manufacture of vertical nanowire FET devices
US9012278B2 (en) * 2013-10-03 2015-04-21 Asm Ip Holding B.V. Method of making a wire-based semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0669441A (ja) * 1992-03-02 1994-03-11 Motorola Inc 半導体メモリ装置
JP2004104135A (ja) * 1996-06-21 2004-04-02 Micron Technology Inc Soi型トランジスタを用いたsoi型トランジスタ回路及びその製造方法
JP2000091578A (ja) * 1998-08-28 2000-03-31 Lucent Technol Inc 垂直トランジスタの作製プロセス
JP2003163282A (ja) * 2001-09-21 2003-06-06 Agere Systems Guardian Corp 多動作電圧垂直置換ゲート(vrg)トランジスタ
JP2011228596A (ja) * 2010-04-22 2011-11-10 Shirado Takehide 半導体装置及びその製造方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108541341A (zh) * 2016-02-01 2018-09-14 高通股份有限公司 垂直堆叠的纳米线场效应晶体管
JP2019508887A (ja) * 2016-02-01 2019-03-28 クアルコム,インコーポレイテッド 縦積層型ナノワイヤ電界効果トランジスタ
CN108541341B (zh) * 2016-02-01 2021-05-25 高通股份有限公司 垂直堆叠的纳米线场效应晶体管
JP2019525484A (ja) * 2016-08-08 2019-09-05 東京エレクトロン株式会社 三次元半導体デバイス及び製造方法
JP7049316B2 (ja) 2016-08-08 2022-04-06 東京エレクトロン株式会社 三次元半導体デバイス及び製造方法
JP2020520110A (ja) * 2017-05-16 2020-07-02 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation Vfetの下部接点抵抗が低減された半導体デバイスを形成する方法および半導体デバイス
JP7051901B2 (ja) 2017-05-16 2022-04-11 インターナショナル・ビジネス・マシーンズ・コーポレーション Vfetの下部接点抵抗が低減された半導体デバイスを形成する方法および半導体デバイス
JP2022515592A (ja) * 2019-01-02 2022-02-21 インターナショナル・ビジネス・マシーンズ・コーポレーション 積層垂直輸送電界効果トランジスタのための2重輸送配向
JP7422765B2 (ja) 2019-01-02 2024-01-26 インターナショナル・ビジネス・マシーンズ・コーポレーション 積層垂直輸送電界効果トランジスタのための2重輸送配向
JP7479398B2 (ja) 2019-04-29 2024-05-08 インターナショナル・ビジネス・マシーンズ・コーポレーション 垂直積層フィン半導体デバイス
WO2022153676A1 (ja) * 2021-01-15 2022-07-21 国立大学法人東北大学 半導体デバイス、集積回路及びその製造方法

Also Published As

Publication number Publication date
KR20150039698A (ko) 2015-04-13
TW201523884A (zh) 2015-06-16
US20150214301A1 (en) 2015-07-30
JP6226839B2 (ja) 2017-11-08
US9012278B2 (en) 2015-04-21
KR101824155B1 (ko) 2018-02-01
TWI609491B (zh) 2017-12-21
US20140030859A1 (en) 2014-01-30
US9553148B2 (en) 2017-01-24

Similar Documents

Publication Publication Date Title
JP6226839B2 (ja) ワイヤ−ベース半導体装置を製造する方法
CN109791931B (zh) 在存储叠层结构之间具有非均匀间距的三维存储器器件及其制造方法
US11217532B2 (en) Three-dimensional memory device containing compositionally graded word line diffusion barrier layer for and methods of forming the same
US10756186B2 (en) Three-dimensional memory device including germanium-containing vertical channels and method of making the same
CN109791932B (zh) 具有漏极选择级隔离结构的三维存储器器件及其制造方法
US10381229B2 (en) Three-dimensional memory device with straddling drain select electrode lines and method of making thereof
CN108028256B (zh) 3d nand字线中用于增强的氟保护和应力减少的坚固的成核层
US10515907B2 (en) Three-dimensional memory device containing hydrogen diffusion blocking structures and method of making the same
CN107810552B (zh) 使用含有牺牲填充材料的腔制造的多级存储器堆叠体结构
US9780182B2 (en) Molybdenum-containing conductive layers for control gate electrodes in a memory structure
US9419012B1 (en) Three-dimensional memory structure employing air gap isolation
CN104934412B (zh) 互连结构及其制造方法
US20170148800A1 (en) Three dimensional nand device containing dielectric pillars for a buried source line and method of making thereof
US10515897B2 (en) Three-dimensional memory device containing hydrogen diffusion blocking structures and method of making the same
US10229931B1 (en) Three-dimensional memory device containing fluorine-free tungsten—word lines and methods of manufacturing the same
CN108012567A (zh) 用于存储器结构中的控制栅极电极的钴和钴-半导体合金的横向堆叠体
US11476272B2 (en) Three-dimensional memory device with a graphene channel and methods of making the same
US10290652B1 (en) Three-dimensional memory device with graded word lines and methods of making the same
US10756110B1 (en) Method of forming seamless drain-select-level electrodes for a three-dimensional memory device and structures formed by the same
US11758716B2 (en) Electronic devices including vertical memory cells and related methods
US20190259847A1 (en) Semiconductor device structure and method for forming the same
US11387142B1 (en) Semiconductor device containing bit lines separated by air gaps and methods for forming the same
CN106531795B (zh) 半导体装置与半导体装置的栅极堆叠的制作方法
US11908751B2 (en) Transistor isolation regions and methods of forming the same
US20230253451A1 (en) Semiconductor device and methods of formation

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170314

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170314

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20170314

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20170329

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170418

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170425

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170719

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170926

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171010

R150 Certificate of patent or registration of utility model

Ref document number: 6226839

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250