US20100181626A1 - Methods for Forming NMOS and PMOS Devices on Germanium-Based Substrates - Google Patents

Methods for Forming NMOS and PMOS Devices on Germanium-Based Substrates Download PDF

Info

Publication number
US20100181626A1
US20100181626A1 US12/617,026 US61702609A US2010181626A1 US 20100181626 A1 US20100181626 A1 US 20100181626A1 US 61702609 A US61702609 A US 61702609A US 2010181626 A1 US2010181626 A1 US 2010181626A1
Authority
US
United States
Prior art keywords
silicon
silicon cap
germanium
thickness
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/617,026
Inventor
Jing-Cheng Lin
Chen-Hua Yu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US12/617,026 priority Critical patent/US20100181626A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIN, JING-CHENG, YU, CHEN-HUA
Publication of US20100181626A1 publication Critical patent/US20100181626A1/en
Priority to US15/264,271 priority patent/US9666487B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape

Definitions

  • This invention relates generally to integrated circuit devices, and more particularly to CMOS devices and methods for forming the same.
  • Germanium is a commonly known semiconductor material.
  • the electron mobility and hole mobility of germanium are greater than that of silicon, hence making germanium an excellent material in the formation of integrated circuits.
  • silicon gained more popularity since its oxide (silicon oxide) is readily usable in the gate dielectric of metal-oxide-semiconductor (MOS) transistors.
  • MOS metal-oxide-semiconductor
  • the gate dielectrics of the MOS transistors can be conveniently formed by thermal oxidation of silicon substrates.
  • the oxide of germanium on the other hand, is soluble in water, and hence is not suitable for the formation of gate dielectrics.
  • germanium oxides can easily evaporate at temperatures higher than about 430° C., and germanium may easily diffuse to neighboring silicon layers. This poses problems since the manufacturing processes of the MOS transistors often involve annealing temperatures of about 600° C. or above.
  • germanium layer or a compound semiconductor layer formed of group III and group V elements may be formed on a silicon substrate.
  • a III-V region may further be formed on top of the germanium layer or the compound semiconductor layer for an NMOS device, while a germanium region may be formed on top of the germanium layer or the compound semiconductor layer for a PMOS device.
  • a germanium layer is formed on a silicon substrate.
  • a silicon region is then formed on the germanium layer for an NMOS device, and a germanium region is formed on the germanium layer for a PMOS device.
  • a semiconductor structure includes a germanium substrate having a first region and a second region.
  • a first silicon cap is over the first region of the germanium substrate.
  • a second silicon cap is over the second region of the germanium substrate, wherein a first thickness of the first silicon cap is less than a second thickness of the second silicon cap.
  • a semiconductor structure includes a germanium substrate including a top layer formed of substantially pure germanium, wherein the top layer has a first region and a second region.
  • a PMOS device includes a first silicon cap over the first region of the germanium substrate, and a first gate dielectric over the first silicon cap.
  • An NMOS device includes a second silicon cap over the second region of the germanium substrate, and a second gate dielectric over the second silicon cap.
  • a first thickness of the first silicon cap is less than a second thickness of the second silicon cap. The second thickness is less than about 22 mono-layers of silicon (ML).
  • a method of forming a semiconductor structure includes providing a germanium substrate including a first region and a second region; forming a first silicon cap over the first region of the germanium substrate; and forming a second silicon cap over the second region of the germanium substrate.
  • a first thickness of the first silicon cap is less than a second thickness of the second silicon cap.
  • a method of forming a semiconductor structure includes providing a germanium substrate including a first region and a second region; masking the second region; selectively growing a first silicon cap from the first region of the germanium substrate; oxidizing a top layer of the first silicon cap to form a silicon oxide layer, wherein the first silicon cap has a first thickness after the step of oxidizing; and selectively growing a second silicon cap from the second region of the germanium substrate.
  • the second silicon cap has a second thickness different from the first thickness.
  • the method further includes forming an NMOS device including forming a first gate dielectric over a thicker silicon cap among the first silicon cap and the second silicon cap; and forming a PMOS device including forming a second gate dielectric over a thinner silicon cap among the first silicon cap and the second silicon cap.
  • the advantageous features of the embodiments of the present invention include improved performance for both PMOS and NMOS devices. Adverse effects such as the segregation and the oxidation of germanium are reduced due to the formation of silicon caps.
  • FIGS. 1 through 12B illustrate cross-sectional views of embodiments of the present invention.
  • CMOS complementary metal-oxide-semiconductor
  • semiconductor substrate 20 is provided.
  • Semiconductor substrate 20 may be formed of a germanium-containing semiconductor material, which may be expressed as Si 1-x Ge x , wherein x is the atomic percentage of germanium.
  • the materials of semiconductor substrate 20 may also be pure germanium or substantially pure germanium, for example, with a germanium atomic percentage greater than about 95 percent, or even greater than about 99 percent.
  • semiconductor substrate 20 may be a bulk substrate or have a layered structure such as germanium-on-silicon, germanium-on-insulator, or the like.
  • Semiconductor substrate 20 includes PMOS region 100 and NMOS region 200 , which may be defined by insulation regions such as shallow trench isolation (STI) region 22 .
  • STI shallow trench isolation
  • mask layer 24 which may comprise silicon oxide or silicon nitride, is formed.
  • mask layer 24 comprises silicon oxide, and may be formed using a chemical vapor deposition (CVD) method.
  • CVD chemical vapor deposition
  • mask layer 24 comprises silicon nitride and may be formed using, for example, low-pressure chemical vapor deposition (LPCVD).
  • LPCVD low-pressure chemical vapor deposition
  • mask layer 24 is formed by thermal nitridation of silicon or plasma anodic nitridation.
  • the portion of mask layer 24 in NMOS region 200 is removed, while the portion of mask layer 24 in PMOS region 100 remains un-removed.
  • the removal step may be performed by masking the portion of mask layer 24 in PMOS region 100 , for example, using a photo resist (not shown), and performing a wet etch on the exposed portion of mask layer 24 .
  • the etchant may include hot H 3 PO 4 if mask layer 24 is formed of silicon nitride. Otherwise, if mask layer is formed of silicon oxide, HF may be used.
  • silicon cap 26 is formed on the exposed surface of substrate 20 , for example, using selective epitaxial growth (SEG), wherein no silicon cap 26 is formed on mask layer 24 .
  • Silicon cap 26 may include pure silicon or substantially pure silicon, for example, with a silicon atomic percentage greater than about 95 percent, or even greater than about 99 percent, although it may comprise a small amount of other elements, such as germanium.
  • thickness Tn of silicon cap 26 is less than about 22 mono-layers (ML, or in other words, includes fewer than about 22 mono-layers of silicon atoms), which is about 18 ⁇ . Thickness Tn may also be between about 12 ML and about 22 ML, although other thicknesses may also be used.
  • 22 ML is roughly the maximum thickness of silicon that may be epitaxially grown on germanium without causing relaxation. If the thickness of silicon cap 26 is greater than about 22 ML, due to the lattice mismatch between silicon and germanium, silicon relaxation may occur, and dislocations will be generated.
  • a thermal oxidation is performed on silicon cap 26 , so that a top layer of silicon cap 26 is oxidized to form silicon oxide layer 28 , although other methods such as deposition may also be used to form silicon oxide layer 28 .
  • the lower portion of silicon cap 26 remains un-oxidized.
  • the oxidation may include, for example, low temperature plasma oxidation, with the temperature being lower than about 300° C. As a result, the amount of silicon cap 26 consumed in the oxidation may be as few as several mono-layers.
  • Silicon cap 30 may be formed of essentially the same material as silicon cap 26 , for example, pure silicon or substantially pure silicon. In an embodiment, silicon cap 30 has thickness Tp between about 4 ML and about 12 ML, although other thicknesses may also be used. Silicon oxide layer 28 is then removed, resulting in the structure as shown in FIG. 8 .
  • silicon caps 30 and 26 are formed in PMOS region 100 and NMOS region 200 , respectively.
  • the resulting thickness Tn′ of silicon cap 26 may be between about 8 ML and about 16 ML.
  • thickness Tn′ is greater than thickness Tp.
  • the thickness difference Tn′ Tp may be between about 2 Ml and about 12 ML.
  • FIG. 9 illustrates the formation of gate dielectric layer 36 .
  • gate dielectric layer 36 is formed of a high-k dielectric material.
  • the exemplary high-k materials may have a k value greater than about 4.0, or even greater than about 7.0, and may include aluminum-containing dielectrics such as Al 2 O 3 , HfAlO, HfAlON, AlZrO, Hf-containing materials such as HfO 2 , HfSiO x , HfAlO x , HfZrSiO x , HfSiON, and other materials such as LaAlO 3 and ZrO 2 .
  • Gate dielectric layer 36 may also include oxides, nitrides, oxynitrides, multi-layers thereof, and combinations thereof.
  • silicon oxide (SiO 2 ) interlayer 37 may be formed between silicon caps 30 and 26 and the overlying high-k dielectric material.
  • the thicknesses of silicon caps 30 and 26 may be further reduced.
  • SiO 2 interlayer 37 may be formed by thermally oxidizing silicon caps 30 and 26 , which may cause the thicknesses of silicon caps 30 and 26 to be further reduced, for example, by about 2 ML to about 6 ML. As a result, as shown in FIG.
  • the resulting thickness Tp′ of silicon cap 30 may be between about 2 ML and about 8 ML, and the resulting thickness Tn′′ of silicon cap 26 may be between about 4 ML and about 14 ML, which thicknesses Tp′ and Tn′′ are the final thicknesses of silicon caps 30 and 26 , respectively.
  • the thickness difference Tn′′ ⁇ Tp′ may be between about 2 ML and about 12 ML.
  • gate electrode layer 38 is formed.
  • gate electrode layer 38 comprises polysilicon.
  • gate electrode layer 38 may be formed of metals, metal nitrides, metal silicides, or the like.
  • gate electrode layer 38 may include first portion 38 1 in PMOS region 100 and second portion 38 2 in NMOS region 200 .
  • First portion 38 1 of gate electrode layer 38 may have a work function suitable for forming PMOS devices, which work function is preferably between about 4.9 eV and about 5.2 eV, and may be a valence band-edge work function (close to the valence band of silicon, which is about 5.2 eV).
  • the exemplary materials include tungsten-containing materials such as tungsten and tungsten nitride, ruthenium-containing materials such as ruthenium and ruthenium oxide, molybdenum-containing materials such as molybdenum and molybdenum nitride, or combinations thereof.
  • the second portion 38 2 of gate electrode layer 38 may have a work function suitable for forming NMOS devices, which work function is preferably between about 4.0 eV and about 4.4 eV, and may be a conduction band-edge work function (close to the conduction band of silicon, which is about 4.1 eV).
  • the exemplary materials include tantalum-containing materials such as TaC, TaN, TaSiN, and combinations thereof.
  • Hard mask layer 40 which may be formed of silicon nitride, is then formed.
  • gate stack 150 includes gate dielectric 136 , gate electrode 138 and hard mask 140 .
  • Gate stack 250 includes gate dielectric 236 , gate electrode 238 and hard mask 240 .
  • FIG. 12A illustrates the formation of the remaining components of PMOS device 160 and NMOS device 260 .
  • Lightly doped source/drain (LDD) regions 162 and 262 are formed.
  • LDD regions 162 and 262 may be formed by implanting n-type and p-type impurities into PMOS region 100 and NMOS region 200 , respectively. Due to the masking of gate stacks 150 and 250 , LDD regions 162 and 262 are substantially aligned to the edges of gate stacks 150 and 250 , respectively.
  • Gate spacers 164 and 264 are formed on sidewalls of gate stacks 150 and 250 , respectively.
  • gate spacers 164 and 264 are formed by depositing one or more spacer layer(s) (not shown), and removing horizontal portions of the spacer layer(s) by etching.
  • the spacer layers include a nitride layer on a liner oxide layer.
  • the spacer deposition methods may include PECVD, LPCVD, sub-atmospheric CVD (SACVD), and the like.
  • FIG. 12A also illustrates the formation of deep source/drain regions 166 and 266 .
  • the formation processes for deep source/drain regions 166 and 266 are well known in the art, and thus are not repeated herein.
  • Source/drain silicide regions (not shown) may then be formed on source/drain regions 166 and 266 , and on gate electrodes 138 and 238 if gate electrodes 138 and 238 are formed of polysilicon.
  • PMOS device 160 and NMOS device 260 include silicon caps 30 and 26 , respectively.
  • silicon cap 26 is thicker than silicon cap 30 .
  • An advantageous feature of silicon caps 30 and 26 is that germanium substrate 20 is separated from gate dielectrics 136 and 236 by silicon caps 30 and 26 , respectively, so that the likelihood of generating germanium oxide is substantially eliminated, and hence the traps that may be generated due to the germanium oxide are also eliminated. Reducing the thickness Tp of silicon cap 30 can result in the desirable reduction of equivalent oxide thickness (EOT) for PMOS device 160 .
  • EOT equivalent oxide thickness
  • the EOT of NMOS device 260 is substantially unaffected by the thickness of silicon cap 26 . Accordingly, silicon cap 26 may be thicker than silicon cap 30 to take advantage of reduced diffusion of germanium to the surface of silicon cap 26 without incurring the increase in EOT of NMOS device 260 .
  • silicon cap 26 may be formed after the formation of silicon cap 30 . Accordingly, silicon oxide layer 28 will be formed on silicon cap 30 and acts as a mask for selectively growing silicon cap 26 . Further, other methods may also be used to differentiate the thicknesses of silicon caps 26 and 30 , which methods are also in the scope of the embodiments of the present invention. For example, a silicon cap that has a thickness essentially the same as thickness Tp′ ( FIG. 12A ) may be formed in both PMOS region 100 and NMOS region 200 . The PMOS region 100 is then masked, for example, by a silicon oxide layer (not shown), and an additional epitaxial growth may then be performed to increase the thickness of silicon cap 26 to thickness Tn′′.
  • a silicon oxide layer not shown
  • FIG. 12B illustrates an alternative embodiment, wherein SiGe stressors 268 are formed in semiconductor substrate 20 in NMOS region 200 .
  • SiGe stressors 268 form portions of source/drain regions 266 of NMOS device 260 .
  • the germanium atomic percentage in SiGe stressors 268 is less than the germanium atomic percentage in semiconductor substrate 20 , and hence SiGe stressors 268 may incur a tensile stress in the channel region of NMOS device 260 .
  • SiGe stressors 268 may advantageously result in an increased solubility of the source/drain impurities in source/drain regions 266 .
  • SiGe stressors 268 have a germanium atomic percentage between about 15 percent and about 85 percent, although different percentages are also usable.

Abstract

A semiconductor structure includes a germanium substrate having a first region and a second region. A first silicon cap is over the first region of the germanium substrate. A second silicon cap is over the second region of the germanium substrate, wherein a first thickness of the first silicon cap is less than a second thickness of the second silicon cap. A PMOS device includes a first gate dielectric over the first silicon cap. An NMOS device includes a second gate dielectric over the second silicon cap.

Description

  • This application claims the benefit of U.S. Provisional Application No. 61/146,202 filed on Jan. 21, 2009, entitled “Methods for Forming NMOS and PMOS Devices on Germanium-Based Substrates,” which application is hereby incorporated herein by reference.
  • CROSS-REFERENCE TO RELATED APPLICATION
  • This application relates to commonly-assigned U.S. patent application Ser. No. 12/341,674, filed Dec. 22, 2008, and entitled “N-FET with a Highly Doped Source/Drain and Strain Booster,” which application is hereby incorporated herein by reference.
  • TECHNICAL FIELD
  • This invention relates generally to integrated circuit devices, and more particularly to CMOS devices and methods for forming the same.
  • BACKGROUND
  • Germanium is a commonly known semiconductor material. The electron mobility and hole mobility of germanium are greater than that of silicon, hence making germanium an excellent material in the formation of integrated circuits. However, in the past, silicon gained more popularity since its oxide (silicon oxide) is readily usable in the gate dielectric of metal-oxide-semiconductor (MOS) transistors. The gate dielectrics of the MOS transistors can be conveniently formed by thermal oxidation of silicon substrates. The oxide of germanium, on the other hand, is soluble in water, and hence is not suitable for the formation of gate dielectrics. Particularly, germanium oxides can easily evaporate at temperatures higher than about 430° C., and germanium may easily diffuse to neighboring silicon layers. This poses problems since the manufacturing processes of the MOS transistors often involve annealing temperatures of about 600° C. or above.
  • With the use of high-k dielectric materials in the gate dielectrics of MOS transistors, the convenience provided by silicon oxide is no longer a dominating advantage, and hence germanium is reexamined for use in integrated circuits. However, a further challenge faced by the semiconductor industry is that it is difficult to integrate PMOS devices formed on germanium layers or substrates with NMOS devices that are formed on high-electron-mobility materials. Research has been conducted to solve this problem. For example, in one of the proposed solutions, a germanium layer or a compound semiconductor layer formed of group III and group V elements (also known as III-V materials) may be formed on a silicon substrate. A III-V region may further be formed on top of the germanium layer or the compound semiconductor layer for an NMOS device, while a germanium region may be formed on top of the germanium layer or the compound semiconductor layer for a PMOS device. In another proposed solution, a germanium layer is formed on a silicon substrate. A silicon region is then formed on the germanium layer for an NMOS device, and a germanium region is formed on the germanium layer for a PMOS device. However, these solutions face problems such as lattice mismatch between substrates and the materials grown thereon, and increased manufacturing cost due to increased process steps. What is needed, therefore, is a method for overcoming the above-described shortcomings in the prior art.
  • SUMMARY OF THE INVENTION
  • In accordance with one aspect of the present invention, a semiconductor structure includes a germanium substrate having a first region and a second region. A first silicon cap is over the first region of the germanium substrate. A second silicon cap is over the second region of the germanium substrate, wherein a first thickness of the first silicon cap is less than a second thickness of the second silicon cap.
  • In accordance with another aspect of the present invention, a semiconductor structure includes a germanium substrate including a top layer formed of substantially pure germanium, wherein the top layer has a first region and a second region. A PMOS device includes a first silicon cap over the first region of the germanium substrate, and a first gate dielectric over the first silicon cap. An NMOS device includes a second silicon cap over the second region of the germanium substrate, and a second gate dielectric over the second silicon cap. A first thickness of the first silicon cap is less than a second thickness of the second silicon cap. The second thickness is less than about 22 mono-layers of silicon (ML).
  • In accordance with yet another aspect of the present invention, a method of forming a semiconductor structure includes providing a germanium substrate including a first region and a second region; forming a first silicon cap over the first region of the germanium substrate; and forming a second silicon cap over the second region of the germanium substrate. A first thickness of the first silicon cap is less than a second thickness of the second silicon cap.
  • In accordance with yet another aspect of the present invention, a method of forming a semiconductor structure includes providing a germanium substrate including a first region and a second region; masking the second region; selectively growing a first silicon cap from the first region of the germanium substrate; oxidizing a top layer of the first silicon cap to form a silicon oxide layer, wherein the first silicon cap has a first thickness after the step of oxidizing; and selectively growing a second silicon cap from the second region of the germanium substrate. The second silicon cap has a second thickness different from the first thickness. The method further includes forming an NMOS device including forming a first gate dielectric over a thicker silicon cap among the first silicon cap and the second silicon cap; and forming a PMOS device including forming a second gate dielectric over a thinner silicon cap among the first silicon cap and the second silicon cap.
  • The advantageous features of the embodiments of the present invention include improved performance for both PMOS and NMOS devices. Adverse effects such as the segregation and the oxidation of germanium are reduced due to the formation of silicon caps.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIGS. 1 through 12B illustrate cross-sectional views of embodiments of the present invention.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the embodiments of the present invention are discussed in detail below. It should be appreciated, however, that the embodiments provide many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
  • A method for forming a complementary metal-oxide-semiconductor (CMOS) device is provided. The intermediate stages of manufacturing embodiments of the present invention are illustrated. Throughout various views and illustrative embodiments of the present invention, like reference numbers are used to designate like elements.
  • Referring to FIG. 1, semiconductor substrate 20 is provided. Semiconductor substrate 20 may be formed of a germanium-containing semiconductor material, which may be expressed as Si1-xGex, wherein x is the atomic percentage of germanium. The materials of semiconductor substrate 20 may also be pure germanium or substantially pure germanium, for example, with a germanium atomic percentage greater than about 95 percent, or even greater than about 99 percent. Further, semiconductor substrate 20 may be a bulk substrate or have a layered structure such as germanium-on-silicon, germanium-on-insulator, or the like. Semiconductor substrate 20 includes PMOS region 100 and NMOS region 200, which may be defined by insulation regions such as shallow trench isolation (STI) region 22.
  • Referring to FIG. 2, mask layer 24, which may comprise silicon oxide or silicon nitride, is formed. In an embodiment, mask layer 24 comprises silicon oxide, and may be formed using a chemical vapor deposition (CVD) method. In alternative embodiments, mask layer 24 comprises silicon nitride and may be formed using, for example, low-pressure chemical vapor deposition (LPCVD). In yet other embodiments, mask layer 24 is formed by thermal nitridation of silicon or plasma anodic nitridation.
  • Referring to FIG. 3, the portion of mask layer 24 in NMOS region 200 is removed, while the portion of mask layer 24 in PMOS region 100 remains un-removed. The removal step may be performed by masking the portion of mask layer 24 in PMOS region 100, for example, using a photo resist (not shown), and performing a wet etch on the exposed portion of mask layer 24. The etchant may include hot H3PO4 if mask layer 24 is formed of silicon nitride. Otherwise, if mask layer is formed of silicon oxide, HF may be used.
  • Referring to FIG. 4, silicon cap 26 is formed on the exposed surface of substrate 20, for example, using selective epitaxial growth (SEG), wherein no silicon cap 26 is formed on mask layer 24. Silicon cap 26 may include pure silicon or substantially pure silicon, for example, with a silicon atomic percentage greater than about 95 percent, or even greater than about 99 percent, although it may comprise a small amount of other elements, such as germanium. In an embodiment, thickness Tn of silicon cap 26 is less than about 22 mono-layers (ML, or in other words, includes fewer than about 22 mono-layers of silicon atoms), which is about 18 Å. Thickness Tn may also be between about 12 ML and about 22 ML, although other thicknesses may also be used. It is realized that 22 ML is roughly the maximum thickness of silicon that may be epitaxially grown on germanium without causing relaxation. If the thickness of silicon cap 26 is greater than about 22 ML, due to the lattice mismatch between silicon and germanium, silicon relaxation may occur, and dislocations will be generated.
  • In FIG. 5, a thermal oxidation is performed on silicon cap 26, so that a top layer of silicon cap 26 is oxidized to form silicon oxide layer 28, although other methods such as deposition may also be used to form silicon oxide layer 28. The lower portion of silicon cap 26 remains un-oxidized. The oxidation may include, for example, low temperature plasma oxidation, with the temperature being lower than about 300° C. As a result, the amount of silicon cap 26 consumed in the oxidation may be as few as several mono-layers.
  • Referring to FIG. 6, the portion of mask layer 24 in PMOS region 100 is removed, followed by the epitaxial growth of silicon cap 30 on semiconductor substrate 20 in PMOS region 100, as shown in FIG. 7. Silicon cap 30 may be formed of essentially the same material as silicon cap 26, for example, pure silicon or substantially pure silicon. In an embodiment, silicon cap 30 has thickness Tp between about 4 ML and about 12 ML, although other thicknesses may also be used. Silicon oxide layer 28 is then removed, resulting in the structure as shown in FIG. 8.
  • In the structure shown in FIG. 8, silicon caps 30 and 26 are formed in PMOS region 100 and NMOS region 200, respectively. The resulting thickness Tn′ of silicon cap 26 may be between about 8 ML and about 16 ML. Preferably, thickness Tn′ is greater than thickness Tp. In an embodiment, the thickness difference Tn′ Tp may be between about 2 Ml and about 12 ML.
  • FIG. 9 illustrates the formation of gate dielectric layer 36. In an embodiment, gate dielectric layer 36 is formed of a high-k dielectric material. The exemplary high-k materials may have a k value greater than about 4.0, or even greater than about 7.0, and may include aluminum-containing dielectrics such as Al2O3, HfAlO, HfAlON, AlZrO, Hf-containing materials such as HfO2, HfSiOx, HfAlOx, HfZrSiOx, HfSiON, and other materials such as LaAlO3 and ZrO2. Gate dielectric layer 36 may also include oxides, nitrides, oxynitrides, multi-layers thereof, and combinations thereof.
  • In an embodiment in which high-k dielectric materials are used, silicon oxide (SiO2) interlayer 37 may be formed between silicon caps 30 and 26 and the overlying high-k dielectric material. In the process steps subsequent to the step as shown in FIG. 9, the thicknesses of silicon caps 30 and 26 may be further reduced. For example, SiO2 interlayer 37 may be formed by thermally oxidizing silicon caps 30 and 26, which may cause the thicknesses of silicon caps 30 and 26 to be further reduced, for example, by about 2 ML to about 6 ML. As a result, as shown in FIG. 9, the resulting thickness Tp′ of silicon cap 30 may be between about 2 ML and about 8 ML, and the resulting thickness Tn″ of silicon cap 26 may be between about 4 ML and about 14 ML, which thicknesses Tp′ and Tn″ are the final thicknesses of silicon caps 30 and 26, respectively. The thickness difference Tn″−Tp′ may be between about 2 ML and about 12 ML.
  • Next, as shown in FIG. 10, gate electrode layer 38 is formed. In an embodiment, gate electrode layer 38 comprises polysilicon. In other embodiments, gate electrode layer 38 may be formed of metals, metal nitrides, metal silicides, or the like. In yet other embodiments, gate electrode layer 38 may include first portion 38 1 in PMOS region 100 and second portion 38 2 in NMOS region 200. First portion 38 1 of gate electrode layer 38 may have a work function suitable for forming PMOS devices, which work function is preferably between about 4.9 eV and about 5.2 eV, and may be a valence band-edge work function (close to the valence band of silicon, which is about 5.2 eV). The exemplary materials include tungsten-containing materials such as tungsten and tungsten nitride, ruthenium-containing materials such as ruthenium and ruthenium oxide, molybdenum-containing materials such as molybdenum and molybdenum nitride, or combinations thereof. The second portion 38 2 of gate electrode layer 38 may have a work function suitable for forming NMOS devices, which work function is preferably between about 4.0 eV and about 4.4 eV, and may be a conduction band-edge work function (close to the conduction band of silicon, which is about 4.1 eV). The exemplary materials include tantalum-containing materials such as TaC, TaN, TaSiN, and combinations thereof. Hard mask layer 40, which may be formed of silicon nitride, is then formed.
  • Next, as shown in FIG. 11, hard mask layer 40, gate electrode layer 38, and gate dielectric layer 36 are patterned, forming gate stacks 150 and 250. Gate stack 150 includes gate dielectric 136, gate electrode 138 and hard mask 140. Gate stack 250 includes gate dielectric 236, gate electrode 238 and hard mask 240.
  • FIG. 12A illustrates the formation of the remaining components of PMOS device 160 and NMOS device 260. Lightly doped source/drain (LDD) regions 162 and 262 are formed. As is known in the art, LDD regions 162 and 262 may be formed by implanting n-type and p-type impurities into PMOS region 100 and NMOS region 200, respectively. Due to the masking of gate stacks 150 and 250, LDD regions 162 and 262 are substantially aligned to the edges of gate stacks 150 and 250, respectively.
  • Gate spacers 164 and 264 are formed on sidewalls of gate stacks 150 and 250, respectively. Preferably, gate spacers 164 and 264 are formed by depositing one or more spacer layer(s) (not shown), and removing horizontal portions of the spacer layer(s) by etching. In an embodiment, the spacer layers include a nitride layer on a liner oxide layer. The spacer deposition methods may include PECVD, LPCVD, sub-atmospheric CVD (SACVD), and the like.
  • FIG. 12A also illustrates the formation of deep source/ drain regions 166 and 266. The formation processes for deep source/ drain regions 166 and 266 are well known in the art, and thus are not repeated herein. Source/drain silicide regions (not shown) may then be formed on source/ drain regions 166 and 266, and on gate electrodes 138 and 238 if gate electrodes 138 and 238 are formed of polysilicon.
  • In the resulting structure, PMOS device 160 and NMOS device 260 include silicon caps 30 and 26, respectively. However, silicon cap 26 is thicker than silicon cap 30. An advantageous feature of silicon caps 30 and 26 is that germanium substrate 20 is separated from gate dielectrics 136 and 236 by silicon caps 30 and 26, respectively, so that the likelihood of generating germanium oxide is substantially eliminated, and hence the traps that may be generated due to the germanium oxide are also eliminated. Reducing the thickness Tp of silicon cap 30 can result in the desirable reduction of equivalent oxide thickness (EOT) for PMOS device 160. On the other hand, the EOT of NMOS device 260 is substantially unaffected by the thickness of silicon cap 26. Accordingly, silicon cap 26 may be thicker than silicon cap 30 to take advantage of reduced diffusion of germanium to the surface of silicon cap 26 without incurring the increase in EOT of NMOS device 260.
  • It is realized that the steps discussed in the preceding paragraphs may be performed in different orders. For example, silicon cap 26 may be formed after the formation of silicon cap 30. Accordingly, silicon oxide layer 28 will be formed on silicon cap 30 and acts as a mask for selectively growing silicon cap 26. Further, other methods may also be used to differentiate the thicknesses of silicon caps 26 and 30, which methods are also in the scope of the embodiments of the present invention. For example, a silicon cap that has a thickness essentially the same as thickness Tp′ (FIG. 12A) may be formed in both PMOS region 100 and NMOS region 200. The PMOS region 100 is then masked, for example, by a silicon oxide layer (not shown), and an additional epitaxial growth may then be performed to increase the thickness of silicon cap 26 to thickness Tn″. One skilled in the art will realize the process details.
  • FIG. 12B illustrates an alternative embodiment, wherein SiGe stressors 268 are formed in semiconductor substrate 20 in NMOS region 200. SiGe stressors 268 form portions of source/drain regions 266 of NMOS device 260. In an embodiment, the germanium atomic percentage in SiGe stressors 268 is less than the germanium atomic percentage in semiconductor substrate 20, and hence SiGe stressors 268 may incur a tensile stress in the channel region of NMOS device 260. Further, SiGe stressors 268 may advantageously result in an increased solubility of the source/drain impurities in source/drain regions 266. In an embodiment, SiGe stressors 268 have a germanium atomic percentage between about 15 percent and about 85 percent, although different percentages are also usable.
  • Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, and composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps. In addition, each claim constitutes a separate embodiment, and the combination of various claims and embodiments are within the scope of the invention.

Claims (19)

1. A semiconductor structure comprising:
a germanium substrate comprising a first region and a second region;
a first silicon cap over the first region of the germanium substrate; and
a second silicon cap over the second region of the germanium substrate, wherein a first thickness of the first silicon cap is less than a second thickness of the second silicon cap.
2. The semiconductor structure of claim 1 further comprising:
a PMOS device comprising a first gate dielectric over the first silicon cap; and
an NMOS device comprising a second gate dielectric over the second silicon cap.
3. The semiconductor structure of claim 2, wherein the NMOS device further comprises a silicon germanium stressor in the germanium substrate and adjacent the second gate dielectric, and wherein the silicon germanium stressor has a germanium atomic percentage less than an atomic percentage of the germanium substrate.
4. The semiconductor structure of claim 2, wherein the first gate dielectric and the second gate dielectric comprise high-k dielectric materials.
5. The semiconductor structure of claim 2, wherein the first silicon cap contacts both the germanium substrate and the first gate dielectric, and the second silicon cap contacts both the germanium substrate and the second gate dielectric.
6. The semiconductor structure of claim 1, wherein portions of the germanium substrate adjoining the first silicon cap and the second silicon cap are formed of substantially pure germanium.
7. The semiconductor structure of claim 1, wherein the first thickness is less than the second thickness by a difference between than about 2 mono-layers of silicon (ML) and about 12 ML.
8. The semiconductor structure of claim 1, wherein the first thickness is between about 2 ML and about 8 ML, and the second thickness is between about 4 ML and about 14 ML.
9. The semiconductor structure of claim 1, wherein the second thickness is less than about 22 ML.
10. The semiconductor structure of claim 1, wherein the first silicon cap and the second silicon cap are formed of substantially pure silicon.
11. A semiconductor structure comprising:
a germanium substrate comprising a top layer formed of substantially pure germanium, wherein the top layer comprises a first region and a second region;
a PMOS device comprising:
a first silicon cap over the first region of the germanium substrate; and
a first gate dielectric over the first silicon cap; and
an NMOS device comprising:
a second silicon cap over the second region of the germanium substrate, wherein a first thickness of the first silicon cap is less than a second thickness of the second silicon cap, and wherein the second thickness is less than about 22 mono-layers of silicon (ML); and
a second gate dielectric over the second silicon cap.
12. The semiconductor structure of claim 11, wherein a first bottom of the first silicon cap is substantially level with a second bottom of the second silicon cap.
13. The semiconductor structure of claim 11, wherein the first thickness is less than the second thickness by a difference between about 2 ML and about 12 ML.
14. The semiconductor structure of claim 11, wherein the first thickness is between about 2 ML and about 8 ML.
15. The semiconductor structure of claim 11, wherein the second thickness is between about 4 ML and about 14 ML.
16. The semiconductor structure of claim 11, wherein the NMOS device further comprises a silicon germanium stressor adjacent the second gate dielectric and in the germanium substrate, wherein the silicon germanium stressor has a germanium atomic percentage less than an atomic percentage of the germanium substrate.
17. The semiconductor structure of claim 11, wherein the first gate dielectric and the second gate dielectric comprise high-k dielectric materials.
18. The semiconductor structure of claim 11, wherein the first silicon cap and the second silicon cap are formed of substantially pure silicon.
19. The semiconductor structure of claim 11, wherein the first silicon cap adjoins the germanium substrate and the first gate dielectric, and the second silicon cap adjoins the germanium substrate and the second gate dielectric.
US12/617,026 2008-12-22 2009-11-12 Methods for Forming NMOS and PMOS Devices on Germanium-Based Substrates Abandoned US20100181626A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/617,026 US20100181626A1 (en) 2009-01-21 2009-11-12 Methods for Forming NMOS and PMOS Devices on Germanium-Based Substrates
US15/264,271 US9666487B2 (en) 2008-12-22 2016-09-14 Method for manufacturing germanium-based CMOS comprising forming silicon cap over PMOS region having a thickness less than that over NMOS region

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14620209P 2009-01-21 2009-01-21
US12/617,026 US20100181626A1 (en) 2009-01-21 2009-11-12 Methods for Forming NMOS and PMOS Devices on Germanium-Based Substrates

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/264,271 Continuation US9666487B2 (en) 2008-12-22 2016-09-14 Method for manufacturing germanium-based CMOS comprising forming silicon cap over PMOS region having a thickness less than that over NMOS region

Publications (1)

Publication Number Publication Date
US20100181626A1 true US20100181626A1 (en) 2010-07-22

Family

ID=42336237

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/617,026 Abandoned US20100181626A1 (en) 2008-12-22 2009-11-12 Methods for Forming NMOS and PMOS Devices on Germanium-Based Substrates
US15/264,271 Active US9666487B2 (en) 2008-12-22 2016-09-14 Method for manufacturing germanium-based CMOS comprising forming silicon cap over PMOS region having a thickness less than that over NMOS region

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/264,271 Active US9666487B2 (en) 2008-12-22 2016-09-14 Method for manufacturing germanium-based CMOS comprising forming silicon cap over PMOS region having a thickness less than that over NMOS region

Country Status (1)

Country Link
US (2) US20100181626A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100155790A1 (en) * 2008-12-22 2010-06-24 Jing-Cheng Lin N-FET with a Highly Doped Source/Drain and Strain Booster
DE102011079836A1 (en) * 2011-07-26 2013-01-31 Globalfoundries Inc. Method for manufacturing e.g. application-specific integrated circuit for P-channel complementary metal oxide semiconductor transistor, involves forming electrode structures with electrode materials and insulation layers
US20150130017A1 (en) * 2011-04-26 2015-05-14 Sang M. HAN Semiconductor device and method of making the device
US10256159B2 (en) * 2017-01-23 2019-04-09 International Business Machines Corporation Formation of common interfacial layer on Si/SiGe dual channel complementary metal oxide semiconductor device

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10680108B2 (en) * 2015-12-04 2020-06-09 Imec Vzw Field-effect transistor comprising germanium and manufacturing method thereof
TWI753297B (en) * 2018-09-03 2022-01-21 美商應用材料股份有限公司 Methods of forming silicon-containing layers

Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271551B1 (en) * 1995-12-15 2001-08-07 U.S. Philips Corporation Si-Ge CMOS semiconductor device
US6605498B1 (en) * 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US20040173815A1 (en) * 2003-03-04 2004-09-09 Yee-Chia Yeo Strained-channel transistor structure with lattice-mismatched zone
US6881835B2 (en) * 2002-01-04 2005-04-19 Dr. Chip Biotechnology Inc. Detection of respiratory viruses
US6881635B1 (en) * 2004-03-23 2005-04-19 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
US20050093084A1 (en) * 2003-10-31 2005-05-05 Chih-Hao Wang Ultra-shallow junction MOSFET having a high-k gate dielectric and in-situ doped selective epitaxy source/drain extensions and a method of making same
US6977400B2 (en) * 2000-11-28 2005-12-20 Lsi Logic Corporation Silicon germanium CMOS channel
US20060081875A1 (en) * 2004-10-18 2006-04-20 Chun-Chieh Lin Transistor with a strained region and method of manufacture
US20060237746A1 (en) * 2005-04-20 2006-10-26 Freescale Semiconductor Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
US7145526B2 (en) * 2002-07-22 2006-12-05 Fujitsu Hitachi Plasma Display Limited Driving circuit of plasma display panel and plasma display panel
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7217603B2 (en) * 2002-06-25 2007-05-15 Amberwave Systems Corporation Methods of forming reacted conductive gate electrodes
US20070131969A1 (en) * 2005-11-30 2007-06-14 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20070148939A1 (en) * 2005-12-22 2007-06-28 International Business Machines Corporation Low leakage heterojunction vertical transistors and high performance devices thereof
US7244958B2 (en) * 2004-06-24 2007-07-17 International Business Machines Corporation Integration of strained Ge into advanced CMOS technology
US7247535B2 (en) * 2004-09-30 2007-07-24 Texas Instruments Incorporated Source/drain extensions having highly activated and extremely abrupt junctions
US20070190730A1 (en) * 2006-02-13 2007-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Resolving pattern-loading issues of SiGe stressor
US20070235802A1 (en) * 2006-04-05 2007-10-11 Chartered Semiconductor Manufacturing Ltd Method to control source/drain stressor profiles for stress engineering
US7358551B2 (en) * 2005-07-21 2008-04-15 International Business Machines Corporation Structure and method for improved stress and yield in pFETs with embedded SiGe source/drain regions
US20080194087A1 (en) * 2007-02-12 2008-08-14 Chen-Hua Yu Polysilicon gate formation by in-situ doping
US20080194072A1 (en) * 2007-02-12 2008-08-14 Chen-Hua Yu Polysilicon gate formation by in-situ doping
US7525161B2 (en) * 2007-01-31 2009-04-28 International Business Machines Corporation Strained MOS devices using source/drain epitaxy
US20090227078A1 (en) * 2008-03-06 2009-09-10 Ding-Yuan Chen CMOS Devices having Dual High-Mobility Channels
US20100155790A1 (en) * 2008-12-22 2010-06-24 Jing-Cheng Lin N-FET with a Highly Doped Source/Drain and Strain Booster

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6903384B2 (en) * 2003-01-15 2005-06-07 Sharp Laboratories Of America, Inc. System and method for isolating silicon germanium dislocation regions in strained-silicon CMOS applications
US7148526B1 (en) 2003-01-23 2006-12-12 Advanced Micro Devices, Inc. Germanium MOSFET devices and methods for making same
CN100536167C (en) * 2003-08-05 2009-09-02 富士通微电子株式会社 Semiconductor device and preparation method thereof
JP2005142431A (en) * 2003-11-07 2005-06-02 Toshiba Corp Semiconductor device and its manufacturing method
US7662689B2 (en) * 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
JP5173582B2 (en) * 2008-05-19 2013-04-03 株式会社東芝 Semiconductor device
US8735303B2 (en) * 2011-11-02 2014-05-27 Globalfoundries Inc. Methods of forming PEET devices with different structures and performance characteristics

Patent Citations (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6271551B1 (en) * 1995-12-15 2001-08-07 U.S. Philips Corporation Si-Ge CMOS semiconductor device
US6977400B2 (en) * 2000-11-28 2005-12-20 Lsi Logic Corporation Silicon germanium CMOS channel
US6881835B2 (en) * 2002-01-04 2005-04-19 Dr. Chip Biotechnology Inc. Detection of respiratory viruses
US6605498B1 (en) * 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US7217603B2 (en) * 2002-06-25 2007-05-15 Amberwave Systems Corporation Methods of forming reacted conductive gate electrodes
US7145526B2 (en) * 2002-07-22 2006-12-05 Fujitsu Hitachi Plasma Display Limited Driving circuit of plasma display panel and plasma display panel
US20040173815A1 (en) * 2003-03-04 2004-09-09 Yee-Chia Yeo Strained-channel transistor structure with lattice-mismatched zone
US20050093084A1 (en) * 2003-10-31 2005-05-05 Chih-Hao Wang Ultra-shallow junction MOSFET having a high-k gate dielectric and in-situ doped selective epitaxy source/drain extensions and a method of making same
US6881635B1 (en) * 2004-03-23 2005-04-19 International Business Machines Corporation Strained silicon NMOS devices with embedded source/drain
US7244958B2 (en) * 2004-06-24 2007-07-17 International Business Machines Corporation Integration of strained Ge into advanced CMOS technology
US7387925B2 (en) * 2004-06-24 2008-06-17 International Business Machines Corporation Integration of strained Ge into advanced CMOS technology
US7247535B2 (en) * 2004-09-30 2007-07-24 Texas Instruments Incorporated Source/drain extensions having highly activated and extremely abrupt junctions
US20060081875A1 (en) * 2004-10-18 2006-04-20 Chun-Chieh Lin Transistor with a strained region and method of manufacture
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7221006B2 (en) * 2005-04-20 2007-05-22 Freescale Semiconductor, Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
US20060237746A1 (en) * 2005-04-20 2006-10-26 Freescale Semiconductor Inc. GeSOI transistor with low junction current and low junction capacitance and method for making the same
US7358551B2 (en) * 2005-07-21 2008-04-15 International Business Machines Corporation Structure and method for improved stress and yield in pFETs with embedded SiGe source/drain regions
US20070131969A1 (en) * 2005-11-30 2007-06-14 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20070148939A1 (en) * 2005-12-22 2007-06-28 International Business Machines Corporation Low leakage heterojunction vertical transistors and high performance devices thereof
US20070190730A1 (en) * 2006-02-13 2007-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Resolving pattern-loading issues of SiGe stressor
US20070235802A1 (en) * 2006-04-05 2007-10-11 Chartered Semiconductor Manufacturing Ltd Method to control source/drain stressor profiles for stress engineering
US7525161B2 (en) * 2007-01-31 2009-04-28 International Business Machines Corporation Strained MOS devices using source/drain epitaxy
US20080194087A1 (en) * 2007-02-12 2008-08-14 Chen-Hua Yu Polysilicon gate formation by in-situ doping
US20080194072A1 (en) * 2007-02-12 2008-08-14 Chen-Hua Yu Polysilicon gate formation by in-situ doping
US20090227078A1 (en) * 2008-03-06 2009-09-10 Ding-Yuan Chen CMOS Devices having Dual High-Mobility Channels
US20100155790A1 (en) * 2008-12-22 2010-06-24 Jing-Cheng Lin N-FET with a Highly Doped Source/Drain and Strain Booster

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100155790A1 (en) * 2008-12-22 2010-06-24 Jing-Cheng Lin N-FET with a Highly Doped Source/Drain and Strain Booster
US8247285B2 (en) 2008-12-22 2012-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. N-FET with a highly doped source/drain and strain booster
US20150130017A1 (en) * 2011-04-26 2015-05-14 Sang M. HAN Semiconductor device and method of making the device
US9269724B2 (en) * 2011-04-26 2016-02-23 Stc.Unm Semiconductor device comprising epitaxially grown semiconductor material and an air gap
DE102011079836A1 (en) * 2011-07-26 2013-01-31 Globalfoundries Inc. Method for manufacturing e.g. application-specific integrated circuit for P-channel complementary metal oxide semiconductor transistor, involves forming electrode structures with electrode materials and insulation layers
DE102011079836B4 (en) * 2011-07-26 2017-02-02 Globalfoundries Inc. Reduce the topography of isolation regions in the fabrication of a channel semiconductor alloy into transistors
US10256159B2 (en) * 2017-01-23 2019-04-09 International Business Machines Corporation Formation of common interfacial layer on Si/SiGe dual channel complementary metal oxide semiconductor device

Also Published As

Publication number Publication date
US9666487B2 (en) 2017-05-30
US20170005010A1 (en) 2017-01-05

Similar Documents

Publication Publication Date Title
US9472552B2 (en) CMOS devices having dual high-mobility channels
US9666487B2 (en) Method for manufacturing germanium-based CMOS comprising forming silicon cap over PMOS region having a thickness less than that over NMOS region
US7642607B2 (en) MOS devices with reduced recess on substrate surface
US9735271B2 (en) Semiconductor device
US7564061B2 (en) Field effect transistor and production method thereof
US8536660B2 (en) Hybrid process for forming metal gates of MOS devices
US9673105B2 (en) CMOS devices with Schottky source and drain regions
US8361850B2 (en) Metal oxide semiconductor having epitaxial source drain regions and a method of manufacturing same using dummy gate process
US8232154B2 (en) Method for fabricating semiconductor device
US7696578B2 (en) Selective CESL structure for CMOS application
US10692779B2 (en) Method and structure for CMOS metal gate stack
US7816243B2 (en) Semiconductor device and method of fabricating the same
US20080242017A1 (en) Method of manufacturing semiconductor mos transistor devices
US20100314694A1 (en) Semiconductor device and manufacturing method thereof
WO2011104782A1 (en) Semiconductor device
US20130105907A1 (en) Mos device and method of manufacturing the same
US20140015063A1 (en) Method for Forming Gate Structure, Method for Forming Semiconductor Device, and Semiconductor Device
TWI452652B (en) Semiconductor device and method of fabricating the same
KR20090107401A (en) Semiconductor device and method for manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIN, JING-CHENG;YU, CHEN-HUA;REEL/FRAME:023507/0321

Effective date: 20090122

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION