JP2008288606A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP2008288606A
JP2008288606A JP2008171181A JP2008171181A JP2008288606A JP 2008288606 A JP2008288606 A JP 2008288606A JP 2008171181 A JP2008171181 A JP 2008171181A JP 2008171181 A JP2008171181 A JP 2008171181A JP 2008288606 A JP2008288606 A JP 2008288606A
Authority
JP
Japan
Prior art keywords
field effect
effect transistor
conductivity type
type field
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008171181A
Other languages
English (en)
Other versions
JP4932795B2 (ja
Inventor
Akihiro Shimizu
昭博 清水
Nagatoshi Ooki
長斗司 大木
Yusuke Nonaka
裕介 野中
Katsuhiko Ichinose
勝彦 一瀬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Hitachi Solutions Technology Ltd
Original Assignee
Renesas Technology Corp
Hitachi ULSI Systems Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp, Hitachi ULSI Systems Co Ltd filed Critical Renesas Technology Corp
Priority to JP2008171181A priority Critical patent/JP4932795B2/ja
Publication of JP2008288606A publication Critical patent/JP2008288606A/ja
Application granted granted Critical
Publication of JP4932795B2 publication Critical patent/JP4932795B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

【課題】 nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタの電流駆動能力の向上を図る。
【解決手段】 半導体基板の一主面の第1の領域にチャネル形成領域が構成されたnチャネル導電型電界効果トランジスタと、前記半導体基板の一主面の第1の領域と異なる第2の領域にチャネル形成領域が構成されたpチャネル導電型電界効果トランジスタとを有する半導体装置であって、前記nチャネル導電型電界効果トランジスタのチャネル形成領域に発生する内部応力と、前記pチャネル導電型電界効果トランジスタのチャネル形成領域に発生する内部応力とが、各々で異なっている。前記nチャネル導電型電界効果トランジスタのチャネル形成領域に発生する内部応力は引っ張り応力であり、前記pチャネル導電型電界効果トランジスタのチャネル形成領域に発生する内部応力は圧縮応力である。
【選択図】 図1

Description

本発明は、半導体装置及びその製造技術に関し、特に、同一基板にnチャネル導電型MISFET及びpチャネル導電型MISFETを有する半導体装置及びその製造技術に適用して有効な技術に関するものである。
半導体装置に搭載される電界効果トランジスタとして、MISFET(Metal Insulator Semiconductor Field Effect Transistor)と呼称される絶縁ゲート型電界効果トランジスタが知られている。このMISFETは、高集積化し易いという特徴を持っていることから、集積回路を構成する回路素子として広く用いられている。
MISFETは、nチャネル導電型及びpチャネル導電型を問わず、一般的に、チャネル形成領域、ゲート絶縁膜、ゲート電極、ソース領域及びドレイン領域等を有する構成となっている。ゲート絶縁膜は、半導体基板の回路形成面(一主面)の素子形成領域に設けられ、例えば酸化シリコン膜で形成されている。ゲート電極は、半導体基板の回路形成面の素子形成領域上にゲート絶縁膜を介在して設けられ、例えば抵抗値を低減する不純物が導入された多結晶シリコン膜で形成されている。チャネル形成領域は、ゲート電極と対向する半導体基板の領域(ゲート電極直下)に設けられている。ソース領域及びドレイン領域は、チャネル形成領域のチャネル長方向における両側に設けられた半導体領域(不純物拡散領域)で形成されている。
なお、MISFETにおいて、ゲート絶縁膜が酸化シリコン膜からなるものは、通常、MOSFET(Metal Oxide Semiconductor Field Effect Transistor)と呼ばれている。また、チャネル形成領域とは、ソース領域とドレイン領域とを結ぶ電流通路(チャネル)が形成される領域を言う。
ところで、0.1μmレベル時代の超微細CMIS(Complementary MIS)プロセスでは、新素材の導入、MISFETの短チャネル効果抑制等の理由から低温化が進んでいる。これは、素子中にプロセス起因の残留応力を残しやすい。プロセス起因の残留応力は、半導体基板の回路形成面の表層部、即ちMISFETのチャネル形成領域に働く。
一般的なCMIS(相補型MIS)プロセスでは、例えば半導体基板の回路形成面上に層間絶縁膜を形成する場合、nチャネル導電型MISFET及びpチャネル導電型MISFET上で同一材料を用いてきた結果、同一チップ内においてMISFETのチャネル形成領域に働く応力はほぼ同じであった。また、通常は、プロセス的な工夫により、nチャネル導電型MISFET及びpチャネル導電型MISFETのチャネル形成領域に働く応力の低減化を図ってきた。
また、チャネル形成領域の応力に対するトランジスタ特性の変化については、ドレイン電流(Id)が流れる方向(ゲート長方向)と同じ向きに応力をかけた場合、
(1)nチャネル導電型MISFETのドレイン電流は、圧縮応力で減少し、引っ張り応力で増加すること、
(2)pチャネル導電型MISFETのドレイン電流は、圧縮応力で増加し、引っ張り応力で減少することが知られている。
しかし、その変化は高々数%以下であった(文献:IEEE TRANSACTIONS ON ELECTRON DEVICES .VOL.38.NO.4.APRIL 1991 p898〜p900参照)。これは、例えばゲート長寸法が1μmのような長寸法のプロセス世代では、十分高温長時間のアニールがなされていたことにもよる。
本発明者等は、前述の技術を検討した結果、以下の問題点を見出した。
MISFETのゲート長を0.1μm付近まで微細化し、プロセスを低温化すると、残留応力が増大し、チャネル形成領域の応力によるトランジスタ特性への影響がとても大きくなることがわかった。
例えば、MISFETの形成後に層間絶縁膜を兼ねたセルファラインコンタトク用のプラズマCVD窒化膜(プラズマCVD法によって形成される窒化膜)の形成条件を変えると、膜中の応力が圧縮方向から引っ張り方向へと大きく変化し、これに応じてMISFETのトランジスタ特性も大きく変化することがわかった。これを第2図のドレイン電流の層間絶縁膜応力依存性に示す。但し、図中の応力の値は、MISFETのチャネル形成領域の内部応力を現すものではなく、層間絶縁膜を被膜した後のウェーハの反りから換算して求めた層間絶縁膜自身の値である。
応力による影響は、前述の文献と同じ傾向であるが、その大きさが±10〜20%と一桁以上大きくなっている。更に、nチャネル導電型MISFETとpチャネル導電型MISFETとでは、膜の応力に応じてドレイン電流の増減が明らかに逆の方向を示す。
従って、層間絶縁膜等の形成条件を変えて内部応力の大きさが変わると、nチャネル導電型MISFEET及びpチャネル導電型MISFETのドレイン電流が相反する動きを示し、両素子のドレイン電流を同時に向上できないという問題があった。
また、更に、0.1μmレベル以降では、この応力によるドレイン電流の変動が±10〜20%以上にもなり、nチャネル導電型MISFETとpチャネル導電型MISFETとのドレイン電流のバランスが変化するという問題があった。
本発明の目的は、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタの電流駆動能力の向上を図ることが可能な技術を提供することにある。
本発明の他の目的は、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタのうち、一方のトランジスタの電流駆動能力の低下を抑制し、他方のトランジスタの電流駆動能力の向上を図ることが可能な技術を提供することにある。
本発明の前記ならびにその他の目的と新規な特徴は、本明細書の記述及び添付図面によって明らかになるであろう。
本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、下記のとおりである。
(1)半導体基板の一主面の第1の領域にチャネル形成領域が構成されたnチャネル導電型電界効果トランジスタと、前記半導体基板の一主面の第1の領域と異なる第2の領域にチャネル形成領域が構成されたpチャネル導電型電界効果トランジスタとを有する半導体装置であって、
前記nチャネル導電型電界効果トランジスタのチャネル形成領域に発生する内部応力は引っ張り応力であり、
前記pチャネル導電型電界効果トランジスタのチャネル形成領域に発生する内部応力は圧縮応力である。
(2)半導体基板の一主面の第1の領域にチャネル形成領域が構成されたnチャネル導電型電界効果トランジスタと、前記半導体基板の一主面の第1の領域と異なる第2の領域にチャネル形成領域が構成されたpチャネル導電型電界効果トランジスタとを有する半導体装置であって、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのチャネル形成領域に発生する内部応力が圧縮応力の場合、前記pチャネル導電型電界効果トランジスタのチャネル形成領域に発生する圧縮応力の方が前記nチャネル導電型電界効果トランジスタのチャネル形成領域に発生する圧縮応力よりも大きい。
(3)半導体基板の一主面の第1の領域にチャネル形成領域が構成されたnチャネル導電型電界効果トランジスタと、前記半導体基板の一主面の第1の領域と異なる第2の領域にチャネル形成領域が構成されたpチャネル導電型電界効果トランジスタとを有する半導体装置であって、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのチャネル形成領域に発生する内部応力が引っ張り応力の場合、前記nチャネル導電型電界効果トランジスタのチャネル形成領域に発生する引っ張り応力の方が前記pチャネル導電型電界効果トランジスタのチャネル形成領域に発生する引っ張り応力よりも大きい。
(4)半導体基板の一主面の第1の領域にチャネル形成領域が構成されたnチャネル導電型電界効果トランジスタと、前記半導体基板の一主面の第1の領域と異なる第2の領域にチャネル形成領域が構成されたpチャネル導電型電界効果トランジスタとを有する半導体装置であって、
前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる膜、及び前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる膜のうち、少なくとも一方の膜を有する。
(5)前記手段(4)に記載の半導体装置において、
前記膜は窒化シリコン系の膜である。窒化シリコン系の膜としては、LP−CVD(Low Pressure−Chemical Vapor Deposition:減圧気相化学成長)法で被膜された窒化シリコン(例えばSi)膜、プラズマCVD法で被膜された窒化シリコン(例えばSi)膜、及び枚葉熱CVD法で被膜された窒化シリコン(例えばSi)膜等である。
(6)前記手段(4)に記載の半導体装置において、
前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる膜は、前記半導体基板の一主面上に前記nチャネル導電型電界効果トランジスタを覆うようにして形成された膜であり、
前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる膜は、前記半導体基板の一主面上に前記pチャネル導電型電界効果トランジスタを覆うようにして形成された膜である。
(7)前記手段(4)に記載の半導体装置において、
前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる膜は、前記nチャネル導電型電界効果トランジスタのゲート電極、又は前記ゲート電極の側壁に形成されたサイドウォールスペーサであり、
前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる膜は、前記pチャネル導電型電界効果トランジスタのゲート電極、又は前記ゲート電極の側壁に形成されたサイドウォールスペーサである。
(8)半導体基板の一主面の第1の領域にチャネル形成領域が構成されたnチャネル導電型電界効果トランジスタと、前記半導体基板の一主面の第1の領域と異なる第2の領域にチャネル形成領域が構成されたpチャネル導電型電界効果トランジスタとを有する半導体装置の製造方法であって、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを形成した後、前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる膜、及び前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる膜のうち、少なくとも一方の膜を形成する工程を含む。
(9)前記手段(8)に記載の半導体装置の製造方法において、
前記膜は窒化シリコン系の膜である。
(10)半導体基板の一主面の第1の領域にチャネル形成領域が構成されたnチャネル導電型電界効果トランジスタと、前記半導体基板の一主面の第1の領域と異なる第2の領域にチャネル形成領域が構成されたpチャネル導電型電界効果トランジスタとを有する半導体装置の製造方法であって、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを形成する工程と、
前記半導体基板の一主面の第1の領域上及び第2の領域上に、前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる絶縁膜を形成する工程と、
前記半導体基板の一主面の第2の領域上における前記絶縁膜に不純物を選択的に導入して、前記nチャネル導電型電界効果トランジスタのチャネル形成領域に発生する圧縮応力を緩和する工程とを含む。
(11)半導体基板の一主面の第1の領域にチャネル形成領域が構成されたnチャネル導電型電界効果トランジスタと、前記半導体基板の一主面の第1の領域と異なる第2の領域にチャネル形成領域が構成されたpチャネル導電型電界効果トランジスタとを有する半導体装置の製造方法であって、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを形成する工程と、
前記半導体基板の一主面の第1の領域上及び第2の領域上に、前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる絶縁膜を形成する工程と、
前記半導体基板の一主面の第1の領域上における前記絶縁膜に不純物を選択的に導入して、前記pチャネル導電型電界効果トランジスタのチャネル形成領域に発生する引っ張り応力を緩和する工程とを含む。
本発明のポイント部分の構成を以下に説明する。
本発明のポイントは、nチャネル導電型電界効果トランジスタ及びnチャネル導電型電界効果トランジスタの各々のチャネル形成領域に働く応力の向き、或いは大きさを、各々のドレイン電流が増加する方向に制御することである。例えば以下のようにする。
1)nチャネル導電型電界効果トランジスタのチャネル形成領域に対して引っ張り応力、pチャネル導電型電界効果トランジスタのチャネル形成領域に対して圧縮応力が働くように、半導体基板の一主面上に形成される膜の材料をnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタで変更する。
2)圧縮応力がnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタのチャネル形成領域に働く場合には、nチャネル導電型電界効果トランジスタのチャネル形成領域に働く圧縮応力がpチャネル導電型電界効果トランジスタのチャネル形成領域に働く圧縮応力よりも小さくなるように、半導体基板の一主面上に形成される膜の材料を変える。
3)引っ張り応力がnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタのチャネル形成領域に働く場合には、pチャネル導電型電界効果トランジスタのチャネル形成領域に働く引っ張り応力がnチャネル導電型電界効果トランジスタのチャネル形成領域に働く引っ張り応力よりも小さくなるように、半導体基板の一主面上に形成される膜の材料を変える。
上述した手段によれば、通常のプロセスで形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタよりも、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタのドレイン電流を両方同時に増加することができる。また、nチャネル導電型電界効果トランジスタとpチャネル導電型電界効果トランジスタとのドレイン電流比をある程度自由に設定することができる。
即ち、nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力、pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力が別々に与えられる結果、第2図のように、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタの各チャネル形成領域に働く応力の大きさに応じて、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタで共にドレイン電流が増加する。
また、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタのチャネル形成領域に働く応力を個別に制御できるため、nチャネル導電型電界効果トランジスタとpチャネル導電型電界効果トランジスタとのドレイン電流比を自由に制御できる。
なお、ここでいくつかの用語について定義する。
電界効果トランジスタのチャネル形成領域に働く引っ張り応力とは、チャネル形成領域がシリコン(Si)の場合、Siの格子定数が平衡状態より大きくなる応力を言う。
電界効果トランジスタのチャネル形成領域に働く圧縮応力とは、チャネル形成領域がシリコン(Si)の場合、Siの格子定数が平衡状態より小さくなる応力を言う。
膜がもつ引っ張り応力とは、電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる応力を言う。
膜がもつ圧縮応力とは、電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる応力を言う。
従って、本発明の主旨は、チャネル形成領域におけるシリコン原子の原子間距離が、nチャネル導電型電界効果トランジスタとpチャネル導電型電界効果トランジスタとで異なっている、言い換えると歪みの大きさが異なっていること、更にはシリコン原子間距離が、pチャネル導電型電界効果トランジスタのチャネル形成領域よりも、nチャネル導電型電界効果トランジスタのチャネル形成領域で大きいことを意味している。
本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば、下記のとおりである。
本発明によれば、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタの電流駆動能力の向上を図ることができる。
また、本発明によれば、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタのうち、一方のトランジスタの電流駆動能力の低下を抑制し、他方のトランジスタの電流駆動能力の向上を図ることができる。
また、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタのチャネル形成領域に働く応力を個別に制御できるので、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタのドレイン電流比をある程度自由に設定できる。
以下、図面を参照して本発明の実施の形態を詳細に説明する。なお、発明の実施の形態を説明するための全図において、同一機能を有するものは同一符号を付け、その繰り返しの説明は省略する。
(実施形態1)
本実施形態1では、電源電圧が1〜1.5V、ゲート長が0.1〜0.14μm程度の相補型MISFETを有する半導体装置に本発明を適用した例について説明する。
第1図は本発明の実施形態1である半導体装置の概略構成を示す模式的断面図であり、第2図は電流駆動能力と膜応力との関係を示す特性図であり、第3図は第1図の半導体装置を製造するプロセスフロー((a),(b)及び(c)は模式的断面図)である。第1図及び第3図において、向かって左側がnチャネル導電型MISFETであり、右側がpチャネル導電型MISFETである。
第1図に示すように、本実施形態の半導体装置は、半導体基板として例えば単結晶シリコンからなるp型シリコン基板1を主体に構成されている。p型シリコン基板1の回路形成面(一主面)は第1の素子形成領域及び第2の素子形成領域を有し、この第1の素子形成領域及び第2の素子形成領域は素子間絶縁分離領域である例えば浅溝アイソレーション(SGI:Shallow Groove Isolation)領域4によって互いに区画されている。第1の素子形成領域にはp型ウエル領域2及びnチャネル導電型MISFETが形成され、第2の素子形成領域にはn型ウエル領域3及びpチャネル導電型MISFETが形成されている。浅溝アイソレーション領域4は、p型シリコン基板1の回路形成面に浅溝を形成し、その後、浅溝の内部に絶縁膜(例えば酸化シリコン膜)を選択的に埋め込むことによって形成される。
nチャネル導電型MISFETは、主に、チャネル形成領域、ゲート絶縁膜5、ゲート電極6、サイドウォールスペーサ9、ソース領域及びドレイン領域を有する構成となっている。ソース領域及びドレイン領域は、n型半導体領域(エクステンション領域)7及びn型半導体領域10を有する構成となっている。n型半導体領域7はゲート電極6に対して自己整合で形成され、n型半導体領域10はゲート電極6の側壁に設けられたサイドウォールスペーサ9に対して自己整合で形成されている。n型半導体領域10はn型半導体領域7よりも高い不純物濃度で形成されている。
pチャネル導電型MISFETは、主に、チャネル形成領域、ゲート絶縁膜5、ゲート電極6、サイドウォールスペーサ9、ソース領域及びドレイン領域を有する構成となっている。ソース領域及びドレイン領域は、p型半導体領域(エクステンション領域)8及びp型半導体領域11を有する構成となっている。p型半導体領域8はゲート電極6に対して自己整合で形成され、p型半導体領域11はゲート電極6の側壁に設けられたサイドウォールスペーサ9に対して自己整合で形成されている。p型半導体領域11はp型半導体領域8よりも高い不純物濃度で形成されている。
ゲート電極6、n型半導体領域10、p型半導体領域11の夫々の表面には、低抵抗化を図るためのシリサイド層(金属・半導体反応層)12が形成されている。p型シリコン基板1の回路形成面上には、例えば酸化シリコン膜からなる層間絶縁膜15が形成されている。
nチャネル導電型MISFETと層間絶縁膜15との間には、p型シリコン基板1の回路形成面に引っ張り応力を発生させる膜として第1の窒化膜である例えば窒化シリコン膜13が形成されている。pチャネル導電型MISFETと層間絶縁膜15との間には、p型シリコン基板1の回路形成面に圧縮応力を発生させる膜として第2の窒化膜である例えば窒化シリコン膜14が形成されている。本実施形態において、窒化シリコン膜13はp型シリコン基板1の回路形成面上にnチャネル導電型MISFETを覆うようにして選択的に形成され、窒化シリコン膜14はp型シリコン基板1の回路形成面上にpチャネル導電型MISFETを覆うようにして選択的に形成されている。
窒化シリコン膜13及び14は、例えばプラズマCVD法によって形成されている。この窒化シリコン膜13及び14は、その形成条件(反応ガス、圧力、温度、高周波電力等)を変えることで、p型シリコン基板1の回路形成面に発生させる応力を制御することが可能である。本実施形態において、窒化シリコン膜13は、例えば膜形成時の高周波電力を300〜400Wと低電力化して、p型シリコン基板1の回路形成面に発生させる応力を引っ張り方向に制御したものである。窒化シリコン膜14は、例えば膜形成時の高周波電力を600〜700Wと高電力化して、p型シリコン基板1の回路形成面に発生させる応力を圧縮方向に制御したものである。
このようにして形成された窒化シリコン膜13には+700〜+800MPa程度の引っ張り応力が存在し、窒化シリコン膜14には−900〜−1000MPa程度の圧縮応力が存在するため、nチャネル導電型MISFETのチャネル形成領域には引っ張り応力が発生し、pチャネル導電型MISFETのチャネル形成領域には圧縮応力が発生する。この結果、第2図に示すように、窒化シリコン膜13及び14を被膜していない場合と比較して、nチャネル導電型MISFETのドレイン電流は10〜15%向上し、pチャネル導電型MISFETのドレイン電流は15〜20%向上した。なお、これらの応力は、前述のように、主として、チャネル形成領域のドレイン電流(Id)が流れる方向(ゲート長方向)と同じ向きにかかる。
次に、本実施形態1の半導体装置の製造方法を第3図を用いて説明する。
まず、比抵抗10Ωcmを有する単結晶シリコンからなるp型シリコン基板1(以下、単にp型基板と呼ぶ)を準備し、その後、p型基板1の回路形成面にp型ウエル領域2及びn型ウエル領域3を選択的に形成する。
次に、p型基板1の回路形成面に、第1の素子形成領域及び第2の素子形成領域(活性領域)を区画する素子間分離領域として、浅溝アイソレーション領域4を形成する。この浅溝アイソレーション領域4は、p型基板1の回路形成面に浅溝(例えば300[nm]程度の深さの溝)を形成し、その後、p型基板1の回路形成面上に例えば酸化シリコン膜からなる絶縁膜をCVD法で形成し、その後、絶縁膜が浅溝の内部のみ残るようにCMP(化学的機械研磨:Chemical Mechanical Polishing)法で平坦化することによって形成される。
次に、熱処理を施してp型基板1の回路形成面の素子形成領域に例えば厚さが2〜3nm程度の酸化シリコン膜からなるゲート絶縁膜5を形成し、その後、p型基板1の回路形成面上の全面に例えば150〜200nm程度の厚さの多結晶シリコン膜をCVD法で形成し、その後、多結晶シリコン膜にパターンニングを施してゲート電極6を形成する。多結晶シリコン膜には、抵抗値を低減する不純物がその堆積中又は堆積後に導入される。
次に、ゲート電極6が形成されていないp型ウエル領域2の部分に不純物として例えば砒素(As)をイオン打込み法で選択的に導入して一対のn型半導体領域(エクステンション領域)7を形成し、その後、ゲート電極6が形成されていないn型ウエル領域3の部分に不純物として例えば二フッ化ボロン(BF)をイオン打込み法で選択的に導入して一対のp型半導体領域(エクステンション領域)8を形成する。n型半導体領域7の形成は、pMIS形成領域をフォトレジストマスクで覆った状態で行なう。また、p型半導体領域8の形成は、nMIS形成領域をフォトレジストマスクで覆った状態で行なう。砒素の導入は、加速エネルギー1〜5KeV、ドーズ量1〜2×1015/cmの条件で行なう。また、二フッ化ボロンの導入は、加速エネルギー1〜5KeV、ドーズ量1〜2×1015/cmの条件で行なう。ここまでの工程を第3図(a)に示す。
次に、第3図(b)に示すように、ゲート電極6の側壁に例えばゲート長方向の膜厚が50〜70nm程度のサイドウォールスペーサ9を形成する。サイドウォールスペーサ9は、p型基板1の回路形成面上の全面に例えば酸化シリコン膜又は窒化シリコン膜からなる絶縁膜をCVD法で形成し、その後、絶縁膜にRIE(Reactive Ion Etching)等の異方性エッチングを施すことによって形成される。
次に、ゲート電極6及びサイドウォールスペーサ9が形成されていないp型ウエル領域2の部分に不純物として例えば砒素(As)をイオン打込み法で選択的に導入して一対のn型半導体領域10を形成し、その後、ゲート電極6及びサイドウォールスペーサ9が形成されていないn型ウエル領域3の部分に不純物として例えば二フッ化ボロン(BF)をイオン打込み法で選択的に導入して一対のp型半導体領域11を形成する。n型半導体領域10の形成は、pMIS形成領域をフォトレジストマスクで覆った状態で行なう。また、p型半導体領域11の形成は、nMIS形成領域をフォトレジストマスクで覆った状態で行なう。砒素の導入は、加速エネルギー35〜45KeV、ドーズ量2〜4×1015/cmの条件で行なう。また、二フッ化ボロンの導入は、加速エネルギー40〜50KeV、ドーズ量2〜4×1015/cmの条件で行なう。
この工程において、n型半導体領域7及びn型半導体領域10からなるソース領域及びドレイン領域が形成される。また、p型半導体領域8及びp型半導体領域11からなるソース領域及びドレイン領域が形成される。
次に、自然酸化膜等を除去してゲート電極6及び半導体領域(10,11)の表面を露出させた後、これらの表面上を含むp型基板1の回路形成面上の全面に高融点金属膜として例えばコバルト(Co)膜をスパッタ法で形成し、その後、熱処理を施し、ゲート電極6のシリコン(Si)とコバルト膜のCoとを反応させてゲート電極6の表面にシリサイド(CoSi)層12を形成すると共に、半導体領域(10,11)のSiとコバルト膜のCoとを反応させて半導体領域の表面にシリサイド(CoSi)層12を形成し、その後、シリサイド層12が形成された領域以外の未反応のコバルト膜を選択的に除去し、その後、熱処理を施してシリサイド層12を活性化する。
次に、p型基板1の回路形成面上の全面に、絶縁膜として例えば100〜120nm程度の厚さの窒化シリコン膜13をプラズマCVD法で形成する。窒化シリコン膜13の形成は、例えば高周波電力350〜400W、或いはチャンバー内圧力300〜350Torrの条件で行なう。
次に、フォトエッチング技術を用いて窒化シリコン膜13にパターンニングを施し、第3図(c)に示すように、nチャネル導電型MISFETを選択的に覆う窒化シリコン膜13を形成する。即ち、pチャネル導電型MISFET上における窒化シリコン膜13は除去する。このようにして形成された窒化シリコン膜13は、nチャネル導電型MISFETのチャネル形成領域に選択的に引っ張り応力を発生させることができる。
次に、p型基板1の回路形成面上の全面に、絶縁膜として例えば100nm程度の厚さの窒化シリコン膜14をプラズマCVD法で形成する。窒化シリコン膜14の形成は、例えば高周波電力600〜700W、或いはチャンバー内圧力5〜10Torrの条件で行なう。
次に、フォトエッチング技術を用いて窒化シリコン膜14にパターンニングを施し、第3図(c)に示すように、pチャネル導電型MISFETを選択的に覆う窒化シリコン膜14を形成する。即ち、nチャネル導電型MISFET上における窒化シリコン膜14は除去する。このようにして形成された窒化シリコン膜14は、pチャネル導電型MISFETのチャネル形成領域に選択的に圧縮応力を発生させることができる。
次に、p型基板1の回路形成面上の全面に例えば酸化シリコン膜からなる層間絶縁膜15をプラズマCVD法で形成し、その後、層間絶縁膜15の表面をCMP法で平坦化する。この後は、公知の技術でコンタクト孔、メタル配線層を形成して完成する。
窒化シリコン膜13及び14の加工方法については、等方性ドライエッチング、或いはウエットエッチングを使用する。異方性ドライエッチングの場合には、ゲート段差部に窒化シリコン膜が残り、応力の効果が多少弱まるが、これでも良い。
本実施形態1では、ゲート電極6に直接接する窒化シリコン膜で応力を制御しているため、最も効率が良い。特に、ソース領域及びドレイン領域の不純物活性化等の高温熱処理が完了した後に応力制御用の窒化シリコン膜を形成するため、膜応力をほぼそのまま残存できる。更に、電流駆動能力向上と共に、広いアイソレーション領域等における窒化シリコン膜を除去できるため、アイソレーション領域における寄生容量を低減できる。窒化シリコン膜は酸化シリコン膜と比較して誘電率が高い。
なお、本実施形態1においては、窒化シリコン膜14を省略しても良い。むろん、pチャネル導電型MISFETの電流駆動能力向上の効果は小さくなるが、その分製造工程を簡略化することができる。また、窒化シリコン膜13は枚葉熱CVD法で形成しても良いし、窒化シリコン膜13及び14共に圧縮応力或いは引っ張り応力が発生するようにし、その大きさが異なるだけでも良い。
要するに、本実施形態1のポイントは、nチャネル導電型及びpチャネル導電型MISFETにおいて少なくとも一方のチャネル形成領域に発生する応力の向き、大きさをドレイン電流が増加する方向に変えることである。
また、本実施形態1において、窒化シリコン膜13の厚さを厚めに設定すると、窒化シリコン膜14の加工時のオーバーエッチングによる膜減を防げる。なお、両膜の厚さは何ら規定されるものではない。
更に、窒化シリコン膜の形成方法を変えて膜応力を変える方法としては、前記実施形態の高周波電力を変える方法の他に、下記の方法があげられる。
1)原料ガスを変える方法として、窒化シリコン膜13の形成にはSiHとNHとNを使用し、窒化シリコン膜14の形成にはNHを除いてSiHとNを使用する、
2)形成温度を変える方法として、窒化シリコン膜14の形成時よりも、窒化シリコン膜13の形成時の温度を高くする、
3)圧力を変える方法として、窒化シリコン膜14の形成時よりも、窒化シリコン膜13の形成時の圧力を高くする、
などである。むろん、前記いずれの組み合わせを複合させてもよい。要はいかに窒化シリコン膜13を引っ張り応力側に、窒化シリコン膜14を圧縮応力側にするかが重要である。
また、枚葉熱CVD法を用いた窒化膜の形成方法としては、膜形成時の圧力を下げるほど、また温度を高くするほど膜応力を引っ張り側にでき、窒化シリコン膜13に好適である。
(実施形態2)
本実施形態2は、前記実施形態1の製造工程を簡略化することを狙ったものである。第4図は、本発明の実施形態2の半導体装置を製造するプロセスフロー((a),(b),(c)及び(d)は模式的断面図)である。
第4図(a)に示すように、前記実施形態1と同様のプロセスで、nチャネル導電型及びpチャネル導電型MISFET及びシリサイド層12を形成する。
次に、p型基板1の回路形成面上の全面に、絶縁膜として例えば100〜120nm程度の厚さの窒化シリコン膜13をプラズマCVD法で形成する。窒化シリコン膜13の形成は、例えば高周波電力350〜400Wの条件で行なう。
次に、p型基板1の回路形成面上の全面に、絶縁膜として酸化シリコン膜13Aを形成する。この酸化シリコン膜13Aは、例えばP−TEOS或いはO−TEOS酸化膜である。
次に、フォトエッチング技術を用いて酸化シリコン膜13A及び窒化シリコン膜13に順次パターンニングを施し、第4図(b)に示すように、nチャネル導電型MISFETを選択的に覆う窒化シリコン膜13及び酸化シリコン膜13Aを形成する。即ち、pチャネル導電型MISFET上における窒化シリコン膜13及び酸化シリコン膜13Aは除去する。このようにして形成された窒化シリコン膜13は、nチャネル導電型MISFETのチャネル形成領域に選択的に引っ張り応力を発生させることができる。
次に、第4図(c)に示すように、p型基板1の回路形成面上の全面に、絶縁膜として例えば100nm程度の厚さの窒化シリコン膜14をプラズマCVD法で形成する。窒化シリコン膜14の形成は、例えば高周波電力600〜700Wの条件で行なう。
次に、フォトエッチング技術を用いて窒化シリコン膜14にパターンニングを施し、第4図(d)に示すように、pチャネル導電型MISFETを選択的に覆う窒化シリコン膜14を形成する。即ち、nチャネル導電型MISFET上における窒化シリコン膜14は除去する。このようにして形成された窒化シリコン膜14は、pチャネル導電型MISFETのチャネル形成領域に選択的に圧縮応力を発生させることができる。この工程において、酸化シリコン膜13Aが窒化シリコン膜14の加工時のエッチングストッパーとなっている。即ち、窒化シリコン膜14の加工時のオーバーエッチングによる窒化シリコン膜13の薄膜化を抑制することができる。
次に、第4図(d)に示すように、p型基板1の回路形成面上の全面に例えば酸化シリコン膜からなる層間絶縁膜15をプラズマCVD法で形成し、その後、層間絶縁膜15の表面をCMP法で平坦化する。この後は、公知の技術でコンタクト孔、メタル配線層を形成して完成する。
本実施形態2によれば、前述の実施形態1の効果に加えて、窒化シリコン膜14の加工の制御性を大きく向上できる。この結果、窒化シリコン膜13及び14の膜厚を均一、かつ薄膜にできる。
(実施形態3)
本実施形態3では、電源電圧が1〜1.5V、ゲート長が0.1〜0.14μm程度の相補型MISFETを有する半導体装置に本発明を適用した例について説明する。
本実施形態3は、前記実施形態1の製造工程を簡略化することを狙ったものである。第5図は、本発明の実施形態3の半導体装置を製造するプロセスフロー((a),(b)及び(c)は模式的断面図)である。第5図において、向かって左側がnチャネル導電型MISFETであり、右側がpチャネル導電型MISFETである。
第5図(a)に示すように、前記実施形態1と同様のプロセスで、nチャネル導電型及びpチャネル導電型MISFET及びシリサイド層12を形成した後、p型基板1の回路形成面上の全面に、絶縁膜としてpチャネル導電型MISFETのチャネル形成領域に圧縮応力を発生させる窒化シリコン膜16をプラズマCVD法で形成する。窒化シリコン膜16の形成は、例えば高周波電力350〜400Wの条件で行なう。
次に、pチャネル導電型MISFET上を覆い、かつnチャネル導電型MISFET上に開口を有するレジスト膜Rをp型基板1の回路形成面上に形成し、その後、第5図(b)に示すように、レジスト膜Rを不純物導入用マスクとして使用して、レジスト膜Rから露出する窒化シリコン膜16中に、Ar、Ge、Si、As、Sb、In、BF等の不純物をイオン打込み法で導入する。なお、図中の符号17は、これらの不純物が導入された窒化シリコン膜である。
次に、レジスト膜Rを除去し、その後、第5図(c)に示すように、p型基板1の回路形成面上の全面に例えば酸化シリコン膜からなる層間絶縁膜15をプラズマCVD法で形成し、その後、層間絶縁膜15の表面をCMP法で平坦化する。この後は、公知の技術でコンタクト孔、メタル配線層を形成して完成する。
このようにして得られたpチャネル導電型MISFET上の窒化シリコン膜16は、−800〜−1000MPaの圧縮応力を有し、pチャネル導電型MISFETのチャネル形成領域に圧縮応力を発生させる。一方、nチャネル導電型MISFET上の窒化シリコン膜17の応力は著しく緩和され、ほぼゼロの状態になっている。即ち、nチャネル導電型MISFETのチャネル形成領域における圧縮応力は緩和されている。この結果、窒化シリコン膜16を被膜していない場合と比較して、pチャネル導電型MISFETのドレイン電流は15〜20%向上した。この時、nチャネル導電型MISFETのドレイン電流は、高圧縮応力の窒化シリコン膜16を適用したにもかかわらず、ほとんど低下していない。
これは、イオン注入の衝撃により窒化シリコン膜16中の結晶性が破壊されたことによるものである。従って、窒化シリコン膜の断面を観察すると明らかに破壊された跡が残っている。なお、本実施形態では不純物をnチャネル導電型MISFET上の窒化シリコン膜のみに導入したが、明確な緩和効果に差があれば、不純物自身は、n、pチャネル導電型MISFET上の両方にあってもよい。但し、このときはnチャネル導電型MISFET上の窒化シリコン膜中の不純物量が大きい、或いはイオン注入によって破壊された領域が大きいことが必要である。また、この破壊領域の大きさ、つまり応力緩和効果は、導入する不純物の濃度だけでなく、エネルギーの大きさに大きく左右される。例えば本実施形態では、nチャネル導電型MISFET上の窒化シリコン膜中に導入される不純物のエネルギーが、pチャネル導電型MISFET上の窒化シリコン膜中に導入される不純物のエネルギーよりも大きいだけでも同様の効果を得ることができる。なお、導入された不純物の大部分は窒化シリコン膜16中に存在していることが望ましい。これは、イオン注入の損傷が下部のMISFETに悪影響を与える場合があるからである。
また、本実施形態では窒化シリコン膜16の被膜と、選択的イオン注入工程後、素子が完成するまでの間の熱処理工程は、700℃が最高温度であった。この程度の比較的低温の熱処理であれば、イオン注入により破壊された窒化シリコン膜が再び結晶化することはほとんどなかった。従って、イオン注入後の応力の状態が、残留応力として素子完成後にもほぼ維持されている。
本実施形態3によると、窒化シリコン膜16への不純物のイオン注入により、膜中の応力を緩和、或いは逆向きにできることから、本方式でも実施形態1と同様の効果を得ることができる。これにより、前述の実施形態1と比較して、窒化シリコン膜の被膜工程が一回で済むため、第2の窒化シリコン膜の被膜工程とその加工工程を省略でき、製造工程を簡略化できる。むろん、イオン注入により膜応力を変えるのは、pチャネル導電型MISFET側でもよい。この場合、p型基板1の回路形成面上の全面に、nチャネル導電型MISFETのチャネル形成領域に引っ張り応力を発生させる窒化シリコン膜を形成した後、pチャネル導電型MISFET上における窒化シリコン膜に前述の不純物をイオン打込み法で選択的に導入する。また、窒化シリコン膜中にイオン注入するイオン種(不純物)としては、比較的重いイオンの方が、低濃度イオン注入で本効果をあげることができ、効率が良いが、何らイオン種を限定するものではない。
また、本実施形態での応力緩和用のイオン注入としては、シリコン基板(ウェーハ)に対して垂直のイオン注入を適用した場合を示したが、第11図(模式的断面図)に示したように、斜めインプラ注入を適用しても良い。この場合、MISFETのゲート電極を覆っている窒化シリコン膜16のゲート側壁部分(段差部分)にも不純物を導入できる。この結果、より一層の応力緩和効果を得ることができた。
(実施形態4)
本実施形態4は、本発明の実施形態1の半導体装置の製造方法の変形例である。これを第6図((a),(b)及び(c)は模式的断面図)を用いて説明する。
第6図(a)に示すように、前記実施形態1と同様のプロセスで、nチャネル導電型MISFET及びpチャネル導電型MISFET及びシリサイド層12を形成する。
次に、p型基板1の回路形成面上の全面に、絶縁膜として例えば100〜120nm程度の厚さの窒化シリコン膜13をプラズマCVD法で形成する。窒化シリコン膜13の形成は、例えば高周波電力350〜400Wの条件で行なう。
次に、フォトエッチング技術を用いて窒化シリコン膜13にパターンニングを施し、第6図(b)に示すように、nチャネル導電型MISFETを選択的に覆う窒化シリコン膜13を形成する。即ち、pチャネル導電型MISFET上における窒化シリコン膜13は除去する。このようにして形成された窒化シリコン膜13は、nチャネル導電型MISFETのチャネル形成領域に選択的に引っ張り応力を発生させることができる。
次に、第6図(c)に示すように、p型基板1の回路形成面上の全面に、絶縁膜として例えば100〜120nm程度の厚さの窒化シリコン膜14をプラズマCVD法で形成する。窒化シリコン膜14の形成は、例えば高周波電力600〜700Wの条件で行なう。
次に、p型基板1の回路形成面上の全面に例えば酸化シリコン膜からなる層間絶縁膜15をプラズマCVD法で形成し、その後、層間絶縁膜15の表面をCMP法で平坦化する。この後は、公知の技術でコンタクト孔、メタル配線層を形成して完成する。
本実施形態4において、pチャネル導電型MISFET上には窒化シリコン膜14のみが存在している。一方、nチャネル導電型MISFET上には窒化シリコン膜13及び14が存在している。この結果、pチャネル導電型MISFETのチャネル形成領域には大きな圧縮応力が発生するが、nチャネル導電型MISFETのチャネル形成領域に発生する応力は緩和されている。本実施形態では、窒化シリコン膜を被膜していない場合と比較して、pチャネル導電型MISFETのドレイン電流のみを15〜20%向上させることができた。この時、nチャネル導電型MISFETのドレイン電流はほとんど変化していない。
なお、nチャネル導電型MISFETのドレイン電流を主に増加させたい場合には、先に窒化シリコン膜14をpチャネル導電型MISFET上に選択的に形成し、その後、窒化シリコン膜13を全面に形成すればよい。
本実施形態の工程を第1及び第2実施形態と比較すると、nチャネル導電型MISFET上における窒化シリコン膜14をフォトエッチングで除去する工程が省略されている。この結果、第1及び第2実施形態よりも工程を簡略化できる。
なお、本実施形態においては、窒化シリコン膜13及び14の膜厚、及びその膜応力の大きさを変えることで、nチャネル導電型及びpチャネル導電型MISFETのドレイン電流を同時に向上させることもできる。例えば、上記実施形態において、窒化シリコン膜13の膜厚を130〜150nm、そして窒化シリコン膜14の膜厚を50〜80nmにすることにより、窒化シリコン膜14によってnチャネル導電型MISFETのドレイン電流向上効果が小さくなることもない。
(実施形態5)
第7図は、本発明の実施形態5の半導体装置の概略構成を示す模式的断面図であり、図中23は、引っ張り応力をもつ塗布酸化膜(SOG(Spin On Glass)膜)である。
本実施形態5の半導体装置は、応力を制御する膜、構造を変えたものとして、前記応用例の何れかを組み合わせたものである。例えば、第7図に示すように、ゲート電極6の直上を含むp型基板1の回路形成面の全面に、自己整合コンタクトプロセス用の、圧縮応力をもつ窒化シリコン膜19を形成し、その後、窒化シリコン膜19上に引っ張り応力をもつSOG膜23を形成し、その後、SOG膜23にパターンニングを施して、nチャネル導電型MISFET上にSOG膜23を選択的に残したものである。nチャネル導電型MISFET側では、窒化シリコン膜19の圧縮応力をSOG膜23の引っ張り応力で打ち消している。
(実施形態6)
第8図は、本発明の実施形態6の半導体装置の概略構成を示す断面図であり、図中20は圧縮応力をもつ窒化シリコン膜からなるサイドウォールスペーサ、21は引っ張り応力をもつゲート電極、22は圧縮応力をもつゲート電極である。
本実施形態6の半導体装置は、第8図に示すように、前記応力を制御する膜、構造を変えたものであり、前記実施形態1におけるサイドウォールスペーサ9を圧縮応力をもつ窒化シリコン膜からなるサイドウォールスペーサ20に変更したり、また、ゲート電極6を引っ張り応力をもつ材料からなるゲート電極21に変更したり、また、ゲート電極6を圧縮応力をもつ材料からなるゲート電極22に変更したりする(構造変更を含む)ことを組み合わせて応力を制御するものである。
例えば、前記応力を制御する膜、構造を変えたものとして、ゲート電極6の材料の変更の組み合わせで応力を制御する場合の一例として、一方のゲート電極6に特別に不純物(Ge,Si他)を多く導入することがあげられる。また、ゲート電極6はポリメタル構造でも良い。
また、応力を制御する膜、構造を変えたものとして、nチャネル導電型MISFET、pチャネル導電型MISFETでゲート絶縁膜材料を変えても良い。例えば、nチャネル導電型MISFET及びpチャネル導電型MISFETの何れかに窒化シリコン膜と酸化シリコン膜の積層膜を適用する等である。
(実施形態7)
第9図は、本発明の実施形態7の半導体装置の概略構成を示す模式的断面図である。本実施形態の半導体装置は、第9図に示すように、応力を制御する膜、構造を変えたものとして、前記実施形態1と同様に層間絶縁膜の一部としての窒化シリコン膜を適用するが、nチャネル導電型MISFETのゲート電極6上に引っ張り応力をもつ窒化シリコン膜13を、pチャネル導電型MISFETのゲート電極6上に圧縮応力をもつ窒化シリコン膜14を直接形成するのではなく、層間絶縁膜15の表面を平坦化した後に、nチャネル導電型MISFETのゲート電極6上における層間絶縁膜15上に引っ張り応力をもつ窒化シリコン膜24をpチャネル導電型MISFETのゲート電極6上における層間絶縁膜15上に圧縮応力をもつ窒化シリコン膜25を形成したものである。
このように構成した場合は、一方の窒化シリコン膜の除去が容易になる。
(実施形態8)
第10図は、本発明の実施形態8の半導体装置の概略構成を示す模式的断面図である。
本実施形態8の半導体装置は、SOI(Silicon On Insulator)基板30を用いたSOI構造となっている。SOI基板30は、例えば、支持基板30Aと、この支持基板30A上に設けられた絶縁層30Bと、この絶縁層30B上に設けられた半導体層30Cとを有する構成となっている。支持基板30Aは例えば単結晶シリコンからなるp型シリコン基板で形成され、絶縁層30Bは例えば酸化シリコン膜で形成され、半導体層30Cは例えば単結晶シリコンからなるp型半導体で形成されている。半導体層30Cは複数個の素子形成部に分割され、各素子形成部にnチャネル導電型MISFET又はpチャネル導電型MISFETが形成されている。nチャネル導電型MISFETが形成される半導体層30Cの素子形成部にはp型ウエル領域が形成され、pチャネル導電型MISFETが形成される半導体層30Cの素子形成部にはn型ウエル領域が形成されている。
SOI構造は半導体層30Cの厚さが薄いため、一層応力の効果が大きい。また、SOI構造の場合には、絶縁層(埋め込み層)30Bの厚さを変えることや絶縁層30Bに不純物を選択的に導入することによって応力制御を行なうことができる。この結果、本発明の効果と共にSOI構造のメリットを享受できる。
また、SRAM(Static Random Access Memory )、DRAM(Dynamic Random Access Memory)、フラッシュ等のメモリが含まれる製品において、少なくともそのメモリセルの周辺回路やロジック回路部分に本発明の構造を適用すると、より高性能のメモリ製品を得ることができる。
以上、本発明者によってなされた発明を、前記実施形態に基づき具体的に説明したが、本発明は、前記実施形態に限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは勿論である。
第1図は、本発明の実施形態1の半導体装置の概略構成を示す模式的断面図である。 第2図は、電流駆動能力と膜応力との関係を示す特性図である。 第3図は、第1図の半導体装置を製造するプロセスフロー((a),(b)及び(c)は模式的断面図)である。 第4図は、本発明の実施形態2の半導体装置を製造するプロセスフロー((a),(b),(c)及び(d)は模式的断面図)である。 第5図は、本発明の実施形態3の半導体装置を製造するプロセスフロー((a),(b)及び(c)は模式的断面図)である。 第6図は、本発明の実施形態4の半導体装置を製造するプロセスフロー((a),(b)及び(c)は模式的断面図)である。 第7図は、本発明の実施形態5の半導体装置の概略構成を示す模式的断面図である。 第8図は、本発明の実施形態6の半導体装置の概略構成を示す模式的断面図である。 第9図は、本発明の実施形態7の半導体装置の概略構成を示す模式的断面図である。 第図10は、本発明の実施形態8の半導体装置の概略構成を示す模式的断面図である。 第11図は、本発明の実施形態3の半導体装置の製造において、斜めインプラ工程を示す模式的断面図である。
符号の説明
1…p型シリコン基板、2…p型ウエル領域、3…n型ウエル領域、4…浅溝アイソレーション領域、5…ゲート絶縁膜、6…ゲート電極、7…n型半導体領域、8…p型半導体領域、9…サイドウォールスペーサ、10…n型半導体領域、11…p型半導体領域、12…シリサイド層、13,14…窒化シリコン膜、13A…酸化シリコン膜、15…層間絶縁膜、16,17…窒化シリコン膜、19…窒化シリコン膜、20…サイドウォールスペーサ、21…ゲート電極、22…ゲート電極、23…SOG膜、24,25…窒化シリコン膜、30…SOI基板、30A…支持基板、30B…絶縁層、30C…半導体層。

Claims (26)

  1. 半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置の製造方法であって、
    (a)前記nチャネル導電型電界効果トランジスタの前記チャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に引張応力を発生させる第1の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
    (b)前記(a)工程後に、前記nチャネル導電型電界効果トランジスタ上の前記第1の膜を残しつつ、前記pチャネル導電型電界効果トランジスタ上の前記第1の膜を除去する工程、
    (c)前記(b)工程後に、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
    を有することを特徴とする半導体装置の製造方法。
  2. 請求項1に記載の半導体装置の製造方法において、
    前記第2の膜は自己整合コンタクト用絶縁膜であることを特徴とする半導体装置の製造方法。
  3. ゲート絶縁膜、ゲート電極、サイドウォールスペーサ、ソース領域およびドレイン領域を有し、その動作時に、前記ゲート絶縁膜を介した前記ゲート電極下の半導体基板にチャネルが形成されるチャネル形成領域を有するnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを含む半導体装置の製造方法であって、
    (a)前記nチャネル導電型電界効果トランジスタの前記チャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に引張応力を発生させる第1の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
    (b)前記(a)工程後に、前記nチャネル導電型電界効果トランジスタ上の前記第1の膜を残しつつ、前記pチャネル導電型電界効果トランジスタ上の前記第1の膜を除去する工程、
    (c)前記(b)工程後に、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
    (d)前記(c)工程後に、前記第2の膜上に層間絶縁膜を形成する工程、
    (e)前記(d)工程後に、前記第2の膜をエッチングストッパとして、前記層間絶縁膜をエッチングすることで、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記ソース領域および前記ドレイン領域に接続するための複数のコンタクト孔を形成する工程、
    を有することを特徴とする半導体装置の製造方法。
  4. 請求項1〜3のいずれか1項に記載の半導体装置の製造方法において、
    前記第1の膜の膜厚は、前記第2の膜の膜厚よりも厚いことを特徴とする半導体装置の製造方法。
  5. 半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置の製造方法であって、
    (a)前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
    (b)前記(a)工程後に、前記pチャネル導電型電界効果トランジスタ上の前記第2の膜を残しつつ、前記nチャネル導電型電界効果トランジスタ上の前記第2の膜を除去する工程、
    (c)前記(b)工程後に、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に引張応力を発生させる第1の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
    を有することを特徴とする半導体装置の製造方法。
  6. 請求項5に記載の半導体装置の製造方法において、
    前記第1の膜は自己整合コンタクト用絶縁膜であることを特徴とする半導体装置の製造方法。
  7. ゲート絶縁膜、ゲート電極、サイドウォールスペーサ、ソース領域およびドレイン領域を有し、その動作時に、前記ゲート絶縁膜を介した前記ゲート電極下の半導体基板にチャネルが形成されるチャネル形成領域を有するnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを含む半導体装置の製造方法であって、
    (a)前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
    (b)前記(a)工程後に、前記pチャネル導電型電界効果トランジスタ上の前記第2の膜を残しつつ、前記nチャネル導電型電界効果トランジスタ上の前記第2の膜を除去する工程、
    (c)前記(b)工程後に、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に引張応力を発生させる第1の膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
    (d)前記(c)工程後に、前記第1の膜上に層間絶縁膜を形成する工程、
    (e)前記(d)工程後に、前記第1の膜をエッチングストッパとして、前記層間絶縁膜をエッチングすることで、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記ソース領域および前記ドレイン領域に接続するための複数のコンタクト孔を形成する工程、
    を有することを特徴とする半導体装置の製造方法。
  8. 請求項1〜7のいずれか1項に記載の半導体装置の製造方法において、
    前記第1の膜は、窒化シリコン膜であることを特徴とする半導体装置の製造方法。
  9. 請求項1〜8のいずれか1項に記載の半導体装置の製造方法において、
    前記第2の膜は、窒化シリコン膜であることを特徴とする半導体装置の製造方法。
  10. 請求項1〜9のいずれか1項に記載の半導体装置の製造方法において、
    前記第1の膜及び前記第2の膜は、プラズマCVD法で形成することを特徴とする半導体装置の製造方法。
  11. 請求項10に記載の半導体装置の製造方法において、
    前記第2の膜の形成時における高周波電力は、前記第1の膜の形成時における高周波電力よりも高いことを特徴とする半導体装置の製造方法。
  12. 請求項10または11のいずれか1項に記載の半導体装置の製造方法において、
    前記第1の膜の形成に使用される原料ガスはSiHとNHとNであり、
    前記第2の膜の形成に使用される原料ガスはSiHとNであることを特徴とする半導体装置の製造方法。
  13. 請求項10〜12のいずれか1項に記載の半導体装置の製造方法において、
    前記第1の膜の形成時の温度は、前記第2の膜の形成時の温度よりも高いことを特徴とする半導体装置の製造方法。
  14. 請求項10〜13のいずれか1項に記載の半導体装置の製造方法において、
    前記第1の膜の形成時の圧力は、前記第2の膜の形成時の圧力よりも高いことを特徴とする半導体装置の製造方法。
  15. 請求項1〜9のいずれか1項に記載の半導体装置の製造方法において、
    前記第1の膜は熱CVD法で形成し、
    前記第2の膜はプラズマCVD法で形成することを特徴とする半導体装置の製造方法。
  16. 請求項1〜15のいずれか1項に記載の半導体装置の製造方法において、
    前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記ゲート電極のゲート長方向における長さは、それぞれ0.1μm以下であることを特徴とする半導体装置の製造方法。
  17. 半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置であって、
    前記nチャネル導電型電界効果トランジスタの前記チャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に引張応力を発生させる第1の膜が、前記nチャネル導電型電界効果トランジスタを覆うように、且つ、前記pチャネル導電型電界効果トランジスタを覆わないように被膜され、
    前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜が、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように被膜されていることを特徴とする半導体装置。
  18. 請求項17に記載の半導体装置において、
    前記第2の膜は自己整合コンタクト用絶縁膜であることを特徴とする半導体装置。
  19. 半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置であって、
    前記nチャネル導電型電界効果トランジスタを覆うように形成され、前記nチャネル導電型電界効果トランジスタの前記チャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に引張応力を発生させる第1の膜と、
    前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成され、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜と、
    前記層間絶縁膜、前記第1の膜および前記第2の膜に形成され、且つ、前記nチャネル導電型電界効果トランジスタの前記ソース領域および前記ドレイン領域に接続する複数の第1コンタクト孔と、
    前記層間絶縁膜および前記第2の膜に形成され、且つ、前記pチャネル導電型電界効果トランジスタの前記ソース領域および前記ドレイン領域に接続する複数の第2コンタクト孔と、
    を有することを特徴とする半導体装置。
  20. 請求項17〜19のいずれか1項に記載の半導体装置において、
    前記第1の膜の膜厚は、前記第2の膜の膜厚よりも厚いことを特徴とする半導体装置。
  21. 半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置であって、
    前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜が、前記pチャネル導電型電界効果トランジスタを覆うように、且つ、前記nチャネル導電型電界効果トランジスタを覆わないように被膜され、
    前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのチャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜が、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように被膜されていることを特徴とする半導体装置。
  22. 請求項21に記載の半導体装置において、
    前記第1の膜は自己整合コンタクト用絶縁膜であることを特徴とする半導体装置。
  23. 半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置であって、
    前記pチャネル導電型電界効果トランジスタを覆うように形成され、前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に圧縮応力を発生させる第2の膜であって、且つ、主として、前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に圧縮応力を発生させる第2の膜と、
    前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成され、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に引張応力を発生させる第1の膜であって、且つ、主として、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのゲート電極のゲート長方向に引張応力を発生させる第1の膜と、
    前記層間絶縁膜および前記第1の膜に形成され、且つ、前記nチャネル導電型電界効果トランジスタの前記ソース領域および前記ドレイン領域に接続する複数の第1コンタクト孔と、
    前記層間絶縁膜、前記第1の膜および前記第2の膜に形成され、且つ、前記pチャネル導電型電界効果トランジスタの前記ソース領域および前記ドレイン領域に接続する複数の第2コンタクト孔と、
    を有することを特徴とする半導体装置。
  24. 請求項17〜23のいずれか1項に記載の半導体装置において、
    前記第1の膜は、窒化シリコン膜であることを特徴とする半導体装置。
  25. 請求項17〜24のいずれか1項に記載の半導体装置において、
    前記第2の膜は、窒化シリコン膜であることを特徴とする半導体装置。
  26. 請求項17〜25のいずれか1項に記載の半導体装置において、
    前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記ゲート電極のゲート長さは、それぞれ0.1μm以下であることを特徴とする半導体装置。
JP2008171181A 2000-11-22 2008-06-30 半導体装置及びその製造方法 Expired - Lifetime JP4932795B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008171181A JP4932795B2 (ja) 2000-11-22 2008-06-30 半導体装置及びその製造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000356497 2000-11-22
JP2000356497 2000-11-22
JP2008171181A JP4932795B2 (ja) 2000-11-22 2008-06-30 半導体装置及びその製造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002544785A Division JP4597479B2 (ja) 2000-11-22 2001-06-29 半導体装置及びその製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012013908A Division JP5311521B2 (ja) 2000-11-22 2012-01-26 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2008288606A true JP2008288606A (ja) 2008-11-27
JP4932795B2 JP4932795B2 (ja) 2012-05-16

Family

ID=18828740

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2002544785A Expired - Lifetime JP4597479B2 (ja) 2000-11-22 2001-06-29 半導体装置及びその製造方法
JP2008171181A Expired - Lifetime JP4932795B2 (ja) 2000-11-22 2008-06-30 半導体装置及びその製造方法
JP2008171182A Expired - Lifetime JP4949329B2 (ja) 2000-11-22 2008-06-30 半導体装置及びその製造方法
JP2012013908A Expired - Lifetime JP5311521B2 (ja) 2000-11-22 2012-01-26 半導体装置の製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2002544785A Expired - Lifetime JP4597479B2 (ja) 2000-11-22 2001-06-29 半導体装置及びその製造方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2008171182A Expired - Lifetime JP4949329B2 (ja) 2000-11-22 2008-06-30 半導体装置及びその製造方法
JP2012013908A Expired - Lifetime JP5311521B2 (ja) 2000-11-22 2012-01-26 半導体装置の製造方法

Country Status (8)

Country Link
US (9) US7115954B2 (ja)
JP (4) JP4597479B2 (ja)
KR (2) KR100767950B1 (ja)
CN (2) CN1449585A (ja)
AU (1) AU2001267880A1 (ja)
MY (1) MY135557A (ja)
TW (1) TW536726B (ja)
WO (1) WO2002043151A1 (ja)

Families Citing this family (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183346A (ja) * 1998-12-15 2000-06-30 Toshiba Corp 半導体装置及びその製造方法
JP4831885B2 (ja) 2001-04-27 2011-12-07 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
JP2003179157A (ja) * 2001-12-10 2003-06-27 Nec Corp Mos型半導体装置
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
JP4030383B2 (ja) * 2002-08-26 2008-01-09 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US7388259B2 (en) 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
JP4384988B2 (ja) * 2002-11-25 2009-12-16 インターナショナル・ビジネス・マシーンズ・コーポレーション 歪みFinFETCMOSデバイス構造
JP4406200B2 (ja) * 2002-12-06 2010-01-27 株式会社東芝 半導体装置
US7001837B2 (en) * 2003-01-17 2006-02-21 Advanced Micro Devices, Inc. Semiconductor with tensile strained substrate and method of making the same
JP4585510B2 (ja) * 2003-03-07 2010-11-24 台湾積體電路製造股▲ふん▼有限公司 シャロートレンチアイソレーションプロセス
JP2004317891A (ja) 2003-04-17 2004-11-11 Nec Saitama Ltd カメラ付き携帯型電子機器
JP4557508B2 (ja) 2003-06-16 2010-10-06 パナソニック株式会社 半導体装置
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7319258B2 (en) * 2003-10-31 2008-01-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip with<100>-oriented transistors
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7161169B2 (en) * 2004-01-07 2007-01-09 International Business Machines Corporation Enhancement of electron and hole mobilities in <110> Si under biaxial compressive strain
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US20050186722A1 (en) * 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
US7064396B2 (en) * 2004-03-01 2006-06-20 Freescale Semiconductor, Inc. Integrated circuit with multiple spacer insulating region widths
US6995456B2 (en) * 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
KR101025761B1 (ko) * 2004-03-30 2011-04-04 삼성전자주식회사 디지탈 회로 및 아날로그 회로를 가지는 반도체 집적회로및 그 제조 방법
CN1684246B (zh) * 2004-03-30 2010-05-12 三星电子株式会社 低噪声和高性能电路以及制造方法
JP2005294360A (ja) * 2004-03-31 2005-10-20 Nec Electronics Corp 半導体装置の製造方法
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7220630B2 (en) * 2004-05-21 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
US20050266632A1 (en) * 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
DE102004026149B4 (de) * 2004-05-28 2008-06-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines Halbleiterbauelements mit Transistorelementen mit spannungsinduzierenden Ätzstoppschichten
DE102004026142B3 (de) * 2004-05-28 2006-02-09 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Steuern der mechanischen Spannung in einem Kanalgebiet durch das Entfernen von Abstandselementen und ein gemäß dem Verfahren gefertigtes Halbleiterbauelement
WO2005119760A1 (en) * 2004-05-28 2005-12-15 Advanced Micro Devices, Inc. Technique for creating different mechanical stress in different channel regions by forming an etch stop layer having differently modified intrinsic stress
US6984564B1 (en) * 2004-06-24 2006-01-10 International Business Machines Corporation Structure and method to improve SRAM stability without increasing cell area or off current
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4994581B2 (ja) * 2004-06-29 2012-08-08 富士通セミコンダクター株式会社 半導体装置
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
JP4444027B2 (ja) * 2004-07-08 2010-03-31 富士通マイクロエレクトロニクス株式会社 nチャネルMOSトランジスタおよびCMOS集積回路装置
JP2006041118A (ja) * 2004-07-26 2006-02-09 Toshiba Corp 半導体装置及びその製造方法
US7402535B2 (en) * 2004-07-28 2008-07-22 Texas Instruments Incorporated Method of incorporating stress into a transistor channel by use of a backside layer
SG119256A1 (en) * 2004-07-28 2006-02-28 Taiwan Semiconductor Mfg Semiconductor-on-insulator chip with <100> oriented transistors
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
JP4794838B2 (ja) * 2004-09-07 2011-10-19 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
DE102004047631B4 (de) * 2004-09-30 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden einer Halbleiterstruktur in Form eines Feldeffekttransistors mit einem verspannten Kanalgebiet und Halbleiterstruktur
US20060079046A1 (en) * 2004-10-12 2006-04-13 International Business Machines Corporation Method and structure for improving cmos device reliability using combinations of insulating materials
US7098536B2 (en) * 2004-10-21 2006-08-29 International Business Machines Corporation Structure for strained channel field effect transistor pair having a member and a contact via
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
DE102004052578B4 (de) * 2004-10-29 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
JP4643223B2 (ja) * 2004-10-29 2011-03-02 株式会社東芝 半導体装置
DE102004057762B4 (de) * 2004-11-30 2010-11-11 Advanced Micro Devices Inc., Sunnyvale Verfahren zur Herstellung einer Halbleiterstruktur mit Ausbilden eines Feldeffekttransistors mit einem verspannten Kanalgebiet
US7193254B2 (en) * 2004-11-30 2007-03-20 International Business Machines Corporation Structure and method of applying stresses to PFET and NFET transistor channels for improved performance
KR100613451B1 (ko) 2004-12-02 2006-08-21 주식회사 하이닉스반도체 반도체 장치 및 그 제조방법
US7348635B2 (en) * 2004-12-10 2008-03-25 International Business Machines Corporation Device having enhanced stress state and related methods
US7306983B2 (en) * 2004-12-10 2007-12-11 International Business Machines Corporation Method for forming dual etch stop liner and protective layer in a semiconductor device
US7262087B2 (en) 2004-12-14 2007-08-28 International Business Machines Corporation Dual stressed SOI substrates
US7195969B2 (en) * 2004-12-31 2007-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Strained channel CMOS device with fully silicided gate electrode
KR100702006B1 (ko) 2005-01-03 2007-03-30 삼성전자주식회사 개선된 캐리어 이동도를 갖는 반도체 소자의 제조방법
US7271442B2 (en) * 2005-01-12 2007-09-18 International Business Machines Corporation Transistor structure having stressed regions of opposite types underlying channel and source/drain regions
US7193279B2 (en) 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7432553B2 (en) * 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs
JP4453572B2 (ja) * 2005-02-22 2010-04-21 ソニー株式会社 半導体集積回路の製造方法
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
JP4361886B2 (ja) 2005-02-24 2009-11-11 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置およびその製造方法
KR100703967B1 (ko) 2005-02-28 2007-04-05 삼성전자주식회사 씨모스 트랜지스터 및 그 제조 방법
JP2006253317A (ja) * 2005-03-09 2006-09-21 Fujitsu Ltd 半導体集積回路装置およびpチャネルMOSトランジスタ
US7282402B2 (en) * 2005-03-30 2007-10-16 Freescale Semiconductor, Inc. Method of making a dual strained channel semiconductor device
US7396724B2 (en) * 2005-03-31 2008-07-08 International Business Machines Corporation Dual-hybrid liner formation without exposing silicide layer to photoresist stripping chemicals
US7585704B2 (en) * 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7238990B2 (en) 2005-04-06 2007-07-03 Freescale Semiconductor, Inc. Interlayer dielectric under stress for an integrated circuit
CN100392830C (zh) * 2005-04-08 2008-06-04 联华电子股份有限公司 制作金属氧化物半导体晶体管的方法
US20060228843A1 (en) * 2005-04-12 2006-10-12 Alex Liu Method of fabricating semiconductor devices and method of adjusting lattice distance in device channel
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
FR2884968B1 (fr) * 2005-04-20 2007-09-21 St Microelectronics Sa Circuit electronique integre a etat electrique stabilise
DE102005020133B4 (de) * 2005-04-29 2012-03-29 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Transistorelements mit Technik zur Herstellung einer Kontaktisolationsschicht mit verbesserter Spannungsübertragungseffizienz
US7276755B2 (en) * 2005-05-02 2007-10-02 Advanced Micro Devices, Inc. Integrated circuit and method of manufacture
US7445978B2 (en) * 2005-05-04 2008-11-04 Chartered Semiconductor Manufacturing, Ltd Method to remove spacer after salicidation to enhance contact etch stop liner stress on MOS
JP2006324278A (ja) * 2005-05-17 2006-11-30 Sony Corp 半導体装置およびその製造方法
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
JP2006339398A (ja) * 2005-06-02 2006-12-14 Sony Corp 半導体装置の製造方法
JP4701850B2 (ja) * 2005-06-14 2011-06-15 ソニー株式会社 半導体装置およびその製造方法
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US8105908B2 (en) * 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
JP2007005627A (ja) * 2005-06-24 2007-01-11 Sony Corp 半導体装置の製造方法
WO2007005136A1 (en) * 2005-06-30 2007-01-11 Advanced Micro Devices, Inc. Technique for forming contact insulation layers silicide regions with different characteristics
DE102005030583B4 (de) * 2005-06-30 2010-09-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Kontaktisolationsschichten und Silizidgebieten mit unterschiedlichen Eigenschaften eines Halbleiterbauelements und Halbleiterbauelement
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
GB2442174B (en) * 2005-06-30 2008-11-12 Advanced Micro Devices Inc Technique for forming contact insulation layers and silicide regions with different characteristics
US7060549B1 (en) * 2005-07-01 2006-06-13 Advanced Micro Devices, Inc. SRAM devices utilizing tensile-stressed strain films and methods for fabricating the same
CN1901194A (zh) * 2005-07-20 2007-01-24 松下电器产业株式会社 半导体装置及其制造方法
JP4486056B2 (ja) * 2005-07-20 2010-06-23 パナソニック株式会社 半導体装置およびその製造方法
US7244644B2 (en) * 2005-07-21 2007-07-17 International Business Machines Corporation Undercut and residual spacer prevention for dual stressed layers
US7589385B2 (en) * 2005-07-26 2009-09-15 United Microelectronics Corp. Semiconductor CMOS transistors and method of manufacturing the same
CN100407424C (zh) * 2005-08-04 2008-07-30 联华电子股份有限公司 互补式金属氧化物半导体晶体管元件及其制作方法
JP2007049092A (ja) * 2005-08-12 2007-02-22 Toshiba Corp Mos型半導体装置
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
JP4703324B2 (ja) * 2005-08-30 2011-06-15 株式会社東芝 半導体装置
DE102005041225B3 (de) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
JP4940682B2 (ja) * 2005-09-09 2012-05-30 富士通セミコンダクター株式会社 電界効果トランジスタおよびその製造方法
US7400031B2 (en) * 2005-09-19 2008-07-15 International Business Machines Corporation Asymmetrically stressed CMOS FinFET
JP4546371B2 (ja) * 2005-09-20 2010-09-15 パナソニック株式会社 半導体装置およびその製造方法
JP4618068B2 (ja) * 2005-09-21 2011-01-26 ソニー株式会社 半導体装置
US20090045466A1 (en) * 2005-09-21 2009-02-19 Nec Corporation Semiconductor device
JP4930375B2 (ja) * 2005-09-28 2012-05-16 富士通株式会社 半導体装置及びその製造方法
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
DE102005046974B3 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Formung in unterschiedlichen Substratgebieten durch bilden einer Schicht mit verschieden modifizierter innerer Spannung und mit dem Verfahren hergestelltes Bauteil
US7772635B2 (en) * 2005-10-27 2010-08-10 Micron Technology, Inc. Non-volatile memory device with tensile strained silicon layer
US7615432B2 (en) * 2005-11-02 2009-11-10 Samsung Electronics Co., Ltd. HDP/PECVD methods of fabricating stress nitride structures for field effect transistors
US7541234B2 (en) 2005-11-03 2009-06-02 Samsung Electronics Co., Ltd. Methods of fabricating integrated circuit transistors by simultaneously removing a photoresist layer and a carbon-containing layer on different active areas
US7655511B2 (en) * 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7867867B2 (en) * 2005-11-07 2011-01-11 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
US7420202B2 (en) * 2005-11-08 2008-09-02 Freescale Semiconductor, Inc. Electronic device including a transistor structure having an active region adjacent to a stressor layer and a process for forming the electronic device
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
JP2007134577A (ja) * 2005-11-11 2007-05-31 Toshiba Corp 半導体装置
US7550356B2 (en) * 2005-11-14 2009-06-23 United Microelectronics Corp. Method of fabricating strained-silicon transistors
US20070108529A1 (en) 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained gate electrodes in semiconductor devices
US7709317B2 (en) * 2005-11-14 2010-05-04 International Business Machines Corporation Method to increase strain enhancement with spacerless FET and dual liner process
JP2007157924A (ja) * 2005-12-02 2007-06-21 Fujitsu Ltd 半導体装置および半導体装置の製造方法
JP4765598B2 (ja) * 2005-12-08 2011-09-07 ソニー株式会社 半導体装置の製造方法
US7511360B2 (en) * 2005-12-14 2009-03-31 Freescale Semiconductor, Inc. Semiconductor device having stressors and method for forming
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US8729635B2 (en) * 2006-01-18 2014-05-20 Macronix International Co., Ltd. Semiconductor device having a high stress material layer
JP2007200961A (ja) * 2006-01-24 2007-08-09 Sharp Corp 半導体装置およびその製造方法
JP4760414B2 (ja) * 2006-02-06 2011-08-31 ソニー株式会社 半導体装置の製造方法
JP5092754B2 (ja) 2006-02-08 2012-12-05 富士通セミコンダクター株式会社 pチャネルMOSトランジスタおよび半導体装置
KR100714479B1 (ko) * 2006-02-13 2007-05-04 삼성전자주식회사 반도체 집적 회로 장치 및 그 제조 방법
CN100466207C (zh) * 2006-02-28 2009-03-04 联华电子股份有限公司 半导体晶体管元件及其制作方法
JP5262711B2 (ja) * 2006-03-29 2013-08-14 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7485517B2 (en) 2006-04-07 2009-02-03 United Microelectronics Corp. Fabricating method of semiconductor device
US7528029B2 (en) 2006-04-21 2009-05-05 Freescale Semiconductor, Inc. Stressor integration and method thereof
CN101060099B (zh) * 2006-04-21 2010-05-12 联华电子股份有限公司 半导体器件及其制造方法
US7361539B2 (en) * 2006-05-16 2008-04-22 International Business Machines Corporation Dual stress liner
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
US7504336B2 (en) * 2006-05-19 2009-03-17 International Business Machines Corporation Methods for forming CMOS devices with intrinsically stressed metal silicide layers
KR100703986B1 (ko) * 2006-05-22 2007-04-09 삼성전자주식회사 동작 특성과 플리커 노이즈 특성이 향상된 아날로그트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
US7374992B2 (en) * 2006-05-31 2008-05-20 Oimonda Ag Manufacturing method for an integrated semiconductor structure
KR100799887B1 (ko) * 2006-06-02 2008-01-31 인터내셔널 비지네스 머신즈 코포레이션 Pfet에서 붕소 확산도를 감소시키는 방법 및 장치
US20070281405A1 (en) * 2006-06-02 2007-12-06 International Business Machines Corporation Methods of stressing transistor channel with replaced gate and related structures
US20070278541A1 (en) * 2006-06-05 2007-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer engineering on CMOS devices
US7598540B2 (en) * 2006-06-13 2009-10-06 International Business Machines Corporation High performance CMOS devices comprising gapped dual stressors with dielectric gap fillers, and methods of fabricating the same
US7670928B2 (en) 2006-06-14 2010-03-02 Intel Corporation Ultra-thin oxide bonding for S1 to S1 dual orientation bonding
JP2008004577A (ja) * 2006-06-20 2008-01-10 Sony Corp 半導体装置
US20070296027A1 (en) * 2006-06-21 2007-12-27 International Business Machines Corporation Cmos devices comprising a continuous stressor layer with regions of opposite stresses, and methods of fabricating the same
JP5400378B2 (ja) 2006-06-30 2014-01-29 富士通セミコンダクター株式会社 半導体装置と半導体装置の製造方法
US7585720B2 (en) * 2006-07-05 2009-09-08 Toshiba America Electronic Components, Inc. Dual stress liner device and method
JP5190189B2 (ja) * 2006-08-09 2013-04-24 パナソニック株式会社 半導体装置及びその製造方法
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
KR100773352B1 (ko) * 2006-09-25 2007-11-05 삼성전자주식회사 스트레스 인가 모스 트랜지스터를 갖는 반도체소자의제조방법 및 그에 의해 제조된 반도체소자
KR100772901B1 (ko) * 2006-09-28 2007-11-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
JP5282570B2 (ja) * 2006-09-29 2013-09-04 富士通セミコンダクター株式会社 半導体装置及びその製造方法
KR100827443B1 (ko) * 2006-10-11 2008-05-06 삼성전자주식회사 손상되지 않은 액티브 영역을 가진 반도체 소자 및 그 제조방법
JP2008103607A (ja) * 2006-10-20 2008-05-01 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7388267B1 (en) 2006-12-19 2008-06-17 International Business Machines Corporation Selective stress engineering for SRAM stability improvement
US7538339B2 (en) * 2006-12-22 2009-05-26 International Business Machines Corporation Scalable strained FET device and method of fabricating the same
US7521308B2 (en) * 2006-12-26 2009-04-21 International Business Machines Corporation Dual layer stress liner for MOSFETS
US7888197B2 (en) * 2007-01-11 2011-02-15 International Business Machines Corporation Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
US20080179638A1 (en) * 2007-01-31 2008-07-31 International Business Machines Corporation Gap fill for underlapped dual stress liners
JP2008192686A (ja) * 2007-02-01 2008-08-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
DE102007009901B4 (de) * 2007-02-28 2011-07-07 Globalfoundries Inc. Technik zum Strukturieren unterschiedlich verspannter Schichten, die über Transistoren ausgebildet sind, durch verbesserte Ätzsteuerungsstrategien
US7935588B2 (en) * 2007-03-06 2011-05-03 International Business Machines Corporation Enhanced transistor performance by non-conformal stressed layers
US20080246061A1 (en) * 2007-04-03 2008-10-09 United Microelectronics Corp. Stress layer structure
CN101330053B (zh) * 2007-06-18 2010-04-21 中芯国际集成电路制造(上海)有限公司 互补金属氧化物半导体器件应力层的形成方法
US20080315317A1 (en) * 2007-06-22 2008-12-25 Chartered Semiconductor Manufacturing Ltd. Semiconductor system having complementary strained channels
US20090014807A1 (en) * 2007-07-13 2009-01-15 Chartered Semiconductor Manufacturing, Ltd. Dual stress liners for integrated circuits
JP4994139B2 (ja) * 2007-07-18 2012-08-08 パナソニック株式会社 半導体装置及びその製造方法
JP2009027008A (ja) * 2007-07-20 2009-02-05 Panasonic Corp 半導体装置およびその製造方法
US7880243B2 (en) * 2007-08-07 2011-02-01 International Business Machines Corporation Simple low power circuit structure with metal gate and high-k dielectric
US7723798B2 (en) * 2007-08-07 2010-05-25 International Business Machines Corporation Low power circuit structure with metal gate and high-k dielectric
US20090039436A1 (en) * 2007-08-07 2009-02-12 Doris Bruce B High Performance Metal Gate CMOS with High-K Gate Dielectric
KR20090025756A (ko) * 2007-09-07 2009-03-11 주식회사 동부하이텍 모스 트랜지스터 및 그 제조 방법
US7932542B2 (en) * 2007-09-24 2011-04-26 Infineon Technologies Ag Method of fabricating an integrated circuit with stress enhancement
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
JP2009088421A (ja) * 2007-10-03 2009-04-23 Renesas Technology Corp 半導体装置の製造方法
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
DE102007063272B4 (de) * 2007-12-31 2012-08-30 Globalfoundries Inc. Dielektrisches Zwischenschichtmaterial in einem Halbleiterbauelement mit verspannten Schichten mit einem Zwischenpuffermaterial
US7727834B2 (en) * 2008-02-14 2010-06-01 Toshiba America Electronic Components, Inc. Contact configuration and method in dual-stress liner semiconductor device
JP2009200155A (ja) 2008-02-20 2009-09-03 Nec Electronics Corp 半導体装置及びその製造方法
DE102008011928B4 (de) * 2008-02-29 2010-06-02 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Herstellen eines Halbleiterbauelements unter Verwendung einer Ätzstoppschicht mit geringerer Dicke zum Strukturieren eines dielektrischen Materials
DE102008011814B4 (de) * 2008-02-29 2012-04-26 Advanced Micro Devices, Inc. CMOS-Bauelement mit vergrabener isolierender Schicht und verformten Kanalgebieten sowie Verfahren zum Herstellen derselben
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
DE102008016438B4 (de) * 2008-03-31 2011-03-03 Advanced Micro Devices, Inc., Sunnyvale Doppelabscheidung einer verspannungsinduzierenden Schicht mit dazwischenliegender Verspannungsrelaxation
US7820518B2 (en) * 2008-05-29 2010-10-26 Infineon Technologies Ag Transistor fabrication methods and structures thereof
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
JP4744576B2 (ja) * 2008-09-10 2011-08-10 パナソニック株式会社 半導体装置の製造方法
US7808051B2 (en) * 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
JP2010141281A (ja) * 2008-11-11 2010-06-24 Renesas Technology Corp 半導体装置およびその製造方法
WO2010082328A1 (ja) 2009-01-15 2010-07-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2010183022A (ja) 2009-02-09 2010-08-19 Renesas Electronics Corp 半導体装置およびその製造方法
JP2010212388A (ja) 2009-03-10 2010-09-24 Renesas Electronics Corp 半導体装置およびその製造方法
US8236709B2 (en) * 2009-07-29 2012-08-07 International Business Machines Corporation Method of fabricating a device using low temperature anneal processes, a device and design structure
JP5420345B2 (ja) * 2009-08-14 2014-02-19 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20110042728A1 (en) * 2009-08-18 2011-02-24 International Business Machines Corporation Semiconductor device with enhanced stress by gates stress liner
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
KR101673018B1 (ko) * 2010-04-20 2016-11-07 삼성전자 주식회사 반도체 소자, 반도체 메모리 장치 및 이들의 제조 방법
JP5569173B2 (ja) 2010-06-18 2014-08-13 ソニー株式会社 半導体装置の製造方法及び半導体装置
US8445965B2 (en) * 2010-11-05 2013-05-21 International Business Machines Corporation Strained semiconductor devices and methods of fabricating strained semiconductor devices
JP5166507B2 (ja) * 2010-12-13 2013-03-21 株式会社東芝 半導体装置
CN102683281B (zh) * 2011-03-07 2015-07-08 中国科学院微电子研究所 一种半导体结构及其制造方法
JP5693380B2 (ja) 2011-05-30 2015-04-01 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR101817131B1 (ko) * 2012-03-19 2018-01-11 에스케이하이닉스 주식회사 게이트절연층 형성 방법 및 반도체장치 제조 방법
CN103325787B (zh) * 2012-03-21 2017-05-03 中国科学院微电子研究所 Cmos器件及其制造方法
JP5712984B2 (ja) * 2012-08-27 2015-05-07 ソニー株式会社 半導体装置
JP5712985B2 (ja) * 2012-08-27 2015-05-07 ソニー株式会社 半導体装置
CN103730416A (zh) * 2012-10-10 2014-04-16 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US8765590B2 (en) 2012-10-31 2014-07-01 International Business Machines Corporation Insulative cap for borderless self-aligning contact in semiconductor device
JP2013077828A (ja) * 2012-12-05 2013-04-25 Renesas Electronics Corp 半導体装置の製造方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10515905B1 (en) 2018-06-18 2019-12-24 Raytheon Company Semiconductor device with anti-deflection layers
DE102018121897A1 (de) * 2018-09-07 2020-03-12 Infineon Technologies Ag Halbleitervorrichtung mit einem silizium und stickstoff enthaltenden bereich und herstellungsverfahren
US10957798B2 (en) 2019-02-06 2021-03-23 International Business Machines Corporation Nanosheet transistors with transverse strained channel regions
JP6795123B1 (ja) * 2019-10-23 2020-12-02 三菱電機株式会社 半導体ウエハおよびその製造方法

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05326445A (ja) * 1992-05-20 1993-12-10 Matsushita Electron Corp 半導体装置の製造方法
JPH07135208A (ja) * 1993-11-10 1995-05-23 Sony Corp 絶縁膜の形成方法
JPH08153718A (ja) * 1994-09-30 1996-06-11 Nippondenso Co Ltd 窒化シリコン膜を有する半導体装置及びその製造方法
JPH08213481A (ja) * 1994-11-08 1996-08-20 Hyundai Electron Ind Co Ltd Cmosデバイスのゲート電極の形成方法
JPH09326487A (ja) * 1996-06-04 1997-12-16 Hitachi Ltd 半導体装置の製造方法及び半導体装置
JPH104145A (ja) * 1996-06-18 1998-01-06 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH11135727A (ja) * 1997-10-31 1999-05-21 Sony Corp 半導体装置およびその製造方法
JPH11145464A (ja) * 1997-11-12 1999-05-28 Nec Corp 半導体装置及びその製造方法
JPH11340337A (ja) * 1998-05-27 1999-12-10 Sony Corp 半導体装置及び半導体装置の製造方法
JP2000036567A (ja) * 1998-06-30 2000-02-02 Hyundai Electronics Ind Co Ltd Cmos素子の製造方法
JP2000036605A (ja) * 1998-06-29 2000-02-02 Hyundai Electronics Ind Co Ltd 電子及び正孔の移動度を向上させることができるcmos素子の製造方法
JP2000183182A (ja) * 1998-12-14 2000-06-30 Nec Corp 半導体装置及びその製造方法
JP2000216377A (ja) * 1999-01-20 2000-08-04 Nec Corp 半導体装置の製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5234850A (en) * 1990-09-04 1993-08-10 Industrial Technology Research Institute Method of fabricating a nitride capped MOSFET for integrated circuits
JPH04241453A (ja) * 1991-01-16 1992-08-28 Fujitsu Ltd 半導体装置及びその製造方法
JPH06232170A (ja) 1993-01-29 1994-08-19 Mitsubishi Electric Corp 電界効果トランジスタ及びその製造方法
US5633202A (en) * 1994-09-30 1997-05-27 Intel Corporation High tensile nitride layer
US6048494A (en) * 1998-01-30 2000-04-11 Vlsi Technology, Inc. Autoclave with improved heating and access
JP3425079B2 (ja) * 1998-04-24 2003-07-07 三菱電機株式会社 半導体装置の製造方法
FR2781380B1 (fr) 1998-07-27 2000-09-15 Braun Celsa Sa Bague pour lier un tube souple deformable et une tige resistante a l'ecrasement, et ensemble medical muni d'une telle bague
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6876053B1 (en) * 1999-08-13 2005-04-05 Intel Corporation Isolation structure configurations for modifying stresses in semiconductor devices
JP2001244468A (ja) * 2000-03-02 2001-09-07 Sony Corp 半導体装置およびその製造方法
JP2001332723A (ja) * 2000-05-19 2001-11-30 Nec Corp 半導体装置の製造方法
JP2002016337A (ja) * 2000-06-29 2002-01-18 Sony Corp プリント基板の配線構造チェックシステム
JP2003086708A (ja) 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US7759142B1 (en) * 2008-12-31 2010-07-20 Intel Corporation Quantum well MOSFET channels having uni-axial strain caused by metal source/drains, and conformal regrowth source/drains
US8759232B2 (en) * 2012-08-17 2014-06-24 Globalfoundries Inc. Compressive stress transfer in an interlayer dielectric of a semiconductor device by providing a bi-layer of superior adhesion and internal stress

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05326445A (ja) * 1992-05-20 1993-12-10 Matsushita Electron Corp 半導体装置の製造方法
JPH07135208A (ja) * 1993-11-10 1995-05-23 Sony Corp 絶縁膜の形成方法
JPH08153718A (ja) * 1994-09-30 1996-06-11 Nippondenso Co Ltd 窒化シリコン膜を有する半導体装置及びその製造方法
JPH08213481A (ja) * 1994-11-08 1996-08-20 Hyundai Electron Ind Co Ltd Cmosデバイスのゲート電極の形成方法
JPH09326487A (ja) * 1996-06-04 1997-12-16 Hitachi Ltd 半導体装置の製造方法及び半導体装置
JPH104145A (ja) * 1996-06-18 1998-01-06 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH11135727A (ja) * 1997-10-31 1999-05-21 Sony Corp 半導体装置およびその製造方法
JPH11145464A (ja) * 1997-11-12 1999-05-28 Nec Corp 半導体装置及びその製造方法
JPH11340337A (ja) * 1998-05-27 1999-12-10 Sony Corp 半導体装置及び半導体装置の製造方法
JP2000036605A (ja) * 1998-06-29 2000-02-02 Hyundai Electronics Ind Co Ltd 電子及び正孔の移動度を向上させることができるcmos素子の製造方法
JP2000036567A (ja) * 1998-06-30 2000-02-02 Hyundai Electronics Ind Co Ltd Cmos素子の製造方法
JP2000183182A (ja) * 1998-12-14 2000-06-30 Nec Corp 半導体装置及びその製造方法
JP2000216377A (ja) * 1999-01-20 2000-08-04 Nec Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
WO2002043151A1 (en) 2002-05-30
KR100767950B1 (ko) 2007-10-18
US8963250B2 (en) 2015-02-24
AU2001267880A1 (en) 2002-06-03
JP2008294457A (ja) 2008-12-04
US20090039427A1 (en) 2009-02-12
CN101465295A (zh) 2009-06-24
CN1449585A (zh) 2003-10-15
US20070023843A1 (en) 2007-02-01
US20040029323A1 (en) 2004-02-12
JP5311521B2 (ja) 2013-10-09
US7411253B2 (en) 2008-08-12
JP4932795B2 (ja) 2012-05-16
KR20070087135A (ko) 2007-08-27
US20070102768A1 (en) 2007-05-10
US20200185523A1 (en) 2020-06-11
US20150132904A1 (en) 2015-05-14
TW536726B (en) 2003-06-11
US20080303091A1 (en) 2008-12-11
US9412669B2 (en) 2016-08-09
JP4597479B2 (ja) 2010-12-15
US20180269323A1 (en) 2018-09-20
KR20030082538A (ko) 2003-10-22
US7414293B2 (en) 2008-08-19
KR100784603B1 (ko) 2007-12-11
MY135557A (en) 2008-05-30
US9978869B2 (en) 2018-05-22
US7705402B2 (en) 2010-04-27
US7115954B2 (en) 2006-10-03
JP2012124507A (ja) 2012-06-28
US20160351713A1 (en) 2016-12-01
JPWO2002043151A1 (ja) 2004-04-02
JP4949329B2 (ja) 2012-06-06

Similar Documents

Publication Publication Date Title
JP4932795B2 (ja) 半導体装置及びその製造方法
JP4173672B2 (ja) 半導体装置及びその製造方法
TWI413216B (zh) 用於製造受應力之mos裝置之方法
JP5204645B2 (ja) 強化した応力伝送効率でコンタクト絶縁層を形成する技術
US8159030B2 (en) Strained MOS device and methods for its fabrication
JP2009503892A (ja) 応力がかけられたmosデバイスの製造方法
JP2003060076A (ja) 半導体装置及びその製造方法
JP2007300090A (ja) 自己整合されたデュアル応力層を用いるcmos構造体及び方法
JP2012507162A (ja) トランジスタにおいて進歩したシリサイド形成と組み合わされる凹型のドレイン及びソース区域
US7608501B2 (en) Technique for creating different mechanical strain by forming a contact etch stop layer stack having differently modified intrinsic stress
JP4859884B2 (ja) 半導体装置及びその製造方法
JP2007150238A (ja) 半導体装置及びその製造方法
JP2005276989A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100511

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120126

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120214

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120215

R150 Certificate of patent or registration of utility model

Ref document number: 4932795

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150224

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term