JPH11145464A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法

Info

Publication number
JPH11145464A
JPH11145464A JP9310662A JP31066297A JPH11145464A JP H11145464 A JPH11145464 A JP H11145464A JP 9310662 A JP9310662 A JP 9310662A JP 31066297 A JP31066297 A JP 31066297A JP H11145464 A JPH11145464 A JP H11145464A
Authority
JP
Japan
Prior art keywords
insulating film
film
gate electrode
thickness
protective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9310662A
Other languages
English (en)
Other versions
JP3050193B2 (ja
Inventor
Noriaki Oda
典明 小田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP9310662A priority Critical patent/JP3050193B2/ja
Priority to KR1019980048259A priority patent/KR19990045203A/ko
Priority to CN98124734A priority patent/CN1217581A/zh
Priority to US09/190,826 priority patent/US6091121A/en
Publication of JPH11145464A publication Critical patent/JPH11145464A/ja
Priority to US09/527,212 priority patent/US6503826B1/en
Application granted granted Critical
Publication of JP3050193B2 publication Critical patent/JP3050193B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/90MOSFET type gate sidewall insulating spacer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】従来、LDD構造のMOSFET半導体装置で
は、ゲート酸化膜及びゲート電極を上層膜の水分から保
護するため、その上に保護酸化膜、保護窒化膜の積層構
造を形成していた。この構造では、水分の侵入は防止で
きても保護窒化膜の引張り応力により、ゲート酸化膜及
びゲート酸化膜と半導体基板との界面に電子及び正孔の
トラップ準位が形成され、トランジスタのしきい値電圧
を不安定にしていた。 【解決手段】積層構造の保護絶縁膜として、引張り応力
を有する熱CVD法による保護窒化膜12の上に圧縮応
力を有するプラズマCVD法による保護酸化膜13或い
は保護窒化膜18を形成することにより、トラップ準位
の減少と水分の侵入の阻止を同時に達成できる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明はMOS型半導体装置
及びその製造方法に関し、特にLDD(Lightly
Doped Drainの略称)構造を有する多層配線
のMOS型半導体装置及びその製造方法に関する。
【0002】
【従来の技術】MOS型半導体集積回路装置はDRAM
の大容量化を始めとしてシステムオンシリコン等、トラ
ンジスタセルサイズの微細化及び多層配線化が絶え間な
く進行している。然るに、微細化、多層配線化が進む反
面、トランジスタを含む素子の信頼性の確保が益々困難
となってきている。特に、多層配線のLDD構造MOS
FETのしきい値電圧は、多層配線構造が故に、トラン
ジスタのゲート電極の上方に何重にも積層された層間膜
からの水分の侵入により大きな影響を受ける。
【0003】このような層間膜からの水分の侵入を防ぐ
方法として、図4(c)に示すようなLDD構造のMO
SFET半導体装置がある。この製造方法を図4(a)
〜(c)を参照して説明する。
【0004】まず、半導体基板1にLOCOS(Loc
al Oxidation of Siliconの略称
で、以下LOCOSと称す)技術を用いて、素子分離用
のフィールド酸化膜2を形成し、フィールド酸化膜2以
外の半導体基板1に約8nmの膜厚を有するゲート酸化
膜3を熱酸化により形成し、ゲート酸化膜3を通して素
子領域となる半導体基板1内に、トランジスタのしきい
値電圧調整用のホウ素イオン(BF2 +)を、例えば、エ
ネルギー35KeV、ドーズ量4×1012/cm2の条
件でイオン注入し、更に、ゲート酸化膜3の上に化学気
相成長(Chemical Vapor Deposit
ion:略してCVDと称す)法により約300nmの
膜厚を有するポリシリコンを成長させ、PH3等の雰囲
気中で熱処理してポリシリコンにリンをドープする。続
いて、フォトリソグラフィー技術を用いて、リンがドー
プされたポリシリコンを選択的に除去してゲート電極4
を形成し、ゲート電極4をマスクとして、例えば、リン
をエネルギー20KeV、ドーズ量7×1013/cm2
の条件でイオン注入することにより、ソース及びドレイ
ンとして形成されるN-拡散層5を得る。次に、ゲート
電極4を含む半導体基板1全面にCVD法により約15
0nmの膜厚を有するCVD酸化膜6成長させると、図
4(a)に示す断面図となる。
【0005】図4(b)は、図4(a)の後、異方性エ
ッチングによりゲート電極4を含む半導体基板1全面に
成長したCVD酸化膜6をゲート電極4の側壁のみに残
して側壁酸化膜7を形成し、側壁酸化膜7を有するゲー
ト電極4をマスクとして、例えば、砒素をエネルギー7
0KeV、ドーズ量3×1015/cm2の条件でイオン
注入し、更に、900℃、10分間の熱処理をしてイオ
ンを活性化させることにより、ソース取出し電極及びド
レイン取出し電極としてのN+拡散層8を形成した様子
を示している。これで、LDD構造MOSFETの主要
部分が完成する。
【0006】続いて、このLDD構造MOSFETを、
その上層に形成される種々の材料の汚染から保護するた
めに、図4(c)のように、ゲート電極4を含む半導体
基板1全面に、SiH4、O2を原料ガスとし、約400
℃の温度で常圧CVD法により約100nmの膜厚を有
する第1の保護酸化膜9成長させ、更に、SiH2
2、NH3を原料ガスとして、約700℃の温度、気圧
約1Torrの条件下での熱CVD法により10乃至2
0nmの膜厚を有する第1の保護窒化膜10を成長させ
る。
【0007】この後は、LDD構造MOSFETを含む
素子の接続の為に、第1の層間BPSG膜11に、上述
したソース取出し電極及びドレイン取出し電極としての
+拡散層8等と接続するための第1のスルーホール、
第1のスルーホールに充填され、第1の層間BPSG膜
11上にパターニングされて第1層目の金属配線用とし
て形成される、種々の金属物質から成る第1の金属配
線、更に第1の金属配線の上層に設けられる第2の金属
配線の為の第2の層間膜及び第2のスルーホール(いず
れも図示せず)、が繰り返されることにより、多層配線
構造が完成する。
【0008】
【発明が解決しようとする課題】上述のような従来のL
DD構造のMOSFET半導体装置においては、ゲート
電極を、水分の侵入を遮断する性質を有する第1の保護
窒化膜10で覆うことで、しきい値電圧の水分の侵入に
よる変動を防止している。しかし、第1の保護窒化膜1
0で水分の侵入の問題は解決できたとしても、別の問題
が新たに生じる。即ち、ゲート電極4を覆う10乃至2
0nmの厚さの第1の保護窒化膜10は、約1×1010
dynes/cm3の引っ張り応力を有するため、ドレ
イン近傍を含むゲート酸化膜3中や、ゲート酸化膜3と
半導体基板1との界面に、電子や正孔のトラップ準位が
形成されやすく、ドレイン近傍の電界にて加速されたホ
ットキャリアがトラップ準位に捕獲されて、しきい値電
圧を変動させるという現象が生じる。
【0009】本発明の目的は、LDD構造のMOSFE
Tにおいて、上方に積層された層間BPSG膜等の層間
絶縁膜からの水分の侵入を防止できると共に、ゲート酸
化膜中やゲート酸化膜と半導体基板との界面にできる電
子や正孔のトラップ準位の密度を極小化することによ
り、安定した、信頼性の良いしきい値電圧を有する半導
体装置及びその製造方法を提供することにある。
【0010】
【課題を解決するための手段】本発明の半導体装置は、
一導電型半導体基板に素子領域を分離する為に形成され
た第1絶縁膜と、前記第1絶縁膜を含む前記一導電型半
導体基板上に形成されたゲート絶縁膜と、前記素子領域
内にあって前記ゲート絶縁膜上に形成されたゲート電極
と、前記ゲート電極をマスクとして自己整合的に形成さ
れた反対導電型のソース及びドレイン領域と、前記ゲー
ト電極の側壁に形成された側壁絶縁膜と、前記ゲート電
極及び前記側壁絶縁膜をマスクとして自己整合的に形成
された反対導電型のソース及びドレイン取出し領域と、
前記ゲート電極及び前記側壁絶縁膜を含む前記一導電型
半導体基板全面に少なくとも一層以上の絶縁膜から構成
される保護絶縁膜と、前記保護絶縁膜を含む前記一導電
型半導体基板全面に、その上層に形成されるべき金属配
線と前記ゲート電極を絶縁するために形成された層間絶
縁膜と、から成る半導体装置において、前記保護絶縁膜
が少なくとも前記層間絶縁を含む上層の層間絶縁膜から
の水分を遮断し、かつ前記保護絶縁膜が有する応力を極
小化するものであることを特徴とする。
【0011】又、本発明の半導体装置の製造方法は、一
導電型半導体基板に素子領域を分離する為に第1絶縁膜
を形成する工程と、前記第1絶縁膜を含む前記一導電型
半導体基板上にゲート絶縁膜を形成する工程と、前記素
子領域内にあって前記ゲート絶縁膜上にゲート電極を形
成する工程と、前記ゲート電極をマスクとして自己整合
的に反対導電型のソース及びドレイン領域を形成する工
程と、前記ゲート電極の側壁に側壁絶縁膜を形成する工
程と、前記ゲート電極及び前記側壁絶縁膜をマスクとし
て自己整合的に反対導電型のソース及びドレイン取出し
領域を形成する工程と、前記ゲート電極及び前記側壁絶
縁膜を含む前記一導電型半導体基板全面に少なくとも一
層以上の絶縁膜から構成される保護絶縁膜を形成する工
程と、前記保護絶縁膜を含む前記一導電型半導体基板全
面に、その上層の金属配線と前記ゲート電極を絶縁する
ために層間絶縁膜を形成する工程と、から成る半導体装
置の製造方法において、前記保護絶縁膜を形成する工程
が、少なくとも前記層間絶縁を含む上層の層間絶縁膜か
らの水分を遮断し、かつ前記保護絶縁膜が有する応力を
極小化する工程であることを特徴とする。
【0012】
【発明の実施の形態】本発明の実施形態を図面を用いて
説明する。本発明の第1の実施形態は、従来の半導体装
置の製造方法で示した、図4(a)、(b)の、側壁酸
化膜7の形成までは同一であるので、この工程に至る過
程の説明は省略して、その後の工程について説明する。
【0013】図4(b)のように、ゲート電極に側壁酸
化膜7を形成してLDD構造MOSFETの主要部分を
完成させた後、このLDD構造MOSFETを、その上
層に形成される種々の材料の汚染から保護するために、
図1(a)のように、ゲート電極4を含む半導体基板1
全面に、SiH2Cl2、NH3を原料ガスとし、約70
0℃の温度で気圧約1Torrの条件下での熱CVD法
により10乃至20nmの膜厚を有する第2の保護窒化
膜12を成長させる。次いで、第2の保護窒化膜12を
含む半導体基板1全面に、SiH4、O2を原料ガスと
し、気圧約5Torr、RFパワー100W、RF周波
数13.56MHzの条件下でのプラズマCVD法によ
り約50nmの膜厚を有する第2の保護酸化膜13を成
長させる。続いて、O3、TEOS(テトラエキシシラ
ンの略称)原料ガスとして、約400℃での常圧CVD
法により約1000nmの膜厚を有するBPSG膜を成
長させ、ゲート電極4等でできた段差をなくすために、
無機シリカを用いたエッチバック技術により平坦化され
た約800nmの膜厚を有する第1の層間BPSG膜1
1が形成される。
【0014】この後は、従来の半導体装置の製造方法と
同様にして、LDD構造MOSFETを含む素子の接続
の為に、第1の層間BPSG膜11に、上述したソース
取出し電極及びドレイン取出し電極としてのN+拡散層
8等と接続するための第1のスルーホール、第1のスル
ーホールに充填され、第1の層間BPSG膜11上にパ
ターニングされて第1層目の金属配線用として形成され
る、種々の金属物質から成る第1の金属配線、更に第1
の金属配線の上層に設けられる第2の金属配線の為の第
2の層間膜及び第2のスルーホール(いずれも図示せ
ず)、が繰り返されることにより、多層配線構造が完成
する。
【0015】このように、本発明の第1の実施形態で
は、ゲート電極4を含む半導体基板1全面に保護絶縁膜
として、第2の保護窒化膜12、第2の保護酸化膜13
が順次積層される。ここで、第2の保護窒化膜12は、
SiH2Cl2、NH3を原料ガスとし、約700℃の温
度で気圧約1Torrの条件下での熱CVD法により膜
厚10乃至20nmに成長させた場合、約1×1010
ynes/cm3の引張り応力を有するが、第2の保護
酸化膜13は、SiH4、O2を原料ガスとし、気圧約
5Torr、RFパワー100W、RF周波数13.5
6MHzの条件下で約50nmの膜厚に成長させた場
合、1.0乃至1.5×1019dynes/cm3の圧
縮応力を有し、第2の保護酸化膜13は、その圧縮応力
により第2の保護窒化膜12の有する引張り応力を緩和
する役目を果たす。更に、第2の保護酸化膜13は、R
Fパワーを変えることによりその圧縮応力を任意に変化
させることができ、第2の保護窒化膜12と第2の保護
酸化膜13とで構成される保護絶縁膜のストレスを最適
化できる。このように第2の保護窒化膜12の上に第2
の保護酸化膜13を形成することにより、第1の効果と
して、保護絶縁膜のストレスを最適化することができ、
ドレイン近傍を含むゲート酸化膜3中やゲート酸化膜3
と半導体基板1との界面における電子や正孔のトラップ
準位の密度を減少させることができる。
【0016】第2の効果として、第2の保護窒化膜12
をゲート酸化膜3及びゲート電極4の上に直接成長させ
ることにより、従来のLDD構造のMOSFET半導体
装置で考えられる第1の保護酸化膜9に含まれる水分の
トランジスタへの影響を無くすことができる。
【0017】次に、本発明の第2の実施形態の半導体装
置の製造方法を、図1(b)に断面図で示すが、本半導
体装置の製造方法も、従来の半導体装置の製造方法と、
側壁酸化膜7の形成までは同一であるので、この工程に
至る過程の説明は省略する。
【0018】図4(b)のように、ゲート電極に側壁酸
化膜7を形成してLDD構造MOSFETの主要部分を
完成させた後、このLDD構造MOSFETを、その上
層に形成される種々の材料の汚染から保護するために、
図1(b)のように、SiH4、O2を原料ガスとし、約
400℃の温度で常圧CVD法により約100nmの膜
厚を有する第3の保護酸化膜14成長させ、更に、Si
2Cl2、NH3を原料ガスとして、約700℃の温
度、気圧約1Torrの条件下での熱CVD法により1
0乃至20nmの膜厚を有する第3の保護窒化膜15を
成長させる。次いで、第3の保護窒化膜15を含む半導
体基板1全面に、SiH4、O2を原料ガスとし、気圧約
0.1Torr、RFパワー100W、RF周波数1
3.56MHzの条件下でのプラズマCVD法により約
50nmの膜厚を有する第4の保護酸化膜16を成長さ
せる。この後は、本発明の第1の実施形態と同じ工程が
続き、LDD構造MOSFETを含む多層配線構造が完
成する。
【0019】本発明の第2の実施形態においては、ゲー
ト電極4を含む半導体基板1全面に保護絶縁膜として、
第3の保護酸化膜14、第3の保護窒化膜15、第4の
保護酸化膜16が順次積層され、保護絶縁膜の構造が、
第1の実施形態の第2の保護窒化膜12の下に第3の保
護酸化膜14が追加された構成となる。この構造では、
第1の実施形態同様、第4の保護酸化膜16がその下の
第3の保護窒化膜15の引張り応力を緩和する役目を果
たすが、第3の保護窒化膜15の下の第3の保護酸化膜
14に含まれる水分のトランジスタへの影響は排除でき
ない。しかし、第3の保護酸化膜14は、第3の保護窒
化膜15が直接、ゲート酸化膜3及びゲート電極4にス
トレスを及ぼすことを回避させることができる。
【0020】次に、本発明の第3の実施形態の半導体装
置の製造方法を、図2(a)に断面図で示すが、本半導
体装置の製造方法も、従来の半導体装置の製造方法と図
4(a)、(b)の側壁酸化膜7の形成までは同一であ
るので、この工程に至る過程の説明は省略する。
【0021】図4(b)のように、ゲート電極に側壁酸
化膜7を形成してLDD構造MOSFETの主要部分を
完成させた後、このLDD構造MOSFETを、その上
層に形成される種々の材料の汚染から保護するために、
図2(a)のように、ゲート電極4を含む半導体基板1
全面に、SiH2Cl2、NH3を原料ガスとし、約70
0℃の温度で気圧約1Torrの条件下での熱CVD法
により10乃至20nmの膜厚を有する第4の保護窒化
膜17を成長させる。次いで、第4の保護窒化膜17を
含む半導体基板1全面に、SiH4、NH3、Arを原料
ガスとし、温度250乃至300℃、気圧約1Tor
r、RFパワー300W以上、RF周波数13.56M
Hzの条件下でのプラズマCVD法により30乃至10
0nmの膜厚を有する第5の保護酸化膜18を成長させ
る。この後は、本発明の第1の実施形態と同じ工程が続
き、LDD構造MOSFETを含む多層配線構造が完成
する。
【0022】本発明の第3の実施形態においては、ゲー
ト電極4を含む半導体基板1全面に保護絶縁膜として、
第4の保護窒化膜17、第5の保護窒化膜18が順次積
層される。第4の保護窒化膜17は、第1の実施形態及
び第2の実施形態と同じ条件、同じ膜厚に形成される
が、第5の保護窒化膜18は、SiH4、NH3、Arを
原料ガスとし、温度250乃至300℃、気圧約1To
rr、RFパワー300W以上、RF周波数13.56
MHzの条件下でのプラズマCVD法により30乃至1
00nmの膜厚に形成される。
【0023】ここで、第5の保護窒化膜18の応力のR
Fパワーを変化させたときの様子を、図3に示す。この
特性は、A.K.Sinhaらにより1978年のJ.
Electrochemical Society 12
5の601ページに示されたもので、SiH4、NH3
Arを原料ガスとし、温度275℃、気圧950mTo
rrの条件下でRFパワーを変化させると、RFパワー
が300W以上で膜が圧縮応力を顕著に示し始めること
がわかる。例えば、RFパワーを300Wから350W
に変化させると、圧縮応力が1.0×109dynes
/cm3から2.0×109dynes/cm3まで変化
しており、RFパワーにより圧縮応力を容易に制御でき
ることがわかる。熱CVD法により形成された第4の保
護窒化膜17は約1.0×1010dynes/cm3
引張り応力を持つため、これを例えば、半分に緩和する
ためには、第5の保護窒化膜18の膜厚を第4の保護窒
化膜17の膜厚の3乃至5倍に設定すればよく、第4の
保護窒化膜17による引張り応力を緩和することができ
る。
【0024】次に、本発明の第4の実施形態の半導体装
置の製造方法を、図2(b)に断面図で示すが、本半導
体装置の製造方法も、従来の半導体装置の製造方法と図
4(a)、(b)の側壁酸化膜7の形成までは同一であ
るので、この工程に至る過程の説明は省略する。
【0025】図4(b)のように、ゲート電極に側壁酸
化膜7を形成してLDD構造MOSFETの主要部分を
完成させた後、このLDD構造MOSFETを、その上
層に形成される種々の材料の汚染から保護するために、
図2(b)のように、ゲート電極4を含む半導体基板1
全面に、SiH4、O2を原料ガスとし、約400℃の温
度で常圧CVD法により約100nmの膜厚を有する第
5の保護酸化膜19成長させ、更に、SiH2Cl2、N
3を原料ガスとして、約700℃の温度、気圧約1T
orrの条件下での熱CVD法により10乃至20nm
の膜厚を有する第6の保護窒化膜20を成長させる。次
いで、第6の保護窒化膜20を含む半導体基板1全面
に、SiH4、NH3、Arを原料ガスとし、温度250
乃至300℃、気圧約1Torr、RFパワー300W
以上、RF周波数13.56MHzの条件下でのプラズ
マCVD法により30乃至100nmの膜厚を有する第
7の保護酸化膜21を成長させる。この後は、本発明の
第1の実施形態と同じ工程が続き、LDD構造MOSF
ETを含む多層配線構造が完成する。
【0026】本発明の第4の実施形態においては、ゲー
ト電極4を含む半導体基板1全面に保護絶縁膜として、
第5の保護酸化膜19、第6の保護窒化膜20、第7の
保護窒化膜21が順次積層され、保護絶縁膜の構造が、
第3の実施形態の第4の保護窒化膜17の下に第5の保
護酸化膜19が追加された構成となる。この構造では、
第3の実施形態同様、第7の保護窒化膜21がその下の
第6の保護窒化膜20の引張り応力を緩和する役目を果
たすが、第6の保護窒化膜20の下の第5の保護酸化膜
19に含まれる水分のトランジスタへの影響は排除でき
ない。しかし、第5の保護酸化膜19は、第7の保護窒
化膜21が直接、ゲート酸化膜3及びゲート電極4にス
トレスを及ぼすことを回避させることができる。
【0027】更に、上に述べた第1乃至第4の実施形態
において、それぞれの保護絶縁膜の内、最初の保護窒化
膜、即ち、それぞれ、第2の保護窒化膜12、第3の保
護窒化膜15、第4の保護窒化膜17、第6の保護窒化
膜20を成長させる前に、それぞれの窒化膜を成長させ
る装置内において、温度700℃以上、気気圧10-3
orr以下の条件下で熱処理を10秒間以上施すことに
より、ゲート酸化膜3、第3の酸化膜14,第5の酸化
膜19に含まれる水分を除去することができ、トランジ
スタへの酸化膜中の残留水分の影響を無くすことができ
る。特に、保護窒化膜の下に予め保護酸化膜を形成す
る、第2の実施形態及び第4の実施形態において有効で
ある。
【0028】
【発明の効果】以上述べた本発明における効果は、次の
ようなものとなる。ゲート電極を含む半導体基板1全面
に保護絶縁膜として、多層配線のために上層に設けられ
る層間絶縁膜からの水分の侵入を防止する保護窒化膜
を、ゲート電極に直接或いは保護酸化膜を介して成長さ
せる。この保護酸化膜は、保護窒化膜がゲート電極下の
ゲート酸化膜及びゲート電極下の半導体基板とゲート酸
化膜の間の界面に直接ストレスを及ぼすことを回避させ
る役目を持つ。このゲート電極上方に位置する保護窒化
膜は、このままでは大きな引張り応力を有しているが、
この保護窒化膜の上に更に、保護酸化膜或いは保護窒化
膜を成長させることにより、引張り応力が緩和され、ゲ
ート電極下のゲート酸化膜及びゲート電極下の半導体基
板とゲート酸化膜の間の界面に生じるキャリアのトラッ
プ準位密度を減らすことができる。
【0029】更に、保護絶縁膜の内、最初の保護窒化膜
を成長させる前に、その窒化膜を成長させる装置内にお
いて、温度700℃以上、気圧10-3Torr以下の条
件下で熱処理を10秒間以上施すことにより、ゲート酸
化膜、保護窒化膜下の酸化膜に含まれる水分を除去する
ことができ、トランジスタへの酸化膜中の残留水分の影
響を無くすことができる。
【0030】以上のことから、本発明の半導体装置の製
造方法によれば、多層配線構造の層間絶縁膜からトラン
ジスタへの水分の侵入を防止し、しかも、トランジスタ
のしきい値電圧を不安定にさせる原因となる、ゲート電
極下のゲート酸化膜及びゲート電極下の半導体基板とゲ
ート酸化膜の間の界面に生じるキャリアのトラップ準位
密度を減少させることができ、信頼性の良い安定なLD
D構造MOSFETが実現できる。
【図面の簡単な説明】
【図1】本発明の第1及び第2の実施形態の半導体装置
並びに半導体装置の製造方法を示す断面図である。
【図2】本発明の第3及び第4の実施形態の半導体装置
並びに半導体装置の製造方法を示す断面図である。
【図3】本発明の第3及び第4の実施形態の半導体装置
並びに半導体装置の製造方法における保護絶縁膜の内、
最上層の保護窒化膜の応力がRFパワーにより変化する
様子を示すグラフである。
【図4】従来の半導体装置の製造方法を工程順に示す断
面図である。
【符号の説明】
1 半導体基板 2 フィールド酸化膜 3 ゲート酸化膜 4 ゲート電極 5 N-拡散層 6 CVD酸化膜 7 側壁酸化膜 8 N+拡散層 9 第1の保護酸化膜 10 第1の保護窒化膜 11 第1の層間BPSG膜 12 第2の保護窒化膜 13 第2の保護酸化膜 14 第3の保護酸化膜 15 第3の保護窒化膜 16 第4の保護酸化膜 17 第4の保護窒化膜 18 第5の保護窒化膜 19 第5の保護酸化膜 20 第6の保護窒化膜 21 第7の保護窒化膜

Claims (12)

    【特許請求の範囲】
  1. 【請求項1】 一導電型半導体基板に素子領域を分離す
    る為に形成された第1絶縁膜と、前記第1絶縁膜を含む
    前記一導電型半導体基板上に形成されたゲート絶縁膜
    と、前記素子領域内にあって前記ゲート絶縁膜上に形成
    されたゲート電極と、前記ゲート電極をマスクとして自
    己整合的に形成された反対導電型のソース及びドレイン
    領域と、前記ゲート電極の側壁に形成された側壁絶縁膜
    と、前記ゲート電極及び前記側壁絶縁膜をマスクとして
    自己整合的に形成された反対導電型のソース及びドレイ
    ン取出し領域と、前記ゲート電極及び前記側壁絶縁膜を
    含む前記一導電型半導体基板全面に少なくとも一層以上
    の絶縁膜から構成される保護絶縁膜と、前記保護絶縁膜
    を含む前記一導電型半導体基板全面に、その上層に形成
    されるべき金属配線と前記ゲート電極を絶縁するために
    形成された層間絶縁膜と、から成る半導体装置におい
    て、前記保護絶縁膜が少なくとも前記層間絶縁を含む上
    層の層間絶縁膜からの水分を遮断し、かつ前記保護絶縁
    膜が有する応力を極小化するものであることを特徴とす
    る半導体装置。
  2. 【請求項2】 前記保護絶縁膜が、前記ゲート電極及び
    前記側壁絶縁膜を含む前記一導電型半導体基板全面に、
    10乃至20nmの厚さの窒化膜、40乃至60nmの
    厚さの酸化膜の順に堆積された積層膜である請求項1記
    載の半導体装置。
  3. 【請求項3】 前記保護絶縁膜が、前記ゲート電極及び
    前記側壁絶縁膜を含む前記一導電型半導体基板全面に、
    90乃至110nmの厚さの第1の酸化膜、10乃至2
    0nmの厚さの窒化膜、40乃至60nmの厚さの第2
    の酸化膜の順に堆積された積層膜である請求項1記載の
    半導体装置。
  4. 【請求項4】 前記保護絶縁膜が、前記ゲート電極及び
    前記側壁絶縁膜を含む前記一導電型半導体基板全面に、
    10乃至20nmの厚さの第1の窒化膜、30乃至10
    0nmの厚さの第2の窒化膜の順に堆積された積層膜で
    ある請求項1記載の半導体装置。
  5. 【請求項5】 前記保護絶縁膜が、前記ゲート電極及び
    前記側壁絶縁膜を含む前記一導電型半導体基板全面に、
    90乃至110nmの厚さの酸化膜、10乃至20nm
    の厚さの第1の窒化膜、30乃至100nmの厚さの第
    2の窒化膜の順に堆積された積層膜である請求項1記載
    の半導体装置。
  6. 【請求項6】 一導電型半導体基板に素子領域を分離す
    る為に第1絶縁膜を形成する工程と、前記第1絶縁膜を
    含む前記一導電型半導体基板上にゲート絶縁膜を形成す
    る工程と、前記素子領域内にあって前記ゲート絶縁膜上
    にゲート電極を形成する工程と、前記ゲート電極をマス
    クとして自己整合的に反対導電型のソース及びドレイン
    領域を形成する工程と、前記ゲート電極の側壁に側壁絶
    縁膜を形成する工程と、前記ゲート電極及び前記側壁絶
    縁膜をマスクとして自己整合的に反対導電型のソース及
    びドレイン取出し領域を形成する工程と、前記ゲート電
    極及び前記側壁絶縁膜を含む前記一導電型半導体基板全
    面に少なくとも一層以上の絶縁膜から構成される保護絶
    縁膜を形成する工程と、前記保護絶縁膜を含む前記一導
    電型半導体基板全面に、その上層の金属配線と前記ゲー
    ト電極を絶縁するために層間絶縁膜を形成する工程と、
    から成る半導体装置の製造方法において、前記保護絶縁
    膜を形成する工程が、少なくとも前記層間絶縁を含む上
    層の層間絶縁膜からの水分を遮断し、かつ前記保護絶縁
    膜が有する応力を極小化する工程であることを特徴とす
    る半導体装置の製造方法。
  7. 【請求項7】 前記保護絶縁膜を形成する工程が、前記
    ゲート電極及び前記側壁絶縁膜を含む前記一導電型半導
    体基板全面に、熱気相化学成長法により10乃至20n
    mの厚さの窒化膜を成長させる工程と、続いてプラズマ
    気相化学成長法により40乃至60nmの厚さの酸化膜
    を成長させる工程とからなる請求項6記載の半導体装置
    の製造方法。
  8. 【請求項8】 前記保護絶縁膜を形成する工程が、前記
    ゲート電極及び前記側壁絶縁膜を含む前記一導電型半導
    体基板全面に、常圧気相化学成長法により90乃至11
    0nmの厚さの第1の酸化膜を成長させる工程と、続い
    て熱気相化学成長法により10乃至20nmの厚さの窒
    化膜を成長させる工程と、続いてプラズマ気相化学成長
    法により40乃至60nmの厚さの第2の酸化膜を成長
    させる工程とからなる請求項6記載の半導体装置の製造
    方法。
  9. 【請求項9】 前記保護絶縁膜を形成する工程が、前記
    ゲート電極及び前記側壁絶縁膜を含む前記一導電型半導
    体基板全面に、熱気相化学成長法により10乃至20n
    mの厚さの第1の窒化膜を成長させる工程と、続いてプ
    ラズマ気相化学成長法により30乃至100nmの厚さ
    の第2の窒化膜を成長させる工程とからなる請求項6記
    載の半導体装置の製造方法。
  10. 【請求項10】 前記保護絶縁膜を形成する工程が、前
    記ゲート電極及び前記側壁絶縁膜を含む前記一導電型半
    導体基板全面に、常圧気相化学成長法により90乃至1
    10nmの厚さの酸化膜を成長させる工程と、続いて熱
    気相化学成長法により10乃至20nmの厚さの第1の
    窒化膜を成長させる工程と、続いてプラズマ気相化学成
    長法により30乃至100nmの厚さの第2の窒化膜を
    成長させる工程とからなる請求項6記載の半導体装置の
    製造方法。
  11. 【請求項11】 前記熱気相化学成長が概略、温度70
    0℃の条件下で、前記常圧気相化学成長が概略、温度4
    00℃の条件下で、前記プラズマ気相化学成長が温度2
    50乃至300℃の条件下で、それぞれ行われる請求項
    7乃至10記載の半導体装置の製造方法。
  12. 【請求項12】 前記保護絶縁膜を形成する工程のう
    ち、前記熱気相化学成長法により10乃至20nmの厚
    さの窒化膜を成長させる工程が、当該窒化膜を成長させ
    る前に、当該窒化膜を成長させる装置内において、前記
    一導電型半導体基板を温度700℃以上、気圧10-3
    orr以下の条件下で10秒間以上熱処理する工程を含
    む請求項7乃至10記載の半導体装置の製造方法。
JP9310662A 1997-11-12 1997-11-12 半導体装置及びその製造方法 Expired - Fee Related JP3050193B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP9310662A JP3050193B2 (ja) 1997-11-12 1997-11-12 半導体装置及びその製造方法
KR1019980048259A KR19990045203A (ko) 1997-11-12 1998-11-11 반도체 장치 및 그 제조방법
CN98124734A CN1217581A (zh) 1997-11-12 1998-11-12 半导体器件及其制造方法
US09/190,826 US6091121A (en) 1997-11-12 1998-11-12 Semiconductor device and method for manufacturing the same
US09/527,212 US6503826B1 (en) 1997-11-12 2000-03-16 Semiconductor device and method for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9310662A JP3050193B2 (ja) 1997-11-12 1997-11-12 半導体装置及びその製造方法

Publications (2)

Publication Number Publication Date
JPH11145464A true JPH11145464A (ja) 1999-05-28
JP3050193B2 JP3050193B2 (ja) 2000-06-12

Family

ID=18007954

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9310662A Expired - Fee Related JP3050193B2 (ja) 1997-11-12 1997-11-12 半導体装置及びその製造方法

Country Status (4)

Country Link
US (2) US6091121A (ja)
JP (1) JP3050193B2 (ja)
KR (1) KR19990045203A (ja)
CN (1) CN1217581A (ja)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
JPWO2002043151A1 (ja) * 2000-11-22 2004-04-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP2004193166A (ja) * 2002-12-06 2004-07-08 Toshiba Corp 半導体装置
JP2006228950A (ja) * 2005-02-17 2006-08-31 Sony Corp 半導体装置およびその製造方法
KR100666933B1 (ko) 2005-06-09 2007-01-10 주식회사 하이닉스반도체 반도체 장치의 제조방법
JP2007059473A (ja) * 2005-08-22 2007-03-08 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2007067086A (ja) * 2005-08-30 2007-03-15 Toshiba Corp 半導体装置
WO2008089297A1 (en) * 2007-01-19 2008-07-24 Freescale Semiconductor Inc. Multilayer silicon nitride deposition for a semiconductor device
JP2008306195A (ja) * 2008-06-30 2008-12-18 Renesas Technology Corp 半導体装置及びその製造方法
JP2008311673A (ja) * 2000-12-08 2008-12-25 Renesas Technology Corp 半導体装置及びその製造方法
CN102213693A (zh) * 2011-04-08 2011-10-12 北京大学 无衬底引出半导体器件的栅介质层陷阱密度的测试方法
JP2011258751A (ja) * 2010-06-09 2011-12-22 Mitsubishi Electric Corp 半導体装置とその製造方法
JP2012253376A (ja) * 2009-06-04 2012-12-20 Tokyo Electron Ltd アモルファスカーボン膜の形成方法および形成装置
JP5182703B2 (ja) * 2006-06-08 2013-04-17 日本電気株式会社 半導体装置
JP2014078557A (ja) * 2012-10-09 2014-05-01 Toshiba Corp 半導体装置

Families Citing this family (369)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6515350B1 (en) 2000-02-22 2003-02-04 Micron Technology, Inc. Protective conformal silicon nitride films and spacers
US6489254B1 (en) * 2000-08-29 2002-12-03 Atmel Corporation Method of forming pre-metal dielectric film on a semiconductor substrate including first layer of undoped oxide of high ozone:TEOS volume ratio and second layer of low ozone doped BPSG
US7638161B2 (en) * 2001-07-20 2009-12-29 Applied Materials, Inc. Method and apparatus for controlling dopant concentration during BPSG film deposition to reduce nitride consumption
JP4173672B2 (ja) * 2002-03-19 2008-10-29 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US20050026332A1 (en) * 2003-07-29 2005-02-03 Fratti Roger A. Techniques for curvature control in power transistor devices
JP4449374B2 (ja) * 2003-09-04 2010-04-14 株式会社日立製作所 半導体装置
JP2005191512A (ja) * 2003-12-01 2005-07-14 Sharp Corp 半導体装置の製造方法
KR100632057B1 (ko) * 2003-12-30 2006-10-04 동부일렉트로닉스 주식회사 Cmos 트랜지스터 형성 방법
US7005724B2 (en) * 2004-02-13 2006-02-28 Agere Systems Inc. Semiconductor device and a method of manufacture therefor
US7488690B2 (en) * 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control
KR100648859B1 (ko) 2005-06-07 2006-11-24 주식회사 하이닉스반도체 반도체 소자 제조 방법
US7462527B2 (en) * 2005-07-06 2008-12-09 International Business Machines Corporation Method of forming nitride films with high compressive stress for improved PFET device performance
US20080083955A1 (en) * 2006-10-04 2008-04-10 Kanarsky Thomas S Intrinsically stressed liner and fabrication methods thereof
US20080160784A1 (en) * 2006-12-28 2008-07-03 Hynix Semiconductor Inc. Method of manufacturing semiconductor device
US20090085097A1 (en) * 2007-09-27 2009-04-02 Lucian Shifren Methods of forming nitride stressing layer for replacement metal gate and structures formed thereby
JP5699420B2 (ja) * 2008-06-16 2015-04-08 富士電機株式会社 Mos型半導体装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8053870B2 (en) * 2009-12-15 2011-11-08 International Business Machines Corporation Semiconductor structure incorporating multiple nitride layers to improve thermal dissipation away from a device and a method of forming the structure
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8389358B2 (en) * 2011-07-22 2013-03-05 United Microelectronics Corp. Manufacturing method and structure of non-volatile memory
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TW201338173A (zh) * 2012-02-28 2013-09-16 Sony Corp 電晶體、製造電晶體之方法、顯示裝置及電子機器
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018093B2 (en) * 2013-01-25 2015-04-28 Asm Ip Holding B.V. Method for forming layer constituted by repeated stacked layers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
CN106033744B (zh) * 2015-03-09 2019-12-10 无锡华润上华科技有限公司 半导体器件的制备方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR200482520Y1 (ko) * 2016-07-13 2017-02-13 (주)우림에프엔씨 홍수 범람시 통수 기능을 겸비한 하천변용 접철식 안전휀스 장치
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10355100B1 (en) 2018-05-17 2019-07-16 Sandisk Technologies Llc Field effect transistors having different stress control liners and method of making the same
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04147651A (ja) * 1990-04-02 1992-05-21 Toshiba Corp 半導体装置およびその製造方法
US5234850A (en) * 1990-09-04 1993-08-10 Industrial Technology Research Institute Method of fabricating a nitride capped MOSFET for integrated circuits
JPH04186675A (ja) * 1990-11-16 1992-07-03 Matsushita Electron Corp 半導体装置
US5285102A (en) * 1991-07-25 1994-02-08 Texas Instruments Incorporated Method of forming a planarized insulation layer
US5376590A (en) * 1992-01-20 1994-12-27 Nippon Telegraph And Telephone Corporation Semiconductor device and method of fabricating the same
US5424570A (en) * 1992-01-31 1995-06-13 Sgs-Thomson Microelectronics, Inc. Contact structure for improving photoresist adhesion on a dielectric layer
JP3175973B2 (ja) * 1992-04-28 2001-06-11 株式会社東芝 半導体装置およびその製造方法
JP3158749B2 (ja) * 1992-12-16 2001-04-23 ヤマハ株式会社 半導体装置
JP2797994B2 (ja) * 1995-02-17 1998-09-17 ヤマハ株式会社 半導体装置
TW333671B (en) * 1996-03-25 1998-06-11 Sanyo Electric Co The semiconductor device and its producing method
US5913140A (en) * 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US6071184A (en) * 1998-09-02 2000-06-06 Seh America, Inc. Fluid deflecting device for use in work piece holder during a semiconductor wafer grinding process

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7411253B2 (en) 2000-11-22 2008-08-12 Renesas Technology Corp. CMOS transistors using gate electrodes to increase channel mobilities by inducing localized channel stress
US9412669B2 (en) 2000-11-22 2016-08-09 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
JPWO2002043151A1 (ja) * 2000-11-22 2004-04-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP4597479B2 (ja) * 2000-11-22 2010-12-15 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US7705402B2 (en) 2000-11-22 2010-04-27 Renesas Technology Corp. Semiconductor device including a nitride containing film to generate stress for improving current driving capacity of a field effect transistor
US8963250B2 (en) 2000-11-22 2015-02-24 Renesas Electronics Corporation Semiconductor device including a film for applying stress to a channel formation region to increase current flow
US9978869B2 (en) 2000-11-22 2018-05-22 Renesas Electronics Corporation P-channel transistor having an increased channel mobility due to a compressive stress-inducing gate electrode
JP2008294457A (ja) * 2000-11-22 2008-12-04 Renesas Technology Corp 半導体装置及びその製造方法
US7414293B2 (en) 2000-11-22 2008-08-19 Renesas Technology Corp. Structure and method of applying localized stresses to the channels of PFET and NFET transistors for improved performance
JP2008288606A (ja) * 2000-11-22 2008-11-27 Renesas Technology Corp 半導体装置及びその製造方法
JP2012124507A (ja) * 2000-11-22 2012-06-28 Renesas Electronics Corp 半導体装置の製造方法
JP2008311673A (ja) * 2000-12-08 2008-12-25 Renesas Technology Corp 半導体装置及びその製造方法
JP2012178568A (ja) * 2000-12-08 2012-09-13 Renesas Electronics Corp 半導体装置
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
JP2004193166A (ja) * 2002-12-06 2004-07-08 Toshiba Corp 半導体装置
JP2006228950A (ja) * 2005-02-17 2006-08-31 Sony Corp 半導体装置およびその製造方法
KR100666933B1 (ko) 2005-06-09 2007-01-10 주식회사 하이닉스반도체 반도체 장치의 제조방법
JP2007059473A (ja) * 2005-08-22 2007-03-08 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP4703324B2 (ja) * 2005-08-30 2011-06-15 株式会社東芝 半導体装置
US8045379B2 (en) 2005-08-30 2011-10-25 Kabushiki Kaisha Toshiba Semiconductor device that is advantageous in operational environment at high temperatures
JP2007067086A (ja) * 2005-08-30 2007-03-15 Toshiba Corp 半導体装置
US9577095B2 (en) 2006-06-08 2017-02-21 Renesas Electronics Corporation Semiconductor device
JP5182703B2 (ja) * 2006-06-08 2013-04-17 日本電気株式会社 半導体装置
WO2008089297A1 (en) * 2007-01-19 2008-07-24 Freescale Semiconductor Inc. Multilayer silicon nitride deposition for a semiconductor device
US7700499B2 (en) 2007-01-19 2010-04-20 Freescale Semiconductor, Inc. Multilayer silicon nitride deposition for a semiconductor device
JP2008306195A (ja) * 2008-06-30 2008-12-18 Renesas Technology Corp 半導体装置及びその製造方法
JP2012253376A (ja) * 2009-06-04 2012-12-20 Tokyo Electron Ltd アモルファスカーボン膜の形成方法および形成装置
JP2011258751A (ja) * 2010-06-09 2011-12-22 Mitsubishi Electric Corp 半導体装置とその製造方法
CN102213693A (zh) * 2011-04-08 2011-10-12 北京大学 无衬底引出半导体器件的栅介质层陷阱密度的测试方法
JP2014078557A (ja) * 2012-10-09 2014-05-01 Toshiba Corp 半導体装置
US10074736B2 (en) 2012-10-09 2018-09-11 Kabushiki Kaisha Toshiba Semiconductor device

Also Published As

Publication number Publication date
CN1217581A (zh) 1999-05-26
US6091121A (en) 2000-07-18
KR19990045203A (ko) 1999-06-25
JP3050193B2 (ja) 2000-06-12
US6503826B1 (en) 2003-01-07

Similar Documents

Publication Publication Date Title
JP3050193B2 (ja) 半導体装置及びその製造方法
US4808544A (en) LDD structure containing conductive layer between gate oxide and sidewall spacer
JP2644414B2 (ja) 集積回路の製造方法
US20040033678A1 (en) Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
US20020098710A1 (en) Methods Of Forming Transistors
US6617212B2 (en) Semiconductor device and method for fabricating the same using damascene process
US5716891A (en) Fabrication process of semiconductor device
US5275960A (en) Method of manufacturing MIS type FET semiconductor device with gate insulating layer having a high dielectric breakdown strength
US5681778A (en) Semiconductor processing method of forming a buried contact and conductive line
JPH03203351A (ja) 半導体装置及びその製造方法
JPH0794731A (ja) 半導体装置及びその製造方法
US8115263B2 (en) Laminated silicon gate electrode
JP3156590B2 (ja) 半導体装置及びその製造方法
JP3050165B2 (ja) 半導体装置およびその製造方法
JP2005064032A (ja) 半導体装置及びその製造方法
JPH098135A (ja) 半導体装置の製造方法
JP2937886B2 (ja) 半導体素子の層間絶縁膜形成方法
JPH11354650A (ja) 半導体装置およびその製造方法
JPH07226502A (ja) Mosトランジスタ及びその製造方法
KR100949874B1 (ko) 반도체소자의 저장전극 형성방법
JP3144509B2 (ja) 半導体装置の製造方法
KR100814257B1 (ko) 반도체 소자 제조 방법
JPH02248048A (ja) 半導体装置の製造方法
JPH1050631A (ja) 半導体装置の製造方法
JPH04278587A (ja) 半導体記憶装置の製造方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20000229

LAPS Cancellation because of no payment of annual fees