JPH11340337A - 半導体装置及び半導体装置の製造方法 - Google Patents

半導体装置及び半導体装置の製造方法

Info

Publication number
JPH11340337A
JPH11340337A JP10145533A JP14553398A JPH11340337A JP H11340337 A JPH11340337 A JP H11340337A JP 10145533 A JP10145533 A JP 10145533A JP 14553398 A JP14553398 A JP 14553398A JP H11340337 A JPH11340337 A JP H11340337A
Authority
JP
Japan
Prior art keywords
layer
silicon
germanium
effect transistor
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10145533A
Other languages
English (en)
Other versions
JP4258034B2 (ja
Inventor
Minoru Sugawara
稔 菅原
Takashi Noguchi
隆 野口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP14553398A priority Critical patent/JP4258034B2/ja
Publication of JPH11340337A publication Critical patent/JPH11340337A/ja
Priority to US09/733,474 priority patent/US6750486B2/en
Priority to US10/818,820 priority patent/US6841430B2/en
Application granted granted Critical
Publication of JP4258034B2 publication Critical patent/JP4258034B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/802Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with heterojunction gate, e.g. transistors with semiconductor layer acting as gate insulating layer, MIS-like transistors

Abstract

(57)【要約】 【課題】 高速動作が可能でかつ費電力の低減を図るこ
とが可能な相補型の電界効果トランジスタを提供する。 【解決手段】 nMOSトランジスタ15aが設けられ
た基板の表面領域は、シリコン基板1上に、上層に向か
ってゲルマニウム濃度が徐々に高められたシリコンゲル
マニウムからなるバッファ層2、バッファ層2の表面層
と同程度のゲルマニウム濃度を有するシリコンゲルマニ
ウムからなるリラックス層3、ストレイン効果を有する
シリコン層7aを順に形成してなり、シリコン層7aに
ソース・ドレイン13aが設けられている。pMOST
r.15bが設けられた基板の表面領域は、シリコン基
板1上にストレイン効果を有するシリコンゲルマニウム
層6、シリコンからなるキャップ層7bが設けられ、シ
リコンゲルマニウム層6にソース・ドレイン13bが設
けられている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置及び半
導体装置の製造方法に関し、特にはnチャンネル型電界
効果トランジスタとpチャンネル型電界効果トランジス
タとを同一基板に設けてなる半導体装置及びその製造方
法に関する。
【0002】
【従来の技術】近年、情報処理手段の急速なデジタル化
に伴い、半導体装置の高速化及び低消費電力化の要求が
高まってきている。従来、上記半導体装置の高速化は素
子構造の微細化によって達成され、また低消費電力化は
素子構成を相補型、すなわちnチャンネル型とpチャン
ネル型の電界効果トランジスタとを備えた構成にするこ
とによって達成してきた。ところが、素子構造の微細化
の進行に伴い、現在では既にリソグラフィー技術におい
て露光波長よりも小さなパターンを形成せざるを得ない
状況に有る。このため、リソグラフィー工程において
は、十分なプロセス余裕度を確保することが困難になり
つつあり、微細化による半導体装置の高速化は限界に近
づきつつある。
【0003】そこで、電界効果トランジスタにおいて
は、チャネルとなる層にストレイン(歪み)効果を有す
る材料層を用いることが提案されている。ストレイン効
果を有する材料層を用いたデバイスの形成は、シリコン
/シリコンゲルマニウムなどIV族半導体材料と薄膜形成
技術の進歩により可能になってきており、現在、高性
能、低電圧デバイスを目的とした開発が積極的に行われ
ている。ここでストレイン効果とは、薄膜半導体におい
て膜が応力を受けた場合、エネルギーバンドが歪み、キ
ャリアの有効質量が変化することをいう。このストレイ
ン効果を有する半導体薄膜は、分子線エピタキシー技
術、超高真空下における化学的気相成長(UHV−CV
D)技術等によって、例えばシリコン/シリコンゲルマ
ニウムなどの多層膜を工夫して膜の内部応力を制御する
ことで形成することが可能になってきている。このよう
に、バンドギャップ差や膜のストレインをヘテロ接合に
より制御した高性能MOS系デバイス、センサ等の開発
も進んできている。
【0004】シリコン系MOS(Metal-Oxide-Semicond
uctor )トランジスタの場合、ゲルマニウム濃度を上層
に向けて徐々に高めたシリコンゲルマニウムからなるバ
ッファ層、シリコンゲルマニウムからなるリラックス層
及びシリコン層を下層から順にシリコン基板上に形成す
ることで、上記シリコン層には引っ張り応力が生じる。
そしてこのシリコン層では、引っ張り応力に伴うストレ
イン効果によって電子の移動度が上昇する。一方、シリ
コン基板上に、形成したシリコンゲルマニウム層には圧
縮応力が生じ、このシリコンゲルマニウム層では圧縮応
力に伴うストレイン効果によって正孔の移動度が上昇す
る。
【0005】以上のようなストレイン効果を利用してチ
ャネルとなる層の応力を制御して作製した電界効果トラ
ンジスタでは、高い相互コンダクタンス〔gm(mob
ility)〕が得られている。そして、Appl. Phys.
Letter (USA), 63 (1993) S.P.Voinigensen et al.,p66
0 およびIEEE Electronic Devices (USA),43 (1996)L.
H.Jiang and R.G.Elliman,p97 にはpMOSトランジス
タが開示されている。また、Appl. Phys. Letter (US
A), 64 (1994) K.Ismail et al.,p3124 およびIEDM 94-
37 (USA), (1994) J.Welser et al.にはnMOSトラン
ジスタが開示されている。
【0006】
【発明が解決しようとする課題】しかしながら、上記ス
トレイン効果を利用した半導体装置には、以下のような
課題がある。すなわち、リラックス層上に形成されたシ
リコン層では、引っ張り応力に伴うストレイン効果によ
って電子の移動度が向上したとしても、正孔の移動度が
低下してしまう。このため、高性能低電圧のnMOSト
ランジスタを得られるものの、pMOSの性能を向上さ
せることはできず、したがってCMOSの性能を大幅に
向上させることはできない。一方、シリコン基板上のシ
リコンゲルマニウム層では、圧縮応力によるストレイン
効果によって正孔の移動度が向上したとしても、電子の
移動度が低下してしまう。このため、高性能低電圧のp
MOSトランジスタを得られるものの、nMOSの性能
を向上させることはできず、したがってCMOSの性能
を大幅に向上させることはできない。以上のことから、
高性能なCMOSを得ることはできず、したがって高性
能でかつ低消費電力の半導体装置を得ることができなか
った。
【0007】そこで、本発明は、ストレイン効果により
電子の移動度を上昇させたnMOSと、正孔の移動度を
上昇させたpMOSとを同一基板上に設けたことによっ
て高速でかつ低消費電力の半導体装置を提供すると共
に、従来のシリコン用のCMOS製造プロセスを用いる
ことができる上記半導体装置の製造方法を提供すること
を目的とする。
【0008】
【課題を解決するための手段】上記目的を達成するため
の本発明の半導体装置は、nチャンネル型電界効果トラ
ンジスタとpチャンネル型電界効果トランジスタとを同
一基板に設けてなる半導体装置である。そして、前記n
チャンネル型電界効果トランジスタが設けられた前記基
板の表面領域は、シリコン基板上に、バッファ層、リラ
ックス層及びシリコン層を順に設けた構成になってい
る。上記バッファ層は、上層に向かってゲルマニウム濃
度が徐々に高められたシリコンゲルマニウムからなる。
また、リラックス層は、上記バッファ層の表面層と同程
度のゲルマニウム濃度を有するシリコンゲルマニウムか
らなる。そして、上記nチャンネル型電界効果トランジ
スタのソース・ドレインは上記シリコン層に形成されて
いる。一方、前記pチャンネル型電界効果トランジスタ
が設けられた前記基板の表面領域は、上記シリコン基板
上に、シリコンゲルマニウム層及びシリコンからなるキ
ャップ層を順に設けた構成になっている。そして、上記
pチャンネル型電界効果トランジスタのソース・ドレイ
ンはシリコンゲルマニウム層に形成されている。
【0009】上記半導体装置では、同一のシリコン基板
上にnチャンネル型電界効果トランジスタとpチャンネ
ル型電界効果トランジスタとが設けられたCMOS構成
になっていることから、低消費電力を達成できる。ま
た、上記nチャンネル型電界効果トランジスタでは、バ
ッファ層上に形成されたことで応力が緩和されたシリコ
ンゲルマニウムからなるリラックス層上にシリコン層を
設けたことで、当該シリコン層では引っ張り応力に伴う
ストレイン効果によって電子の移動速度が上昇する。一
方、上記pチャンネル型電界効果トランジスタでは、シ
リコン基板上にシリコンゲルマニウム層を設けたこと
で、当該シリコンゲルマニウム層では圧縮応力に伴うス
トレイン効果によって正孔の移動速度が上昇する。そし
て、このようなシリコン層及びシリコンゲルマニウム層
にソース・ドレインが形成されていることから、この半
導体装置においては、nチャンネル型電界効果トランジ
スタ及びpチャンネル型電界効果トランジスタの動作速
度が向上する。
【0010】また本発明の半導体装置の製造方法は、先
ず、シリコン基板においてnチャンネル型電界効果トラ
ンジスタが形成されるn型領域の表面層をエッチングし
て段差凹部とした後、前記シリコン基板上に上層に向か
ってゲルマニウム濃度が徐々に高められたシリコンゲル
マニウムからなるバッファ層、このバッファ層の表面層
と同程度のゲルマニウム濃度を有するシリコンゲルマニ
ウムからなるリラックス層、及びシリコン層を順に形成
する。次に、pチャンネル型電界効果トランジスタが形
成されるp型領域における上記シリコン層、リラックス
層及びバッファ層を除去する。その後、シリコンゲルマ
ニウム層を形成し、上記n型領域にシリコン層を露出さ
せかつ上記p型領域にシリコンゲルマニウム層を残す状
態に、当該シリコンゲルマニウム層の表面を平坦化す
る。次いで、シリコンエピタキシャル層を形成し、n型
領域に上記シリコン層とシリコンエピタキシャル層とか
らなるシリコン層を形成すると共に、p型領域にこのシ
リコンエピタキシャル層からなるキャップ層を形成す
る。しかる後、n型領域のシリコン層上及びp型領域の
キャップ層上にゲート絶縁膜を介してゲート電極を形成
し、上記シリコン層にn型のソース・ドレインを形成
し、上記シリコンゲルマニウム層にp型のソース・ドレ
インを形成する。
【0011】上記製造方法では、シリコン基板における
n型領域上には、バッファ層及びリラックス層を介して
シリコン層が形成され、このシリコン層にソース・ドレ
インが形成されたnチャンネル型電界効果トランジスタ
が得られる。また、シリコン基板におけるp型領域上に
は、シリコンゲルマニウム層が形成され、このシリコン
ゲルマニウム層にソース・ドレインが形成されたpチャ
ネル型電界効果トランジスタが得られる。この際、シリ
コン基板のn型領域に形成された段差凹部にバッファ
層、リラックス層、シリコン層を残した状態でシリコン
ゲルマニウム層が形成され、上記n型領域にのみシリコ
ン層を露出させるようにシリコンゲルマニウム層の表面
がCMPを用いて平坦化されることから、上記nチャン
ネル型電界効果トランジスタ及びpチャネル型電界効果
トランジスタのゲート電極は平坦な基板上に形成される
ことになる。このため、シリコン基板のみで基板が構成
される半導体装置と平面構造が同等になる。
【0012】
【発明の実施の形態】以下、本発明の実施の形態を図面
に基づいて説明する。図1は、本発明を適用した半導体
装置の断面図である。この半導体装置は、nチャンネル
型電界効果トランジスタであるnMOSTr.15a
と、pチャンネル型電界効果トランジスタであるpMO
STr.15bとを同一基板に設けてなるCMOS構成
の半導体装置である。また、図2〜図6は、この半導体
装置の製造方法を説明するための工程断面図であり、以
下にこれらの図を用いて上記半導体装置の製造方法から
順に説明する。
【0013】図2(1)に示すように、チョクラルスキ
ー(CZ)法によって引き上げられたp型のシリコン基
板1を用意する。このシリコン基板1は、例えば直径2
00mmのものである。その後、nMOSTr.を形成
する予定のn型領域1aを露出させ、pMOSTr.を
形成する予定のp型領域1bを覆う状態で、シリコン基
板1上にレジストパターン(図示省略)を形成する。こ
のレジストパターンは、リソグラフィー法によって形成
し、例えば2.0μmの膜厚を有している。次に、この
レジストパターンをマスクに用いてシリコン基板1をエ
ッチングし、n型領域1aを深さ2.3μm程度の段差
凹部とする。このエッチングは、例えば4フッ化メタン
(CF4 )のようなフッ素ガスを含む高密度プラズマ中
にて行う。エッチング終了後には、上記レジストパター
ンを除去する。
【0014】次に、図2(2)に示すように、シリコン
基板1上に、ゲルマニウム(Ge)濃度を厚さ方向に変
化させたシリコンゲルマニウム〔Si(1-x)Ge(x) 〕
からなるバッファ層2を形成する。このバッファ層2
は、例えば膜厚1.68μm程度で、シリコン基板1側
から上層側に向けて上記ゲルマニウムの組成比をx=0
〜0.3の範囲で変化させて成膜される。ただし、ゲル
マニウムの組成比xの上限、すなわちバッファ層2の最
表層におけるゲルマニウムの組成比xは、0.3を越え
ても良く、好ましくはx=0.5を越えないように設定
される。
【0015】上記構成のバッファ層2は、超高真空化学
的気相成長(UHV−CVD)法によってシリコン基板
1上に堆積させる。ここでは、例えばロードロックチャ
ンバ、石英管からなる反応室及びアンロードロックチャ
ンバから構成されたCVD装置を用い、石英ボート上に
縦置きされた20枚のシリコン基板1に対して上記反応
室内にて成膜処理を行う。この際、基板温度を600℃
〜900℃の好適な温度に設定し、反応室内に水素ガス
(H2 )またはアルゴンガス(Ar)をキャリアガスと
してフローさせた状態で、シランガス(SiH4 )とゲ
ルマンガス(GeH4 )をフローさせる。また、成膜初
期においては、キャリアガスとシランガスのみをフロー
させてSi結晶を0.1μm〜0.3μm程度堆積さ
せ、上記エッチング時に形成されたシリコン基板1の表
面荒れを緩和させる。次に、組成比に応じたゲルマンガ
スを流量調整しながらフローさせる。この場合、シラン
ガスとゲルマンガスとの流量の調整は、シランガスとゲ
ルマンガスを、例えば数sec〜数百msecの間隔で
交互に導入することによって行う。これによって、流量
の調整がより容易になり、かつ結晶性も向上する。ま
た、上記時間間隔は、反応室や排気系のコンダクタンス
及びガス滞在時間によって適宜好適な値を選択すること
とし、ガス流量はコンピュータ制御された高速応答のマ
スフローコントローラにて行うこととする。また、装置
構成は、枚葉式のものを用いても良い。尚、形成された
バッファ層2は、オフラインにてX線回折、ラザフォー
ド後方散乱(RBS),二次イオン質量分析(SIM
S),透過型電子顕微鏡(TEM),分光エリプソ,表
面反射率等の解析手段によって、所望の組成が得られて
いるか否かをチェックする。
【0016】次に、図2(3)に示すように、以上のよ
うにして得られたバッファ層2上に、シリコンゲルマニ
ウム〔Si(1-y)Ge(y) 〕からなるリラックス層3を
形成する。このリラックス層3におけるゲルマニウムの
組成比yは、バッファ層2の最表層におけるゲルマニウ
ムの組成比xと同程度に設定されることとする。これに
よって、このリラックス層3を、応力が緩和された層と
して成膜する。また、このリラックス層3の膜厚は、例
えば膜厚0.6μm程度にする。
【0017】上記構成のリラックス層3の成膜は、上記
バッファ層2の成膜に引き続き上記反応室内で行われ、
バッファ層2の成膜と同様にシランガスとゲルマンガス
を、例えば数sec〜数百msecの所定間隔で交互に
導入することによって行う。
【0018】次に、図2(4)に示すように、上記反応
管内に少量のキャリアガスをフローしながら基板温度を
600℃にまで冷却する。この際、急激な温度低下によ
る熱応力の発生を避けるために、−2℃/min程度の
速度で基板温度を低下させるようにする。そして、基板
温度が600℃にまで低下した後、キャリアガスに加え
てシランガスをフローさせ、リラックス層3上にシリコ
ンをエピタキシャル成長させてなるシリコン層4を形成
する。これによって、このシリコン層4に、引っ張り応
力を発生させ、引っ張り応力に伴うストレイン効果を有
する層として成膜する。このシリコン層4は、ストレイ
ン効果を有する層としてのみ成膜されるだけではなく、
リラックス層3を外部環境から保護するものにもなる。
尚、このシリコン層4は、n型領域1aにおける表面が
p型領域におけるシリコン基板1の表面よりも、50n
m〜100nm程度高くなるような膜厚に設定されるこ
ととする。
【0019】その後、図3(1)に示すように、シリコ
ン層4上に犠牲酸化膜5を形成する。この犠牲酸化膜5
は、熱酸化法またはCVD法によって形成する。この
際、先の工程で形成したシリコンゲルマニウムからなる
各層の結晶状態の変質を抑えるために、成膜温度を85
0℃以下に抑えて成膜を行うこととする。ただし、急速
熱酸化(RTA)やレーザアニールによって、この犠牲
酸化膜5を形成する場合には、限定された領域の加熱温
度がゲルマニウムの融点である937℃以下に抑えられ
る条件にて成膜を行えば良い。
【0020】次に、図3(2)に示すように、p型領域
1bにおける犠牲酸化膜5,シリコン層4、リラックス
層3及びバッファ層2をエッチング除去し、p型領域1
bにシリコン基板1を露出させる。この際、n型領域1
aを覆い、p型領域1bを露出させる状態で、シリコン
基板1上に形成した膜厚2.3μm程度のレジストパタ
ーン(図示省略)をマスクに用いたエッチングを行う。
このエッチングは、例えば4フッ化メタン(CF4 )の
ようなフッ素ガスを含む高密度プラズマ中にて行う。エ
ッチング終了後には、上記レジストパターンを除去す
る。
【0021】次いで、化学的機械研磨(CMP)を行う
ことによって、上記エッチングによるシリコン基板1の
表面層のダメージを除去する。このCMP工程において
は、犠牲酸化膜5がn型領域1aのマスクになり、p型
領域1bにおけるシリコン基板1の表面層のみが研磨さ
れる。
【0022】その後、図3(3)に示すように、例えば
希フッ酸を用いたウェットエッチングによって、犠牲酸
化膜5を除去する。この犠牲酸化膜5を除去した後に
は、n型領域1aのシリコン層4表面は、p型領域1b
のシリコン基板1表面よりも50nm〜100nm程度
高くなる。
【0023】次に、図4(1)に示すように、シリコン
基板1及びシリコン層4上に、シリコンゲルマニウム
〔Si(1-z)Ge(z) 〕層6を形成する。このシリコン
ゲルマニウムにおけるゲルマニウムの組成比zは、z=
0.1〜0.8の間の所定値に設定され、好ましくはz
=0.2付近に設定されることとする。また膜厚は、1
00nm程度で形成されることとする。このような構成
のシリコンゲルマニウム層6の成膜は、バッファ層2の
成膜と同様にシランガスとゲルマンガスを、例えば数s
ec〜数百msecの所定間隔で交互に導入することに
よって行う。以上のようにして、シリコン基板1上に設
けられたことによって、圧縮応力に伴うストレイン効果
を有するシリコンゲルマニウム層6を形成する。
【0024】その後、図4(2)に示すように、CMP
法によって、シリコンゲルマニウム層6の表面を平坦化
し、p型領域1bにシリコンゲルマニウム層6を残した
状態でn型領域1aにシリコン層4を露出させる。この
平坦化処理によって、n型領域1aのシリコン層4は膜
厚20nm程度になり、p型領域1bにシリコンゲルマ
ニウム層6は膜厚10nm程度になる。
【0025】次に、図4(3)に示すように、シリコン
層4及びシリコンゲルマニウム層6上に、シリコンエピ
タキシャル層7を100nm程度の膜厚で形成する。こ
れによって、n型領域1aにおいては、このシリコンエ
ピタキシャル層7とシリコン層4とからなるシリコン層
7aが形成される。また、p型領域1bにおいては、こ
のシリコンエピタキシャル層7が、以降の工程でゲート
絶縁膜を形成する際にその膜質を向上させるためのキャ
ップ層7bになる。
【0026】次に、図4(4)に示すように、シリコン
エピタキシャル層7上に、犠牲酸化膜8を形成する。こ
の犠牲酸化膜8は、上記図3(1)を用いて説明した犠
牲酸化膜5と同様に形成する。
【0027】その後、図5(1)に示すように、シリコ
ン基板1表面側の素子分離領域に、トレンチ9を形成す
る。この際、n型領域1aとp型領域1bとにおける素
子形成領域を覆い、素子分離領域を露出させる状態で、
シリコン基板1の上方にレジストパターン(図示省略)
を形成する。このレジストパターンは、KrFエキシマ
レーザ光を露光光に用いたリソグラフィー法によって形
成する。次に、このレジストパターンをマスクに用いた
エッチングによって、素子分離領域に深さ2.8μm程
度のトレンチ9を形成する。トレンチ9形成後には、上
記レジストパターンを除去する。尚、n型領域1aとp
型領域1bとが隣り合う位置に設けられる素子分離領域
は、リーク電流の発生を防止するために、シリコン基板
1の段差部分を含んだ広めの幅に設定されることとす
る。
【0028】次に、図5(2)に示すように、高密度プ
ラズマCVD法によって、トレンチ9内を埋め込む状態
でシリコン基板1の上方に酸化シリコン膜10を成膜す
る。その後、図5(3)に示すように、酸化シリコン膜
10及び犠牲酸化膜に対してCMP処理を施し、トレン
チ9内にのみ酸化シリコン膜10を残し、n型領域1a
にシリコン層7aを露出させ、p型領域1bにキャップ
層7bを露出させる。これによって、トレンチ9内に酸
化シリコン膜10を埋め込んでなる浅いトレンチ素子分
離(STI;Shallow Trench Isolation)10aを形成
する。
【0029】次いで、図6(1)に示すように、熱酸化
法によって、シリコン層7a及びキャップ層7bの表面
層に膜厚5nm程度の酸化シリコンからなるゲート酸化
膜(すなわち請求項に示すゲート絶縁膜に対応する膜で
ある)11を成長させる。このゲート酸化膜11の成膜
温度は、犠牲酸化膜5と同様に設定する。
【0030】次に、図6(2)に示すように、スパッタ
法等によって、ゲート酸化膜11上にポリシリコン膜1
2を成膜する。このポリシリコン膜12は、250nm
程度の膜厚で成膜する。
【0031】次いで、図6(3)に示すように、リソグ
ラフィー技術によって形成したレジストパターン(図示
省略)をマスクに用いてポリシリコン膜12及びゲート
酸化膜11をエッチングする。これによって、n型領域
1aのシリコン層7a上及びp型領域1bのキャップ層
7b上にゲート酸化膜11を介してポリシリコン膜12
からなるゲート電極12aを形成する。
【0032】しかる後、ゲート電極12aをマスクに用
いたイオン注入によって、n型領域1aのシリコン層7
aにソース・ドレイン13aを形成するための不純物を
導入し、またp型領域1bのシリコンゲルマニウム層6
にソース・ドレイン13bを形成するための不純物を導
入する。ここでは、n型領域1aを覆うレジストパター
ン及びp型領域1bを覆うレジストパターンをそれぞれ
マスクに用たイオン注入を行うことによって、n型領域
1aにはn型不純物を導入し、p型領域1bにはp型不
純物を導入する。その後、上記レジストパターンを除去
する。
【0033】次に、図1に示すように、ゲート電極12
a及びゲート酸化膜11の側壁に絶縁膜からなるサイド
ウォール14を形成する。
【0034】以上によって、同一のシリコン基板1にお
けるn型領域1aにnMOSTr.15aが設けられ、
p型領域1bにpMOSTr.15bが設けられた半導
体装置が得られる。このようにして形成された半導体装
置のnMOSTr.15aは、シリコン基板1上に、シ
リコンゲルマニウムからなるバッファ層2及びシリコン
ゲルマニウムからなるリラックス層3を介して形成され
たシリコン層7aにソース・ドレイン13aが形成され
たものになる。また、上記pMOSTr.15bは、シ
リコン基板1上のシリコンゲルマニウム層6にソース・
ドレイン13bが形成されたものになる。
【0035】上記nMOSTr.15aにおいては、バ
ッファ層2上に形成されたことで応力が緩和されたシリ
コンゲルマニウムからなるリラックス層3上にシリコン
層7aを設けたことで、このシリコン層7aでは引っ張
り応力に伴うストレイン効果によって電子の移動度が増
大し、このシリコン層7aにソース・ドレイン13aが
形成された上記nMOSTr.15aにおいて動作速度
の向上が図られる。一方、pMOSTr.15bにおい
ては、シリコン基板1上にシリコンゲルマニウム層6を
設けたことで、このシリコンゲルマニウム層6は圧縮応
力に伴うストレイン効果によって正孔の移動度が増大
し、このシリコンゲルマニウム層6にソース・ドレイン
13bが形成された上記pMOSTr.15bにおいて
動作速度の向上が図られる。
【0036】しかも、この半導体装置においては、同一
の基板上にnMOSTr.15aとpMOSTr.15
bとが設けられたCMOS構成になっていることから、
低消費電力を達成できる。また、上述のように、nMO
STr.15a、pMOSTr.15b共に動作速度の
向上が図られたものであることから、シリコン基板のみ
で基板が構成された従来のCMOSと比較して、論理回
路の動作速度を数倍〜数十倍程度高速化することができ
る。
【0037】また、結晶欠陥の生じやすい部分、すなわ
ちn型領域1aとp型領域1bとの境目は、図4
(1)、図4(2)を用いて説明したトレンチ素子分離
10aの形成工程で除去されるため、所望のトランジス
タ性能を得ることがでる。さらに、シリコン基板のみで
基板が構成された従来のCMOSと平面構造が同等であ
るため、回路寸法が同等で設計に対する負荷は全くな
い。しかも、上記各工程は、全て従来のシリコン基板の
みからなる半導体装置に汎用的に用いられている技術を
適用したことで、生産性を低下させることもない。
【0038】
【発明の効果】以上説明したように本発明の半導体装置
によれば、引っ張り応力によるストレイン効果によって
電子の移動度を増大させたnチャンネル型電界効果トラ
ンジスタと、圧縮応力によるストレイン効果によって正
孔の移動度を増大させたpチャンネル型電界効果トラン
ジスタとを同一基板上に設けたことで、相補型電界効果
トランジスタを構成して消費電力の低下を図ることが可
能になり、かつ相補型に構成された電界効果トランジス
タの動作速度の向上を図ることが可能になる。
【0039】また、本発明の半導体装置の製造方法によ
れば、引っ張り応力に伴うストレイン効果によって電子
の移動度を増大させたnチャンネル型電界効果トランジ
スタと、圧縮応力に伴うストレイン効果によって正孔の
移動度を増大させたpチャンネル型電界効果トランジス
タとを同一のシリコン基板上に形成することが可能にな
る。またこれと共に、シリコン基板のn型領域に形成さ
れた段差凹部にバッファ層、リラックス層及びシリコン
層を形成し、p型領域にシリコンゲルマニウム層を形成
して平坦化することで、上記nチャンネル型電界効果ト
ランジスタ及びpチャンネル型電界効果トランジスタの
ゲート電極を平坦な基板上に形成することができる。し
たがって、シリコン基板のみで基板が構成される半導体
装置と同等の平面構造で回路設計に対する負荷を増加さ
せることなく上記半導体装置を得ることが可能になる。
【図面の簡単な説明】
【図1】本発明の半導体装置の一例を示す断面図であ
る。
【図2】本発明の半導体装置の製造方法の一例を示す断
面工程図(その1)である。
【図3】本発明の半導体装置の製造方法の一例を示す断
面工程図(その2)である。
【図4】本発明の半導体装置の製造方法の一例を示す断
面工程図(その3)である。
【図5】本発明の半導体装置の製造方法の一例を示す断
面工程図(その4)である。
【図6】本発明の半導体装置の製造方法の一例を示す断
面工程図(その5)である。
【符号の説明】
1…シリコン基板、1a…n型領域、1b…p型領域、
2…バッファ層、3…リラックス層、4…シリコン層、
6…シリコンゲルマニウム層、7a…シリコン層、7b
…キャップ層、11…ゲート酸化膜(ゲート絶縁膜)、
12a…ゲート電極、13a…ソース・ドレイン(n
型)、13b…ソース・ドレイン(p型)、15a…n
MOSTr.(nチャンネル型電界効果トランジス
タ)、15b…pMOSTr.(pチャンネル型電界効
果トランジスタ)

Claims (3)

    【特許請求の範囲】
  1. 【請求項1】 nチャンネル型電界効果トランジスタと
    pチャンネル型電界効果トランジスタとを同一基板に設
    けてなる半導体装置において、 前記nチャンネル型電界効果トランジスタが設けられた
    前記基板の表面領域は、 シリコン基板と、 前記シリコン基板上に形成されたもので上層に向かって
    ゲルマニウム濃度が徐々に高められたシリコンゲルマニ
    ウムからなるバッファ層と、 前記バッファ層上に形成されたもので当該バッファ層の
    表面層と同程度のゲルマニウム濃度を有するシリコンゲ
    ルマニウムからなるリラックス層と、 前記リラックス層上に形成されたシリコン層とからな
    り、 前記pチャンネル型電界効果トランジスタが設けられた
    前記基板の表面領域は、 前記シリコン基板と、 前記シリコン基板上に形成されたシリコンゲルマニウム
    層と、 前記シリコンゲルマニウム層上に形成されたシリコンか
    らなるキャップ層とからなることを特徴とする半導体装
    置。
  2. 【請求項2】 請求項1記載の半導体装置において、 前記nチャンネル型電界効果トランジスタのソース・ド
    レインは、前記シリコン層に形成され、 前記pチャンネル型電界効果トランジスタのソース・ド
    レインは、前記シリコンゲルマニウム層に形成されたこ
    とを特徴とする半導体装置。
  3. 【請求項3】 nチャンネル型電界効果トランジスタと
    pチャンネル型電界効果トランジスタとを同一基板に設
    けてなる半導体装置の製造方法であって、 シリコン基板においてnチャンネル型電界効果トランジ
    スタが形成されるn型領域の表面層をエッチングして段
    差凹部とする工程と、 前記シリコン基板上に上層に向かってゲルマニウム濃度
    が徐々に高められたシリコンゲルマニウムからなるバッ
    ファ層を形成する工程と、 前記バッファ層上に当該バッファ層の表面層と同程度の
    ゲルマニウム濃度を有するシリコンゲルマニウムからな
    るリラックス層を形成する工程と、 前記リラックス層上にシリコン層を形成する工程と、 レジストパターンをマスクにしたエッチングによって、
    シリコン基板においてpチャンネル型電界効果トランジ
    スタが形成されるp型領域における前記シリコン層、前
    記リラックス層及び前記バッファ層を除去する工程と、 前記シリコン基板上及び前記シリコン層上に、シリコン
    ゲルマニウム層を形成する工程と、 前記n型領域に前記シリコン層を露出させかつ前記p型
    領域に前記シリコンゲルマニウム層を残す状態に、当該
    シリコンゲルマニウム層の表面に対して平坦化処理を行
    う工程と、 前記シリコン層及び前記シリコンゲルマニウム層上にシ
    リコンエピタキシャル層を形成し、前記n型領域に前記
    シリコン層と当該シリコンエピタキシャル層とからなる
    シリコン層を形成すると共に、前記p型領域に当該シリ
    コンエピタキシャル層からなるキャップ層を形成する工
    程と前記n型領域の前記シリコン層上及び前記p型領域
    の前記キャップ層上にゲート絶縁膜を介してゲート電極
    を形成した後、当該シリコン層にn型のソース・ドレイ
    ンを形成し、前記シリコンゲルマニウム層にp型のソー
    ス・ドレインを形成する工程とを行うことを特徴とする
    半導体装置の製造方法。
JP14553398A 1998-05-27 1998-05-27 半導体装置及び半導体装置の製造方法 Expired - Fee Related JP4258034B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP14553398A JP4258034B2 (ja) 1998-05-27 1998-05-27 半導体装置及び半導体装置の製造方法
US09/733,474 US6750486B2 (en) 1998-05-27 2000-12-08 Semiconductor and fabrication method thereof
US10/818,820 US6841430B2 (en) 1998-05-27 2004-04-06 Semiconductor and fabrication method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP14553398A JP4258034B2 (ja) 1998-05-27 1998-05-27 半導体装置及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH11340337A true JPH11340337A (ja) 1999-12-10
JP4258034B2 JP4258034B2 (ja) 2009-04-30

Family

ID=15387411

Family Applications (1)

Application Number Title Priority Date Filing Date
JP14553398A Expired - Fee Related JP4258034B2 (ja) 1998-05-27 1998-05-27 半導体装置及び半導体装置の製造方法

Country Status (2)

Country Link
US (2) US6750486B2 (ja)
JP (1) JP4258034B2 (ja)

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002043151A1 (en) * 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
WO2002047167A1 (fr) * 2000-12-08 2002-06-13 Hitachi, Ltd. Dispositif a semi-conducteur
JP2003110102A (ja) * 2001-10-02 2003-04-11 Hitachi Ltd 電力増幅用電界効果型半導体装置
JP2004311954A (ja) * 2003-01-17 2004-11-04 Sharp Corp 犠牲シャロートレンチアイソレーション酸化物ライナーを有する歪みシリコンチャネルcmos
US6849883B2 (en) 2002-09-02 2005-02-01 Oki Electric Industry Co., Ltd. Strained SOI MOSFET device and method of fabricating same
JP2005516389A (ja) * 2002-01-23 2005-06-02 スピネカ セミコンダクター, インコーポレイテッド 歪み半導体基板を用いてショットキまたはショットキのような接触を形成するソースおよび/またはドレインを有する電界効果トランジスタ
KR100495023B1 (ko) * 2000-12-28 2005-06-14 가부시끼가이샤 도시바 반도체 장치 및 그 제조 방법
JP2005197405A (ja) * 2004-01-06 2005-07-21 Toshiba Corp 半導体装置とその製造方法
JP2005244180A (ja) * 2004-01-27 2005-09-08 Fuji Electric Holdings Co Ltd 炭化けい素半導体素子の製造方法
JP2006513567A (ja) * 2003-01-08 2006-04-20 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体構造体およびその製造方法(歪みシリコンを用いた高性能の埋め込みdram技術)
US7056789B2 (en) 2001-08-23 2006-06-06 Sumitomo Mitsubishi Silicon Corporation Production method for semiconductor substrate and production method for field effect transistor and semiconductor substrate and field effect transistor
JP2006517343A (ja) * 2003-01-17 2006-07-20 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 引張歪み基板を有するmosfetデバイスおよびその作製方法
US7109568B2 (en) 2002-08-26 2006-09-19 Hitachi, Ltd. Semiconductor device including n-channel fets and p-channel fets with improved drain current characteristics
US7205617B2 (en) 2002-01-10 2007-04-17 Renesas Technology Corp. Semiconductor device including active regions and gate electrodes for field effect transistors, with a trench formed between the active regions
US7229892B2 (en) 2004-02-27 2007-06-12 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
JP2007515808A (ja) * 2003-12-23 2007-06-14 インテル・コーポレーション Cmos用歪トランジスタの集積化
US7244643B2 (en) 2001-11-26 2007-07-17 Hitachi, Ltd. Semiconductor device and manufacturing method thereof
JP2007227421A (ja) * 2006-02-21 2007-09-06 Nec Electronics Corp 半導体装置およびその製造方法
KR100782497B1 (ko) 2006-11-20 2007-12-05 삼성전자주식회사 얇은 응력이완 버퍼패턴을 갖는 반도체소자의 제조방법 및관련된 소자
JP2008311673A (ja) * 2000-12-08 2008-12-25 Renesas Technology Corp 半導体装置及びその製造方法
US7482671B2 (en) 2001-12-10 2009-01-27 Nec Corporation MOS semiconductor device isolated by a device isolation film
JP2009302979A (ja) * 2008-06-13 2009-12-24 Toshiba Corp 乱数生成装置
US8004084B2 (en) 2007-12-21 2011-08-23 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
JP2011243993A (ja) * 2011-07-04 2011-12-01 Semiconductor Energy Lab Co Ltd 半導体装置
JP2012253381A (ja) * 2012-08-22 2012-12-20 Renesas Electronics Corp 半導体装置
JP2014187375A (ja) * 2014-05-13 2014-10-02 Semiconductor Energy Lab Co Ltd 半導体装置
US9362273B2 (en) 2001-04-27 2016-06-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107653A (en) * 1997-06-24 2000-08-22 Massachusetts Institute Of Technology Controlling threading dislocation densities in Ge on Si using graded GeSi layers and planarization
US7227176B2 (en) * 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
US6602613B1 (en) 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
US6518644B2 (en) * 2000-01-20 2003-02-11 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
AU2001263211A1 (en) 2000-05-26 2001-12-11 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
EP1307917A2 (en) * 2000-08-07 2003-05-07 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel mosfet devices
EP1309989B1 (en) 2000-08-16 2007-01-10 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6649480B2 (en) 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6724008B2 (en) 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6849508B2 (en) * 2001-06-07 2005-02-01 Amberwave Systems Corporation Method of forming multiple gate insulators on a strained semiconductor heterostructure
WO2002103760A2 (en) * 2001-06-14 2002-12-27 Amberware Systems Corporation Method of selective removal of sige alloys
US6602758B2 (en) * 2001-06-15 2003-08-05 Agere Systems, Inc. Formation of silicon on insulator (SOI) devices as add-on modules for system on a chip processing
US7301180B2 (en) 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
EP1399974A1 (en) * 2001-06-21 2004-03-24 Massachusetts Institute Of Technology Mosfets with strained semiconductor layers
WO2003015142A2 (en) * 2001-08-06 2003-02-20 Massachusetts Institute Of Technology Formation of planar strained layers
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US7138649B2 (en) 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
US6831292B2 (en) * 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
AU2002341803A1 (en) 2001-09-24 2003-04-07 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
US6974737B2 (en) * 2002-05-16 2005-12-13 Spinnaker Semiconductor, Inc. Schottky barrier CMOS fabrication method
AU2003238963A1 (en) * 2002-06-07 2003-12-22 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
EP1530800B1 (en) 2002-08-23 2016-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor heterostructures having reduced dislocation pile-ups and related methods
US7594967B2 (en) * 2002-08-30 2009-09-29 Amberwave Systems Corporation Reduction of dislocation pile-up formation during relaxed lattice-mismatched epitaxy
US7191129B2 (en) * 2002-10-23 2007-03-13 International Business Machines Corporation System and method for data mining of contextual conversations
US20040203621A1 (en) * 2002-10-23 2004-10-14 International Business Machines Corporation System and method for queuing and bookmarking tekephony conversations
US7003286B2 (en) * 2002-10-23 2006-02-21 International Business Machines Corporation System and method for conference call line drop recovery
US7391763B2 (en) * 2002-10-23 2008-06-24 International Business Machines Corporation Providing telephony services using proxies
US7065198B2 (en) * 2002-10-23 2006-06-20 International Business Machines Corporation System and method for volume control management in a personal telephony recorder
US6902991B2 (en) * 2002-10-24 2005-06-07 Advanced Micro Devices, Inc. Semiconductor device having a thick strained silicon layer and method of its formation
JP2004172389A (ja) 2002-11-20 2004-06-17 Renesas Technology Corp 半導体装置およびその製造方法
US6903384B2 (en) * 2003-01-15 2005-06-07 Sharp Laboratories Of America, Inc. System and method for isolating silicon germanium dislocation regions in strained-silicon CMOS applications
US7332417B2 (en) * 2003-01-27 2008-02-19 Amberwave Systems Corporation Semiconductor structures with structural homogeneity
JP2004281764A (ja) * 2003-03-17 2004-10-07 Seiko Epson Corp 半導体装置およびその製造方法
US7279746B2 (en) * 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US6905923B1 (en) 2003-07-15 2005-06-14 Advanced Micro Devices, Inc. Offset spacer process for forming N-type transistors
US7163867B2 (en) * 2003-07-28 2007-01-16 International Business Machines Corporation Method for slowing down dopant-enhanced diffusion in substrates and devices fabricated therefrom
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
CN100536167C (zh) * 2003-08-05 2009-09-02 富士通微电子株式会社 半导体装置及其制造方法
JP2005072084A (ja) * 2003-08-28 2005-03-17 Toshiba Corp 半導体装置及びその製造方法
US7495267B2 (en) * 2003-09-08 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a strained region and a method of fabricating same
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
JP4322706B2 (ja) * 2004-02-27 2009-09-02 株式会社東芝 半導体装置の製造方法
US20050191812A1 (en) * 2004-03-01 2005-09-01 Lsi Logic Corporation Spacer-less transistor integration scheme for high-k gate dielectrics and small gate-to-gate spaces applicable to Si, SiGe strained silicon schemes
JP4177775B2 (ja) * 2004-03-16 2008-11-05 株式会社東芝 半導体基板及びその製造方法並びに半導体装置
US7078723B2 (en) * 2004-04-06 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device with depth adjustable sill
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
DE102004031708B4 (de) * 2004-06-30 2008-02-07 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Herstellen eines Substrats mit kristallinen Halbleitergebieten unterschiedlicher Eigenschaften
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
JP2006066573A (ja) * 2004-08-26 2006-03-09 Seiko Epson Corp 半導体装置および半導体装置の製造方法
DE102004057764B4 (de) * 2004-11-30 2013-05-16 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Substrats mit kristallinen Halbleitergebieten mit unterschiedlichen Eigenschaften, die über einem kristallinen Vollsubstrat angeordnet sind und damit hergestelltes Halbleiterbauelement
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7125759B2 (en) * 2005-03-23 2006-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor-on-insulator (SOI) strained active areas
US7037856B1 (en) * 2005-06-10 2006-05-02 Sharp Laboratories Of America, Inc. Method of fabricating a low-defect strained epitaxial germanium film on silicon
US7528028B2 (en) * 2005-06-17 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Super anneal for process induced strain modulation
US20070010073A1 (en) * 2005-07-06 2007-01-11 Chien-Hao Chen Method of forming a MOS device having a strained channel region
JP2007158295A (ja) * 2005-11-10 2007-06-21 Seiko Epson Corp 半導体装置および半導体装置の製造方法
US7285480B1 (en) * 2006-04-07 2007-10-23 International Business Machines Corporation Integrated circuit chip with FETs having mixed body thicknesses and method of manufacture thereof
US7772060B2 (en) * 2006-06-21 2010-08-10 Texas Instruments Deutschland Gmbh Integrated SiGe NMOS and PMOS transistors
US7651918B2 (en) * 2006-08-25 2010-01-26 Freescale Semiconductor, Inc. Strained semiconductor power device and method
JP2008071890A (ja) * 2006-09-13 2008-03-27 Toshiba Corp 半導体装置及びその製造方法
US7572712B2 (en) 2006-11-21 2009-08-11 Chartered Semiconductor Manufacturing, Ltd. Method to form selective strained Si using lateral epitaxy
US7598142B2 (en) * 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
US8344447B2 (en) * 2007-04-05 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon layer for stopping dislocation propagation
US7795119B2 (en) * 2007-07-17 2010-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Flash anneal for a PAI, NiSi process
KR20090038242A (ko) * 2007-10-15 2009-04-20 삼성전자주식회사 전하를 트랩하는 광전 발생부를 포함하는 이미지 센서
US20100102393A1 (en) * 2008-10-29 2010-04-29 Chartered Semiconductor Manufacturing, Ltd. Metal gate transistors
US8053304B2 (en) * 2009-02-24 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming high-mobility devices including epitaxially growing a semiconductor layer on a dislocation-blocking layer in a recess formed in a semiconductor substrate
DE102009021484B4 (de) * 2009-05-15 2014-01-30 Globalfoundries Dresden Module One Llc & Co. Kg Höhere Gleichmäßigkeit einer Kanalhalbleiterlegierung durch Herstellen von STI-Strukturen nach dem Aufwachsprozess
US8834956B2 (en) * 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8138523B2 (en) * 2009-10-08 2012-03-20 International Business Machines Corporation Semiconductor device having silicon on stressed liner (SOL)
CN101866834B (zh) * 2009-12-11 2011-09-14 清华大学 高Ge组分SiGe材料的方法
US8716752B2 (en) * 2009-12-14 2014-05-06 Stmicroelectronics, Inc. Structure and method for making a strained silicon transistor
US8486769B2 (en) * 2010-11-19 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metrology structures from fins in integrated circuitry
US8633076B2 (en) 2010-11-23 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for adjusting fin width in integrated circuitry
US9472550B2 (en) 2010-11-23 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Adjusted fin width in integrated circuitry
FR2974236A1 (fr) * 2011-04-15 2012-10-19 St Microelectronics Sa Procede de fabrication d'un transistor mos sur sige
US8470660B2 (en) * 2011-09-16 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US8377773B1 (en) 2011-10-31 2013-02-19 Globalfoundries Inc. Transistors having a channel semiconductor alloy formed in an early process stage based on a hard mask
US9466670B2 (en) 2014-03-12 2016-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Sandwich epi channel for device enhancement
KR20160061615A (ko) * 2014-11-24 2016-06-01 삼성전자주식회사 반도체 장치의 제조 방법
US10529738B2 (en) * 2016-04-28 2020-01-07 Globalfoundries Singapore Pte. Ltd. Integrated circuits with selectively strained device regions and methods for fabricating same
US10593672B2 (en) 2018-01-08 2020-03-17 International Business Machines Corporation Method and structure of forming strained channels for CMOS device fabrication

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5847419A (en) * 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US5951757A (en) * 1997-05-06 1999-09-14 The United States Of America As Represented By The Secretary Of The Navy Method for making silicon germanium alloy and electric device structures
US6410941B1 (en) * 2000-06-30 2002-06-25 Motorola, Inc. Reconfigurable systems using hybrid integrated circuits with optical ports

Cited By (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7115954B2 (en) 2000-11-22 2006-10-03 Renesas Technology Corp. Semiconductor device including stress inducing films formed over n-channel and p-channel field effect transistors and a method of manufacturing the same
US9978869B2 (en) 2000-11-22 2018-05-22 Renesas Electronics Corporation P-channel transistor having an increased channel mobility due to a compressive stress-inducing gate electrode
US9412669B2 (en) 2000-11-22 2016-08-09 Renesas Electronics Corporation Semiconductor device and a method of manufacturing the same
US8963250B2 (en) 2000-11-22 2015-02-24 Renesas Electronics Corporation Semiconductor device including a film for applying stress to a channel formation region to increase current flow
JPWO2002043151A1 (ja) * 2000-11-22 2004-04-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP2012124507A (ja) * 2000-11-22 2012-06-28 Renesas Electronics Corp 半導体装置の製造方法
JP4597479B2 (ja) * 2000-11-22 2010-12-15 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US7705402B2 (en) 2000-11-22 2010-04-27 Renesas Technology Corp. Semiconductor device including a nitride containing film to generate stress for improving current driving capacity of a field effect transistor
JP2008294457A (ja) * 2000-11-22 2008-12-04 Renesas Technology Corp 半導体装置及びその製造方法
JP2008288606A (ja) * 2000-11-22 2008-11-27 Renesas Technology Corp 半導体装置及びその製造方法
US7414293B2 (en) 2000-11-22 2008-08-19 Renesas Technology Corp. Structure and method of applying localized stresses to the channels of PFET and NFET transistors for improved performance
WO2002043151A1 (en) * 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
US7411253B2 (en) 2000-11-22 2008-08-12 Renesas Technology Corp. CMOS transistors using gate electrodes to increase channel mobilities by inducing localized channel stress
US6982465B2 (en) 2000-12-08 2006-01-03 Renesas Technology Corp. Semiconductor device with CMOS-field-effect transistors having improved drain current characteristics
JP2012178568A (ja) * 2000-12-08 2012-09-13 Renesas Electronics Corp 半導体装置
JP2008311673A (ja) * 2000-12-08 2008-12-25 Renesas Technology Corp 半導体装置及びその製造方法
WO2002047167A1 (fr) * 2000-12-08 2002-06-13 Hitachi, Ltd. Dispositif a semi-conducteur
CN100382315C (zh) * 2000-12-08 2008-04-16 株式会社日立制作所 半导体器件
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
KR100495023B1 (ko) * 2000-12-28 2005-06-14 가부시끼가이샤 도시바 반도체 장치 및 그 제조 방법
US9362273B2 (en) 2001-04-27 2016-06-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US9997543B2 (en) 2001-04-27 2018-06-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
US7056789B2 (en) 2001-08-23 2006-06-06 Sumitomo Mitsubishi Silicon Corporation Production method for semiconductor substrate and production method for field effect transistor and semiconductor substrate and field effect transistor
JP2003110102A (ja) * 2001-10-02 2003-04-11 Hitachi Ltd 電力増幅用電界効果型半導体装置
US7244643B2 (en) 2001-11-26 2007-07-17 Hitachi, Ltd. Semiconductor device and manufacturing method thereof
US7482671B2 (en) 2001-12-10 2009-01-27 Nec Corporation MOS semiconductor device isolated by a device isolation film
US7205617B2 (en) 2002-01-10 2007-04-17 Renesas Technology Corp. Semiconductor device including active regions and gate electrodes for field effect transistors, with a trench formed between the active regions
JP2005516389A (ja) * 2002-01-23 2005-06-02 スピネカ セミコンダクター, インコーポレイテッド 歪み半導体基板を用いてショットキまたはショットキのような接触を形成するソースおよび/またはドレインを有する電界効果トランジスタ
US7109568B2 (en) 2002-08-26 2006-09-19 Hitachi, Ltd. Semiconductor device including n-channel fets and p-channel fets with improved drain current characteristics
US6849883B2 (en) 2002-09-02 2005-02-01 Oki Electric Industry Co., Ltd. Strained SOI MOSFET device and method of fabricating same
JP2006513567A (ja) * 2003-01-08 2006-04-20 インターナショナル・ビジネス・マシーンズ・コーポレーション 半導体構造体およびその製造方法(歪みシリコンを用いた高性能の埋め込みdram技術)
JP2004311954A (ja) * 2003-01-17 2004-11-04 Sharp Corp 犠牲シャロートレンチアイソレーション酸化物ライナーを有する歪みシリコンチャネルcmos
JP2006517343A (ja) * 2003-01-17 2006-07-20 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 引張歪み基板を有するmosfetデバイスおよびその作製方法
JP2007515808A (ja) * 2003-12-23 2007-06-14 インテル・コーポレーション Cmos用歪トランジスタの集積化
JP2005197405A (ja) * 2004-01-06 2005-07-21 Toshiba Corp 半導体装置とその製造方法
US7737466B1 (en) 2004-01-06 2010-06-15 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
JP4691989B2 (ja) * 2004-01-27 2011-06-01 富士電機システムズ株式会社 炭化けい素半導体素子の製造方法
JP2005244180A (ja) * 2004-01-27 2005-09-08 Fuji Electric Holdings Co Ltd 炭化けい素半導体素子の製造方法
US7229892B2 (en) 2004-02-27 2007-06-12 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
JP2007227421A (ja) * 2006-02-21 2007-09-06 Nec Electronics Corp 半導体装置およびその製造方法
KR100782497B1 (ko) 2006-11-20 2007-12-05 삼성전자주식회사 얇은 응력이완 버퍼패턴을 갖는 반도체소자의 제조방법 및관련된 소자
US8004084B2 (en) 2007-12-21 2011-08-23 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
JP2009302979A (ja) * 2008-06-13 2009-12-24 Toshiba Corp 乱数生成装置
JP2011243993A (ja) * 2011-07-04 2011-12-01 Semiconductor Energy Lab Co Ltd 半導体装置
JP2012253381A (ja) * 2012-08-22 2012-12-20 Renesas Electronics Corp 半導体装置
JP2014187375A (ja) * 2014-05-13 2014-10-02 Semiconductor Energy Lab Co Ltd 半導体装置

Also Published As

Publication number Publication date
US20040188723A1 (en) 2004-09-30
US6750486B2 (en) 2004-06-15
US20010003364A1 (en) 2001-06-14
JP4258034B2 (ja) 2009-04-30
US6841430B2 (en) 2005-01-11

Similar Documents

Publication Publication Date Title
JP4258034B2 (ja) 半導体装置及び半導体装置の製造方法
US9812530B2 (en) High germanium content silicon germanium fins
KR100392166B1 (ko) 반도체 장치의 제조 방법 및 반도체 장치
EP1683187B1 (en) Stressed semiconductor device structures having granular semiconductor material
US7423303B2 (en) Strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
JP3372158B2 (ja) 半導体装置及びその製造方法
US7485929B2 (en) Semiconductor-on-insulator (SOI) strained active areas
US20040026765A1 (en) Semiconductor devices having strained dual channel layers
US20030013305A1 (en) Method of producing semiconductor device and semiconductor substrate
US7018882B2 (en) Method to form local “silicon-on-nothing” or “silicon-on-insulator” wafers with tensile-strained silicon
US7303966B2 (en) Semiconductor device and method of manufacturing the same
GB2469240A (en) Fabrication of a stressed MOS device
US20070145481A1 (en) Silicon-on-insulator chip having multiple crystal orientations
JP4177775B2 (ja) 半導体基板及びその製造方法並びに半導体装置
US7238588B2 (en) Silicon buffered shallow trench isolation
KR20040011368A (ko) 반도체 장치의 제조 방법
US8148272B2 (en) Application of millisecond heating source for surface treatment
US20070045610A1 (en) Transistor device with strained germanium (Ge) layer by selectively growth and fabricating method thereof
JPH11163343A (ja) 半導体装置およびその製造方法
US8309472B2 (en) Method of rapid thermal treatment using high energy electromagnetic radiation of a semiconductor substrate for formation of epitaxial materials
JP2004055943A (ja) 半導体装置とその製造方法
JP2004296744A (ja) 半導体装置の製造方法
JP2007109690A (ja) 半導体装置およびその製造方法
JP2007207960A (ja) 半導体基板、半導体基板の製造方法及び半導体装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050204

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080327

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080909

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081107

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090113

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090126

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120220

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120220

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130220

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees