JP2006517343A - 引張歪み基板を有するmosfetデバイスおよびその作製方法 - Google Patents

引張歪み基板を有するmosfetデバイスおよびその作製方法 Download PDF

Info

Publication number
JP2006517343A
JP2006517343A JP2006502832A JP2006502832A JP2006517343A JP 2006517343 A JP2006517343 A JP 2006517343A JP 2006502832 A JP2006502832 A JP 2006502832A JP 2006502832 A JP2006502832 A JP 2006502832A JP 2006517343 A JP2006517343 A JP 2006517343A
Authority
JP
Japan
Prior art keywords
layer
gate
silicon
deposition
spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006502832A
Other languages
English (en)
Other versions
JP2006517343A5 (ja
Inventor
バン エヌジーオー ミン
アール. ベセル ポール
リン ミン−レン
ワン ハイホン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2006517343A publication Critical patent/JP2006517343A/ja
Publication of JP2006517343A5 publication Critical patent/JP2006517343A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/90MOSFET type gate sidewall insulating spacer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

代表的な一実施形態は、金属酸化膜半導体電界効果トランジスタ(MOSFET)を形成するための方法に関する。この方法は、上部にゲート(54)が形成されている基板(40)を提供するステップと、前記基板(40)の上部のシリコンの層(42)の上部に位置するゲート(54)およびゲート絶縁物(56)の周りにスペーサ層を堆積させ、スペーサ(60)を形成するステップと、前記スペーサ(60)、前記ゲート(54)、および前記シリコンの層(42)の上部にエッチ・ストップ層(63)を堆積するステップと、前記エッチ・ストップ層(63)の上部に絶縁膜(65)を堆積するステップと、の少なくとも1つを実行するステップと、を有する。前記スペーサ層の堆積、エッチ・ストップ層(63)の堆積、および絶縁膜(65)の堆積の少なくとも1つは、高圧縮堆積を含んでおり、これによって前記シリコンの層(42)内の引張歪みを増大させる。

Description

本開示は、一般に集積回路および集積回路の製造方法に関する。より詳細には、本開示は、引張歪み基板を有する半導体ならびにそのような半導体の作製方法に関する。
半導体製品は、金属酸化膜半導体電界効果トランジスタ(MOSFET)などの半導体デバイスの性能を改善すべく、さまざまな技術を利用している。図1は、従来のMOSFETデバイスを示す。図1のMOSFETは半導体基板10上の活性領域内に作製されており、この活性領域は、基板10に作製されているほかのIC素子から、MOSFETの活性領域を電気的に絶縁する浅い(シャロー)トレンチ・アイソレーション12に囲まれている。
MOSFETはゲート電極14を有し、このゲート電極14は酸化シリコンまたは酸化物−窒化物−酸化物(ONO)などの薄い第1のゲート絶縁物16によって基板10にあるチャネル領域から分離されている。ゲート14は、ゲート14の抵抗を極力下げるため、通常はポリシリコンなどのドープ半導体材料から形成される。
MOSFETのソースとドレインは、ゲート14の両側に形成された深いソース領域およびドレイン領域18として設けられる。ソース・シリサイドおよびドレイン・シリサイド20は、ソース領域およびドレイン領域18上に形成され、ソース領域およびドレイン領域18との接触抵抗を低減させるため、基板半導体材料と、コバルト(Co)またはニッケル(Ni)などの金属とを含む化合物を含んでいる。ソース領域およびドレイン領域18は、ソース・シリサイドおよびドレイン・シリサイド20の形成深さよりも深く形成されている。ソース領域およびドレイン領域18に不純物を注入する前に、ゲート14およびゲート絶縁物16の周囲にスペーサ28が形成され、このスペーサ28は、ゲートの下のチャネル領域に対するソース領域およびドレイン領域18の横方向の位置を定める注入マスクとして機能する。
同様に、ゲート14の上部にはシリサイド24が形成されている。ポリシリコン材料とその上に形成されたシリサイドとを含むゲート構造は、時にポリサイド・ゲートと呼ばれることがある。
さらに、MOSFETのソースとドレインは、浅いソース拡張部およびドレイン拡張部26を有する。MOSFETの寸法の縮小化に伴い、ソースとドレイン間の距離が短くなり、これが原因で短チャネル効果が発生し、MOSFETの性能が劣化してしまう。チャネルの端部近傍で、深いソース領域とドレイン領域ではなく浅いソース拡張部およびドレイン拡張部26を使用することは、短チャネル効果を低減させる効果がある。浅いソース拡張部およびドレイン拡張部は、スペーサ22を形成する前に不純物が注入されており、ゲート14は、チャネル領域18に対する浅いソース拡張部およびドレイン26の横方向の位置を定める注入マスクとして機能する。続いて行うアニーリング中に拡散が起こり、ソース拡張部およびドレイン拡張部26が僅かにゲート14の下まで広がる。
MOSFETの性能を向上させるための1つの選択肢として、抵抗と電力消費を低減させ、駆動電流、周波数応答および動作速度を向上させるために、シリコンのキャリア移動度を上げることがある。最近注目を集めているキャリア移動度の向上方法として、引張歪みのかかったシリコン材料を使用する方法がある。
「歪み」のかかったシリコンは、シリコン・ゲルマニウム基板上にシリコン層を成長させることによって形成できる。シリコン・ゲルマニウム格子は、格子内に大きなゲルマニウム原子が存在するため、一般に純粋シリコンの格子よりも格子間隔が広くなっている。シリコン格子の原子が、間隔の広いシリコン・ゲルマニウム格子に合わせて並ぶため、シリコン層に引張歪みが生じる。シリコン原子は、基本的には相互に引き離される。シリコン・ゲルマニウム格子中のゲルマニウムの比率が増えれば、シリコン格子にかかる引張歪みが大きくなる。
歪みのない状態のシリコンは、等しい価電子帯を6つ有する。シリコン格子に引張歪みをかけると、価電子帯のうちの4つのエネルギーが増大し、価電子帯のうちの2つのエネルギーが減少する。電子が下位のエネルギー・バンドを通過する際に、量子効果により、実質的に(effectively)重量が30パーセント減少する。このため、下位のエネルギー・バンドでは電子流の抵抗が低くなる。さらに、電子が受けるシリコン原子核からの振動エネルギーが下がり、このため電子の散乱が、歪みのないシリコンと比べて500〜1000倍の割合で減少する。この結果、歪みシリコンでは、歪みのないシリコンと比べキャリア移動度が劇的に増加し、電子の移動度では80%以上、ホールの移動度では20%以上の上昇が得られる可能性がある。この移動度の増加は、最大1.5メガボルト/センチメートルの電流界について持続することがわかっている。これらの要因によって、デバイスのサイズをさらに縮小せずにデバイスの速度を35%向上でき、デバイスの性能の低下を招くことなく電力消費を25%減少できると考えられている。
歪みシリコン層を使用したMOSFETの例が図2に示される。このMOSFETは、歪みシリコンのエピタキシャル層32が形成されているシリコン・ゲルマニウム層30を含む基板上に作製されている。このMOSFETは、深いソース領域およびドレイン領域18、浅いソース拡張部およびドレイン拡張部26、ゲート酸化膜16、スペーサ28,22に囲まれたゲート14、シリサイドのソース接合部およびドレイン接合部20、シリサイド・ゲート接合部24、ならびに浅いトレンチ・アイソレーション12を有する従来のMOSFET構造を使用している。MOSFETのチャネル領域は歪みシリコン材料を含んでおり、ソースとドレイン間のキャリア移動度が向上している。
図2のタイプの歪みシリコンMOSFETの好ましくない特性の1つに、シリコン・ゲルマニウムのバンド・ギャップがシリコンのバンド・ギャップよりも低い点がある。言い換えれば、電子を伝導帯に移動させるのに必要なエネルギーの量が、シリコン・ゲルマニウム格子のほうがシリコン格子よりも平均的に少ない。この結果、シリコン・ゲルマニウムにソース領域およびドレイン領域を形成したデバイスの接合リーク(junction leakage)が、シリコンにソース領域およびドレイン領域を形成した同等のデバイスよりも大きくなる。
図2のタイプの歪みシリコンMOSFETの別の好ましくない特性に、シリコン・ゲルマニウムの誘電率がシリコンの誘電率よりも高い点がある。この結果、シリコン・ゲルマニウムを採用したMOSFETは寄生容量が大きく、このためデバイスの電力消費が大きくなり、駆動電流および周波数応答が低下する。
このため、MOSFETの設計に歪みシリコンを採用することによって得られる利点は、シリコン・ゲルマニウム基板を使用することで生じる不都合によって一部打ち消されてしまう。
このため、シリコン上に層を高圧縮堆積することで、シリコンに歪みをかけるMOSFET製造プロセスが必要とされている。また、シリコン・ゲルマニウム層を変えずに、シリコンMOSFETの引張歪みを増大させることが必要とされている。さらに、歪みシリコンを使用してキャリア移動度を上げることが必要とされている。
代表的な一実施形態は、金属酸化膜半導体電界効果トランジスタ(MOSFET)を形成するための方法に関する。この方法は、上部にゲートが形成されている基板を提供するステップと、前記基板の上部のシリコンの層の上部に位置するゲートおよびゲート絶縁物の周りにスペーサ層を堆積させ、スペーサを形成するステップ、前記スペーサ、前記ゲート、および前記シリコンの層の上部にエッチ・ストップ層を堆積するステップ、前記エッチ・ストップ層の上部に絶縁膜を堆積するステップのうちの少なくとも1つの堆積ステップを実行するステップとを有する。前記スペーサ層の堆積、エッチ・ストップ層の堆積、および絶縁膜の堆積のうちの少なくとも1つは、高圧縮堆積を含んでおり、これによって前記シリコンの層内の引張歪みを増大させる。
別の代表的な実施形態は、その上にシリコン材料の層が形成されているシリコン・ゲルマニウムの層、ならびに前記シリコン層に形成されているゲート絶縁層と、前記ゲート絶縁層に形成されているゲート導電層とのうちの少なくとも1つを含む基板を提供するステップを有する金属酸化膜半導体電界効果トランジスタ(MOSFET)を形成するための方法に関する。この方法は、前記シリコン層の上にゲートおよびゲート絶縁物を形成するために前記ゲート導電層およびゲート絶縁層をパターニングするステップと、前記ゲートおよびゲート絶縁物の周りにスペーサを形成するステップと、前記スペーサおよび前記ゲートの上部にエッチ・ストップ層を形成するステップと、前記シリコンの層を圧縮する高圧縮堆積プロセスにおいて前記エッチ・ストップ層の上部に層間絶縁膜を形成して、前記シリコンの層内の引張歪みを増大させるステップとを有する。
別の代表的な実施形態は、シリコン層の上部にゲートを設けるステップであって、前記ゲートは、前記ゲートの近傍の横方向の側壁にスペーサを有するステップと、前記ゲートおよびスペーサの上部に、前記シリコン層内に歪みを生じさせる高圧縮堆積でエッチ・ストップ層を形成するステップと、前記エッチ・ストップ層の上部に、前記シリコン層に歪みを生じさせる高圧縮堆積で絶縁膜を形成するステップとを有するトランジスタの処理方法に関する。
本発明のその他の主要な特徴および効果は、添付の図面、詳細な説明および添付の特許請求の範囲を検討すれば、当業者にとって明らかであろう。
以下に、本開示の代表的な実施形態を添付の図面を参照して記載する。図面において、同一の参照符号は同じ要素を参照している。
図3a〜3iは、代表的な一実施形態に従って歪みシリコンMOSFETデバイスの製造中に形成される構造を示す。図3aは、表面にシリコンのエピタキシャル層42が形成されているシリコン・ゲルマニウムの層40を含む構造を示す。シリコン・ゲルマニウム層40の組成は、好ましくはSi1−xGeであり、xは約0.2、より一般的には0.1〜0.3の範囲にある。
シリコン・ゲルマニウム層40は、通常はシリコン・ウェハに成長させる。シリコン・ゲルマニウムは、例えば、化学気相成長法を使用して、Si(ジシラン)とGeH(ゲルマン)を原料ガスとして、基板温度600〜900℃、Si分圧30mPa、GeH分圧60mPaで成長させ得る。別法によるプロセスではSiH(シラン)を使用してもよい。シリコン・ゲルマニウム材料の成長は、上記の比率を使用して開始してもよいし、別の実施形態では組成に勾配をつけるために、GeHの分圧を低圧またはゼロから段階的に上げていってもよい。シリコン・ゲルマニウム層の厚さは、特定の用途に応じて決定され得る。歪みシリコン層42を成長させるシリコン・ゲルマニウム基板40の上部は、均一な組成を有している必要がある。
シリコン層42は、好ましくは化学気相成長法(CVD)を使用して、分圧30mPaのSiを原料ガスとして、基板温度約600〜900℃で成長させる。シリコン層42は、好ましくは膜厚200ナノメートルに成長させる。
さらに図3aに示すように、シリコン層42上にゲート絶縁層44が形成される。ゲート絶縁層44は、通常は酸化シリコンであるが、酸化物−窒化物−酸化物(ONO)などの別の材料であってもよい。酸化物は、歪みシリコン層の熱酸化によって成長させることもできるが、好ましくは化学気相成長法によって堆積される。
ゲート絶縁層44の上にゲート導電層46が形成される。ゲート導電層46は、通常はポリシリコンを含むが、別法としてゲルマニウムを注入したポリシリコンなどの別の材料を含んでもよい。
ゲート導電層46は、下部反射防止コーティング(BARC)とも呼ばれる下部ハードマスク層48と、上部ハードマスク層50とを含む二層ハードマスク構造で被覆される。下部ハードマスク層48は通常は酸化シリコン(SiOなど)であり、上部ハードマスク層50は通常は窒化シリコン(SiNなど)である。
シリコン・ゲルマニウム基板には、浅いトレンチ・アイソレーション52も形成されている。浅いトレンチ・アイソレーションは、側壁をテーパー形状としたトレンチをシリコン・ゲルマニウム層40とシリコン層42に形成し、熱酸化を短時間行ってから、低圧CVD(LPCVD)TEOSまたは常圧オゾンTEOSなどによって、酸化シリコンの層を、トレンチを埋めるのに十分な厚さに堆積することによって形成できる。次に、化学的機械研磨またはエッチ・バック・プロセスなどによってシリコン酸化層を緻密化および平坦化させ、シリコン層42の表面とほぼ同じ高さの浅いトレンチ・アイソレーション52を露出させる。
図3bは、ゲート54と自己整合ゲート絶縁物56を形成するため、図3aの構造を、ゲート導電層およびゲート絶縁層をパターニングした後の状態を示す。パターニングは、一連の異方性エッチングを使用して行われ、フォトレジスト・マスクをエッチ・マスクとして使用して上部ハードマスク層50をパターニングしてから、パターニングした上部ハードマスク層50をエッチ・マスクとして使用して下部ハードマスク層48をパターニングして、パターニングした下部ハードマスク層48をエッチ・マスクとして使用してポリシリコンをパターニングし、ゲート54をハードマスクとして使用してゲート絶縁層をパターニングする。下部ハードマスク層48の膜厚は、図3bに示すように、ゲート絶縁層のパターニングの後、下部ハードマスク層の一部が保護キャップ58としてゲート上に残るような膜厚に選択される。
図3cは、図3bの構造に、ゲート54、ゲート絶縁物56および保護キャップ58の周りにスペーサ60を形成した後の状態を示す。スペーサ60は、好ましくは、保護材料を共形的な(conformal)層に堆積してから、異方性エッチングを行って、垂直面以外から保護材料を除去してスペーサ60を残すことで形成される。スペーサ60は、好ましくは酸化シリコンまたは窒化シリコンから形成される。
代表的な一実施形態では、スペーサ60の形成時に用いる共形的な層は、プラズマ化学気相成長法(PECVD)プロセスを使用して堆積される。このPECVDプロセスは、好ましくはシリコン層42に引張歪みをかける高圧縮堆積(high compression deposition)である。高圧縮堆積は、バイアスRF電力をかけ、これによりシリコン層42に高いイオン衝突を与えて圧縮することにより行うことができる。
図3dは、図3cの構造の、ゲート54、保護キャップ58、スペーサ60およびシリコン層42の上にエッチ・ストップ層(ESL)63を共形的に堆積させた後の状態を示す。代表的な一実施形態では、エッチ・ストップ層63は、シリコン層42の引張歪みを増大させるため、高圧縮を伴うPECVDプロセスによって堆積させる。高圧縮堆積は、高いイオン衝突により行うことができる。
図3eは、図3dの構造に、層間絶縁(ILD)膜65を堆積した後の状態を示す。ILD膜65は、エッチ・ストップ層63の上に共形的に堆積される。ILD膜65は、好ましくは高圧縮PECVDプロセスによって堆積される。高圧縮堆積は、引張歪みをかけてシリコン層42内の圧縮を増大させ、これによりキャリア移動度を高める。
ライナ層または別のスペーサ層などのほかの層を堆積してもよい。シリコン層42内の引張歪みを増大させるため、このような追加層も高圧縮堆積技術によって堆積してもよい。
図3a〜3eに示す処理は好ましい本実施形態を示すものであるが、さまざまな代替例を実施することができる。したがって、本発明に従って各種の実施形態を実施することができる。一般に、このような実施形態は、シリコン・ゲルマニウム層上に設けた歪みシリコンのチャネル領域と、ゲート両側に設けたシリコン領域内に形成したソース領域およびドレイン領域とを有するMOSFETを含んでいる。ソース領域とドレイン領域は、シリコン領域の深さよりも深く形成されることはなく、このため従来のシリコン・ゲルマニウムを使用した実装での好ましくない接合リークと寄生容量が減少する。
代替の実施形態では、SiGeの処理後に炉拡散を使用して、湿式酸化の洗浄サイクルを実行することでSiGe以外の材料を処理することができる。この湿式酸化サイクルでは、高温HO酸化が行われ、Geが、揮発性を有するGeの酸化物に変換される。このようなプロセスを繰り返し、汚染を検出限界以下まで低減させることができる。
別の代替実施形態では、歪みSi技術を、完全欠乏型(fully depleted)シリコン・オン・インシュレータ(SOI)と組み合わせてもよい。しかし、歪みシリコンは下層のSiGe層に支持されており、SiGeを除去すると歪みが消失することもあるという課題がある。SiGeと同等の格子定数を有する単結晶の高(High)k材料を導入することで、歪みを維持することができる。例えば、DySiOまたはGdSiOによって20%SiGeを得ることができる。
別の代替実施形態では、シリコン・ダイの上面にエポキシ封止やその他の適切な材料の封止が設けられる。封止材料の特性を変えることにより、シリコン・ダイ内の応力を変えて、引張応力を生じさせることができる。上記したように、引張応力によりキャリア移動度が改善され、デバイス速度が改善される。引張応力を増加させる別の方法として、ドーム形状の金属基板を用いて、その上にダイを配置する方法がある。ドーム形状は、プレス加工(stamping)またはエッチングによって製造することができる。ドーム形状は、シリコン・ダイに物理的応力をかけ、引張応力を生じさせる。
図4は、図3a〜3eの好ましい実施形態、上述した代替例およびその他の代替例を包含するプロセス・フローを示す。最初に、操作80で基板を提供する。基板は、シリコン・ゲルマニウムの層を含み、その上にシリコンの層が形成されている。基板はさらに、歪みシリコン層上に形成されたゲート絶縁物と、ゲート絶縁物上に形成されたゲートとを有する。操作82で、ゲートおよびゲート絶縁物の周りにスペーサ層を堆積し、スペーサを形成する。代表的な一実施形態では、圧縮を生じさせ、このため下部のシリコン層内に引張歪みを生じさせる高圧縮法によってスペーサ層を堆積する。
操作84で、ゲート、スペーサおよびシリコン層の上部にエッチ・ストップ層を共形的に設ける。代表的な一実施形態では、エッチ・ストップ層は、シリコン層内の引張歪みを増大させる高圧縮法によって堆積する。操作86で、エッチ・ストップ層の上部に層間絶縁(ILD)膜を堆積する。別の実施形態では、任意の層材料を堆積してもよい。代表的な一実施形態では、ILD膜は、高圧縮PECVDプロセスによって堆積する。高圧縮堆積は、操作82,84,86の堆積のうちの少なくとも1つに使用され得る。別の実施形態では、3つの操作82,84,86の全てに高圧縮堆積が使用されてもよい。操作88で、構造の処理が行われ、これには、ソース領域およびドレイン領域のためのコンタクト、金属相互接続、IMD層およびパッシベーション層などのさまざまな任意の特徴の形成が含まれる。
上記のプロセスに記載した作業が、ほかの作業と共に行われないわけでは必ずしもなく、形成する特定の構造によっては、上記のプロセスに別の作業を取り入れてもよいことは、当業者にとって明らかであろう。例えば、特に中間の処理作業は前述の作業と共に実行することができ、このような作業には、処理作業の間に実施されるパッシベーション層または保護膜の形成および除去、フォトレジスト・マスクやその他のマスク層の形成および除去、ドーピングおよび逆ドーピング(counter-doping)、洗浄、平坦化やその他の作業などがある。
代表的な実施形態の記載に記載したプロセスは、ウェハ全体など基板全体に実行する必要はなく、基板の一部に選択的に実行してもよい。このため、図面に例示し上に記載した実施形態が現時点での好適な実施形態ではあるが、これらの実施形態は例に過ぎないということを理解されたい。本発明は、特定の実施形態に限定されず、特許請求の範囲に記載されている発明およびその均等物の範囲に含まれる各種の変更例、組合せ、入れ替えに及ぶ。
従来の処理に従って形成された従来のMOSFETの模式断面図である。 従来の処理に従って形成された、図1のMOSFETの形成に使用する歪みシリコンMOSFETデバイスの模式断面図である。 代表的な一実施形態によるMOSFETデバイスの製造時に形成される構造の模式断面図である。 代表的な一実施形態によるMOSFETデバイスの製造時に形成される構造の模式断面図である。 代表的な一実施形態によるMOSFETデバイスの製造時に形成される構造の模式断面図である。 代表的な一実施形態によるMOSFETデバイスの製造時に形成される構造の模式断面図である。 代表的な一実施形態によるMOSFETデバイスの製造時に形成される構造の模式断面図である。 代表的な一実施形態および別の実施形態を包含するプロセス・フローである。

Claims (10)

  1. 金属酸化膜半導体電界効果トランジスタ(MOSFET)を形成するための方法であって、
    上部にゲート(54)が形成されている基板(40)を提供するステップと、
    前記基板(40)の上部のシリコンの層(42)の上部に位置するゲート(54)およびゲート絶縁物(56)の周りにスペーサ層を堆積させ、スペーサ(60)を形成するステップ、
    前記スペーサ(60)、前記ゲート(54)、および前記シリコンの層(42)の上部にエッチ・ストップ層(63)を堆積するステップ、
    前記エッチ・ストップ層(63)の上部に絶縁膜(65)を堆積するステップのうちの少なくとも1つの堆積するステップを実行するステップとを有し、
    前記スペーサ層の堆積、エッチ・ストップ層(63)の堆積、および絶縁膜(65)の堆積の少なくとも1つは、高圧縮堆積を含んでおり、これによって前記シリコンの層(42)内の引張歪みを増大させる方法。
  2. スペーサ層の堆積、エッチ・ストップ層(63)の堆積、および絶縁膜(65)の堆積のすべては高圧縮技術を含む請求項1に記載の方法。
  3. 前記高圧縮技術は高イオン衝突を伴う請求項2に記載の方法。
  4. 高イオン衝突を得るためバイアスRF電力を使用してライナを堆積するステップをさらに有する請求項1に記載の方法。
  5. 前記シリコンの層の厚さは少なくとも200nmである請求項1に記載の方法。
  6. トランジスタの処理方法であって、
    シリコン層(42)の上部にゲート(54)を設けるステップであって、前記ゲート(54)は、前記ゲート(54)の近傍の横方向の側壁にスペーサ(60)を有するステップと、
    前記ゲート(54)およびスペーサ(60)の上部に、前記シリコン層(42)内に歪みを生じさせる高圧縮堆積でエッチ・ストップ層(63)を形成するステップと、
    前記エッチ・ストップ層(63)の上部に、前記シリコン層(42)に歪みを生じさせる高圧縮堆積で絶縁膜(65)を形成するステップと、を有する方法。
  7. 前記ゲート(54)と前記スペーサ(60)との間にライナが設けられている請求項6に記載の方法。
  8. 前記エッチ・ストップ層(63)および絶縁膜(65)はプラズマ化学気相成長法(PECVD)を使用して堆積される請求項6に記載の方法。
  9. 前記PECVDはより高いイオン衝突を得るためバイアスRF電力を伴う請求項8に記載の方法。
  10. 前記エッチ・ストップ層(63)は窒化シリコンを含む請求項6に記載の方法。
JP2006502832A 2003-01-17 2004-01-13 引張歪み基板を有するmosfetデバイスおよびその作製方法 Pending JP2006517343A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/346,617 US7001837B2 (en) 2003-01-17 2003-01-17 Semiconductor with tensile strained substrate and method of making the same
PCT/US2004/000981 WO2004068586A1 (en) 2003-01-17 2004-01-13 Mosfet device with tensile strained substrate and method of making the same

Publications (2)

Publication Number Publication Date
JP2006517343A true JP2006517343A (ja) 2006-07-20
JP2006517343A5 JP2006517343A5 (ja) 2009-01-15

Family

ID=32712194

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006502832A Pending JP2006517343A (ja) 2003-01-17 2004-01-13 引張歪み基板を有するmosfetデバイスおよびその作製方法

Country Status (7)

Country Link
US (2) US7001837B2 (ja)
JP (1) JP2006517343A (ja)
KR (1) KR101023208B1 (ja)
CN (1) CN1762056B (ja)
DE (1) DE112004000146B4 (ja)
GB (1) GB2411768B (ja)
WO (1) WO2004068586A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008504677A (ja) * 2004-06-24 2008-02-14 インターナショナル・ビジネス・マシーンズ・コーポレーション 改良した歪みシリコンcmosデバイスおよび方法

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US7301180B2 (en) 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
WO2003001671A2 (en) * 2001-06-21 2003-01-03 Amberwave Systems Corporation Improved enhancement of p-type metal-oxide-semiconductor field-effect transistors
EP1415331A2 (en) * 2001-08-06 2004-05-06 Massachusetts Institute Of Technology Formation of planar strained layers
US6974735B2 (en) 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
AU2002331077A1 (en) * 2001-08-13 2003-03-03 Amberwave Systems Corporation Dram trench capacitor and method of making the same
AU2002349881A1 (en) 2001-09-21 2003-04-01 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
WO2003028106A2 (en) * 2001-09-24 2003-04-03 Amberwave Systems Corporation Rf circuits including transistors having strained material layers
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
AU2003238963A1 (en) * 2002-06-07 2003-12-22 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
AU2003247513A1 (en) 2002-06-10 2003-12-22 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
US6982474B2 (en) 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
US7091068B1 (en) * 2002-12-06 2006-08-15 Advanced Micro Devices, Inc. Planarizing sacrificial oxide to improve gate critical dimension in semiconductor devices
US20040154083A1 (en) * 2002-12-23 2004-08-12 Mcvicker Henry J. Sports pad closure system with integrally molded hooks
EP1602125B1 (en) * 2003-03-07 2019-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation process
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7462526B2 (en) * 2003-11-18 2008-12-09 Silicon Genesis Corporation Method for fabricating semiconductor devices using strained silicon bearing material
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US7321155B2 (en) * 2004-05-06 2008-01-22 Taiwan Semiconductor Manufacturing Co., Ltd. Offset spacer formation for strained channel CMOS transistor
DE102004042167B4 (de) * 2004-08-31 2009-04-02 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden einer Halbleiterstruktur, die Transistorelemente mit unterschiedlich verspannten Kanalgebieten umfasst, und entsprechende Halbleiterstruktur
US20060099763A1 (en) * 2004-10-28 2006-05-11 Yi-Cheng Liu Method of manufacturing semiconductor mos transistor device
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US20060172556A1 (en) * 2005-02-01 2006-08-03 Texas Instruments Incorporated Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
WO2006085245A1 (en) * 2005-02-11 2006-08-17 Nxp B.V. Method of forming sti regions in electronic devices
CN100446282C (zh) * 2005-09-19 2008-12-24 深圳帝光电子有限公司 Led光源产品
US7615432B2 (en) * 2005-11-02 2009-11-10 Samsung Electronics Co., Ltd. HDP/PECVD methods of fabricating stress nitride structures for field effect transistors
US7550356B2 (en) * 2005-11-14 2009-06-23 United Microelectronics Corp. Method of fabricating strained-silicon transistors
US7939413B2 (en) * 2005-12-08 2011-05-10 Samsung Electronics Co., Ltd. Embedded stressor structure and process
US7888214B2 (en) * 2005-12-13 2011-02-15 Globalfoundries Singapore Pte. Ltd. Selective stress relaxation of contact etch stop layer through layout design
US20070158739A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation Higher performance CMOS on (110) wafers
US20080124880A1 (en) * 2006-09-23 2008-05-29 Chartered Semiconductor Manufacturing Ltd. Fet structure using disposable spacer and stress inducing layer
US7897493B2 (en) * 2006-12-08 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Inducement of strain in a semiconductor layer
US7892928B2 (en) * 2007-03-23 2011-02-22 International Business Machines Corporation Method of forming asymmetric spacers and methods of fabricating semiconductor device using asymmetric spacers
US7745847B2 (en) * 2007-08-09 2010-06-29 United Microelectronics Corp. Metal oxide semiconductor transistor
US8058123B2 (en) 2007-11-29 2011-11-15 Globalfoundries Singapore Pte. Ltd. Integrated circuit and method of fabrication thereof
US20090146194A1 (en) * 2007-12-05 2009-06-11 Ecole Polytechnique Federale De Lausanne (Epfl) Semiconductor device and method of manufacturing a semiconductor device
US8232186B2 (en) * 2008-05-29 2012-07-31 International Business Machines Corporation Methods of integrating reverse eSiGe on NFET and SiGe channel on PFET, and related structure
JP5381350B2 (ja) * 2009-06-03 2014-01-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8236709B2 (en) 2009-07-29 2012-08-07 International Business Machines Corporation Method of fabricating a device using low temperature anneal processes, a device and design structure
TWI419324B (zh) * 2009-11-27 2013-12-11 Univ Nat Chiao Tung 具有三五族通道及四族源汲極之半導體裝置及其製造方法
US8865576B2 (en) * 2011-09-29 2014-10-21 Eastman Kodak Company Producing vertical transistor having reduced parasitic capacitance
US8759920B2 (en) * 2012-06-01 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03247767A (ja) * 1990-02-26 1991-11-05 Fuji Electric Co Ltd 絶縁膜形成方法
JPH0562971A (ja) * 1991-09-02 1993-03-12 Fuji Electric Co Ltd 窒化シリコン膜の形成方法
JPH05315268A (ja) * 1992-05-13 1993-11-26 Matsushita Electric Ind Co Ltd プラズマcvd装置
JPH1079387A (ja) * 1996-08-02 1998-03-24 Applied Materials Inc シリカ膜のフッ素化による応力制御
JPH11288932A (ja) * 1997-12-31 1999-10-19 Texas Instr Inc <Ti> 高品質窒化珪素の高速蒸着
JPH11340337A (ja) * 1998-05-27 1999-12-10 Sony Corp 半導体装置及び半導体装置の製造方法
JP2000031491A (ja) * 1998-07-14 2000-01-28 Hitachi Ltd 半導体装置,半導体装置の製造方法,半導体基板および半導体基板の製造方法
WO2002043151A1 (en) * 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
WO2002047167A1 (fr) * 2000-12-08 2002-06-13 Hitachi, Ltd. Dispositif a semi-conducteur
JP2002176174A (ja) * 2000-12-08 2002-06-21 Hitachi Ltd 半導体装置
JP2002198368A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US607178A (en) * 1898-07-12 Combined plow and harrow
US628113A (en) * 1898-07-30 1899-07-04 Carl Petersen Apparatus for indicating the number of conversations through telephones.
US649221A (en) * 1899-12-16 1900-05-08 Charles Slingland Warping-machine.
US5241214A (en) * 1991-04-29 1993-08-31 Massachusetts Institute Of Technology Oxides and nitrides of metastabale group iv alloys and nitrides of group iv elements and semiconductor devices formed thereof
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US6288431B1 (en) * 1997-04-04 2001-09-11 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US5843816A (en) * 1997-07-28 1998-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated self-aligned butt contact process flow and structure for six transistor full complementary metal oxide semiconductor static random access memory cell
US6071784A (en) * 1997-08-29 2000-06-06 Advanced Micro Devices, Inc. Annealing of silicon oxynitride and silicon nitride films to eliminate high temperature charge loss
US6215190B1 (en) * 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US6281132B1 (en) * 1998-10-06 2001-08-28 Advanced Micro Devices, Inc. Device and method for etching nitride spacers formed upon an integrated circuit gate conductor
US6448140B1 (en) * 1999-02-08 2002-09-10 Taiwan Semiconductor Manufacturing Company Laterally recessed tungsten silicide gate structure used with a self-aligned contact structure including a straight walled sidewall spacer while filling recess
US6177338B1 (en) * 1999-02-08 2001-01-23 Taiwan Semiconductor Manufacturing Company Two step barrier process
US6136688A (en) * 1999-10-20 2000-10-24 Vanguard International Semiconductor Corporation High stress oxide to eliminate BPSG/SiN cracking
US6426285B1 (en) * 1999-11-03 2002-07-30 Taiwan Semiconductor Manufacturing Company Method to solve intermetallic dielectric cracks in integrated circuit devices
US6211083B1 (en) * 2000-04-17 2001-04-03 Taiwan Semiconductor Manufacturing Company Use of a novel capped anneal procedure to improve salicide formation
US6399512B1 (en) * 2000-06-15 2002-06-04 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer
US6503833B1 (en) * 2000-11-15 2003-01-07 International Business Machines Corporation Self-aligned silicide (salicide) process for strained silicon MOSFET ON SiGe and structure formed thereby
US6544854B1 (en) * 2000-11-28 2003-04-08 Lsi Logic Corporation Silicon germanium CMOS channel
US6287916B1 (en) * 2000-12-07 2001-09-11 Lattice Semiconductor Corporation Method for forming a semiconductor device using LPCVD nitride to protect floating gate from charge loss
US6724008B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6432784B1 (en) * 2001-03-12 2002-08-13 Advanced Micro Devices, Inc. Method of forming L-shaped nitride spacers
US6620664B2 (en) * 2002-02-07 2003-09-16 Sharp Laboratories Of America, Inc. Silicon-germanium MOSFET with deposited gate dielectric and metal gate electrode and method for making the same
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6680496B1 (en) * 2002-07-08 2004-01-20 Amberwave Systems Corp. Back-biasing to populate strained layer quantum wells
US6573172B1 (en) * 2002-09-16 2003-06-03 Advanced Micro Devices, Inc. Methods for improving carrier mobility of PMOS and NMOS devices
US6902991B2 (en) * 2002-10-24 2005-06-07 Advanced Micro Devices, Inc. Semiconductor device having a thick strained silicon layer and method of its formation
US6657223B1 (en) * 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US6611029B1 (en) * 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6787423B1 (en) * 2002-12-09 2004-09-07 Advanced Micro Devices, Inc. Strained-silicon semiconductor device
US7371629B2 (en) * 2002-12-09 2008-05-13 Taiwan Semiconductor Manufacturing Company N/PMOS saturation current, HCE, and Vt stability by contact etch stop film modifications
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6730576B1 (en) * 2002-12-31 2004-05-04 Advanced Micro Devices, Inc. Method of forming a thick strained silicon layer and semiconductor structures incorporating a thick strained silicon layer

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03247767A (ja) * 1990-02-26 1991-11-05 Fuji Electric Co Ltd 絶縁膜形成方法
JPH0562971A (ja) * 1991-09-02 1993-03-12 Fuji Electric Co Ltd 窒化シリコン膜の形成方法
JPH05315268A (ja) * 1992-05-13 1993-11-26 Matsushita Electric Ind Co Ltd プラズマcvd装置
JPH1079387A (ja) * 1996-08-02 1998-03-24 Applied Materials Inc シリカ膜のフッ素化による応力制御
JPH11288932A (ja) * 1997-12-31 1999-10-19 Texas Instr Inc <Ti> 高品質窒化珪素の高速蒸着
JPH11340337A (ja) * 1998-05-27 1999-12-10 Sony Corp 半導体装置及び半導体装置の製造方法
JP2000031491A (ja) * 1998-07-14 2000-01-28 Hitachi Ltd 半導体装置,半導体装置の製造方法,半導体基板および半導体基板の製造方法
WO2002043151A1 (en) * 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
WO2002047167A1 (fr) * 2000-12-08 2002-06-13 Hitachi, Ltd. Dispositif a semi-conducteur
JP2002176174A (ja) * 2000-12-08 2002-06-21 Hitachi Ltd 半導体装置
JP2002198368A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
MASAHIKO MAEDA ET AL.: "Stress evaluation of radio frequency-biased plasma-enhanced chemical vapor deposited silicon nitride", JOUNAL OF APPLIED PHYSICS, vol. Volume 83, Number 7, JPN7011002552, 1 April 1998 (1998-04-01), pages 3865 - 3870, ISSN: 0001969479 *
前田和夫著, VLSIとCVD 半導体デバイスへのCVD技術の応用, vol. 初版, JPN6011036682, 31 July 1997 (1997-07-31), pages 100 - 188, ISSN: 0001969478 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008504677A (ja) * 2004-06-24 2008-02-14 インターナショナル・ビジネス・マシーンズ・コーポレーション 改良した歪みシリコンcmosデバイスおよび方法

Also Published As

Publication number Publication date
WO2004068586A1 (en) 2004-08-12
KR20050086961A (ko) 2005-08-30
US20040142545A1 (en) 2004-07-22
US20060138479A1 (en) 2006-06-29
DE112004000146B4 (de) 2010-05-06
US7701019B2 (en) 2010-04-20
DE112004000146T5 (de) 2006-02-02
GB2411768B (en) 2006-04-26
US7001837B2 (en) 2006-02-21
CN1762056A (zh) 2006-04-19
KR101023208B1 (ko) 2011-03-18
GB0512330D0 (en) 2005-07-27
GB2411768A (en) 2005-09-07
CN1762056B (zh) 2011-06-01

Similar Documents

Publication Publication Date Title
US7701019B2 (en) Tensile strained substrate
US6852600B1 (en) Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
JP4843498B2 (ja) 半導体デバイス構造を製造する方法
US9735269B1 (en) Integrated strained stacked nanosheet FET
US7071065B1 (en) Strained silicon PMOS having silicon germanium source/drain extensions and method for its fabrication
US8003470B2 (en) Strained semiconductor device and method of making the same
US6800910B2 (en) FinFET device incorporating strained silicon in the channel region
US7422950B2 (en) Strained silicon MOS device with box layer between the source and drain regions
US20230335640A1 (en) Semiconductor structure and associated fabricating method
KR100817949B1 (ko) 반도체 디바이스, 반도체 디바이스 제조 방법 및 비평면 트랜지스터 제조 방법
US7928474B2 (en) Forming embedded dielectric layers adjacent to sidewalls of shallow trench isolation regions
US6943087B1 (en) Semiconductor on insulator MOSFET having strained silicon channel
US7033869B1 (en) Strained silicon semiconductor on insulator MOSFET
US7714396B2 (en) Metal-oxide semiconductor field effect transistor
US8748239B2 (en) Method of fabricating a gate
US7015078B1 (en) Silicon on insulator substrate having improved thermal conductivity and method of its formation
US6900143B1 (en) Strained silicon MOSFETs having improved thermal dissipation
US8440532B2 (en) Structure and method for making metal semiconductor field effect transistor (MOSFET) with isolation last process
CN107919393B (zh) 一种半导体器件及其制造方法
JP5280434B2 (ja) 半導体デバイスにおける分離層の形成
TW200847291A (en) Metal-oxide-semiconductor transistor and method of forming the same

Legal Events

Date Code Title Description
A529 Written submission of copy of amendment under article 34 pct

Free format text: JAPANESE INTERMEDIATE CODE: A529

Effective date: 20050914

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081119

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100413

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100421

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100709

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100716

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100810

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100817

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20100902

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100913

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110720

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111121

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120110

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20120406