JP3782021B2 - 半導体装置、半導体装置の製造方法、半導体基板の製造方法 - Google Patents

半導体装置、半導体装置の製造方法、半導体基板の製造方法 Download PDF

Info

Publication number
JP3782021B2
JP3782021B2 JP2002045597A JP2002045597A JP3782021B2 JP 3782021 B2 JP3782021 B2 JP 3782021B2 JP 2002045597 A JP2002045597 A JP 2002045597A JP 2002045597 A JP2002045597 A JP 2002045597A JP 3782021 B2 JP3782021 B2 JP 3782021B2
Authority
JP
Japan
Prior art keywords
layer
semiconductor
sige
semiconductor layer
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2002045597A
Other languages
English (en)
Other versions
JP2003243667A (ja
Inventor
直治 杉山
勉 手塚
智久 水野
信一 高木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2002045597A priority Critical patent/JP3782021B2/ja
Priority to US10/369,662 priority patent/US6774390B2/en
Publication of JP2003243667A publication Critical patent/JP2003243667A/ja
Application granted granted Critical
Publication of JP3782021B2 publication Critical patent/JP3782021B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/737Hetero-junction transistors
    • H01L29/7371Vertical transistors
    • H01L29/7378Vertical transistors comprising lattice mismatched active layers, e.g. SiGe strained layer transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure

Description

【0001】
【発明の属する技術分野】
本発明は、MIS型電界効果型トランジスタを用いた半導体装置及びその製造方法、またそれに用いられる半導体基板の製造方法を提供するものである。
【0002】
【従来の技術】
現在の半導体素子の中核的存在であるシリコンMOS電界効果トランジスタは、素子寸法の微細化、特にゲート長の縮小によって高密度集積化と駆動力の増大を同時に達成してきた。しかしながら近い将来、従来のトレンドに従った素子の微細化は物理的、経済的な壁にぶつかることが指摘されている。そこで今後は微細化以外の手法による高速化、低消費電力化の技術を確立する必要がある。
【0003】
応力ひずみを加えたSi結晶では、電子及び正孔の移動度を向上することは以前より知られていた。またこの特性をMIS型電界効果トランジスタ(MISFET)のチャネル部分に適用した高性能素子も試作されている。
【0004】
具体的にはSi結晶よりわずかに格子定数の大きなSiGe結晶層を下地として、この上に薄いSi薄層を積層することによりひずみSi層を形成した半導体基板を用いたMIS型電界効果トランジスタが提案されている。この電界効果型トランジスタは、前記ひずみSi層においてキャリアが高移動度特性を示すため、これをチャネル領域として使用することによって高速かつ低消費電力化を図ることができる。
【0005】
一方、MIS型電界効果トランジスタの短チャネル効果抑制のためのチャネル不純物の高濃度化はソース/ドレイン拡散層の寄生容量の増大を招く。この寄生容量の低減のために、シリコンウエハ上に絶縁層を具備しさらに前記絶縁層上に半導体層を具備する、例えばSOI(Silicon on insulator)構造を有する半導体基板を使用することが有効であることが知られている。
【0006】
そこで前記シリコンウエハ/SiGe結晶層/Si酸化層(絶縁層)/SiGe結晶層/ひずみSi層が形成された半導体基板を用いたMIS電界効果トランジスタが提案されている。
【0007】
図12に示すように、従来のひずみSi層をチャネルに用いたMIS型電界効果トランジスタは、Si基板110上に、応力を十分に緩和する程度に厚く設けられたSiGe結晶層130と、SiGe結晶層130中に埋め込まれた絶縁層120と、上側のSiGe結晶層130上にエピタキシャル成長させたひずみSi層140を有している。さらにこのひずみSi層140表面に形成されたゲート絶縁層170と、ゲート絶縁層170上に形成されたゲート電極180と、さらにゲート電極180をマスクとして不純物が注入されて形成されたソース領域、ドレイン領域を備えている。この構造のMIS型電界効果トランジスタはひずみSi層140にチャネルが形成される。
【0008】
しかしながらこの構造では、絶縁層120とSiGe結晶層130との界面に欠陥が多く、通常のGeを含まないSOIに形成されているSi層と絶縁層の界面の特性に対してその特性が劣り、SOIのひずみのないSi層をチャネルとするMIS型電界効果トランジスタに比べてリーク電流が多くなるといった問題が起こっている。
【0009】
【発明が解決しようとする課題】
本発明では絶縁層上に形成されたSiGe結晶層に接合するひずみSi層をチャネル層に用いるMIS型電界効果トランジスタにおいて、絶縁層とSiGe結晶層との界面の欠陥等に起因する問題が、リーク電流特性等に悪影響を与えないよう改良したMIS型電界効果トランジスタを備える半導体装置及びその製造方法、また、それに用いられる半導体基板の製造方法を提供する。
【0010】
【課題を解決するための手段】
本発明は、
主面を有する絶縁層と、
前記絶縁層の前記主面上に設けられ、前記絶縁層の前記主面側の底面と、側面及び上面を有する第1の半導体層と、
前記第1の半導体層の少なくとも前記側面上に設けられ、前記第1の半導体層と異なる格子定数を有し、互いに離間して設けられたソース領域及びドレイン領域を有し前記ソース領域及びドレイン領域により定義されるチャネル領域を形成する第2の半導体層と、
前記第2の半導体層の前記チャネル領域上に設けられたゲート絶縁層及びゲート電極とを備え、
前記チャネル領域のチャネル長方向が前記絶縁層の前記主面とほぼ平行であり、前記第2の半導体層表面における前記チャネル領域の面積が、前記第1半導体層の前記底面の面積よりも大であるMIS型電界効果トランジスタを備える半導体装置である。
【0011】
前記第1の半導体層はSiGe層であり、前記第2の半導体層がひずみSi層であることが望ましい。
【0012】
また、前記第1の半導体層はSi層であり、前記第2の半導体層はひずみSiGe層とすることが望ましい。
【0013】
また、本発明は、
主面を有する絶縁層と、
前記絶縁層の前記主面上に設けられ、前記絶縁層の前記主面側の底面と、側面及び上面を有し、p型領域を有する第1の半導体層と、
前記第1の半導体層の少なくとも前記側面上に設けられ、前記第1の半導体層と異なる格子定数を有し、p型領域と、互いに離間して設けられたn型ソース領域及びn型ドレイン領域を有し、前記n型ソース領域及びドレイン領域により定義されるチャネル領域を形成する第2の半導体層と、
前記第2の半導体層の前記チャネル領域上に設けられたゲート絶縁層及びゲート電極とを備え、
前記チャネル領域のチャネル長方向が前記絶縁層の前記主面とほぼ平行であり、前記第2の半導体層表面における前記チャネル領域の面積が前記第1半導体層の前記底面の面積よりも大であるnチャネルMIS型電界効果トランジスタ及び、
前記絶縁層の前記主面上に設けられ、前記絶縁層側の前記主面側の底面と、側面及び上面を有し、n型領域を有する第3の半導体層と、
前記第3の半導体層の少なくとも前記側面上に設けられ、前記第3の半導体層と異なる格子定数を有し、n型領域と、互いに離間して設けられたp型ソース領域及びp型ドレイン領域を有し、前記n型ソース領域及びドレイン領域により定義されるチャネル領域を形成する第4の半導体層と、
前記第4の半導体層の前記チャネル領域上に設けられたゲート絶縁層及びゲート電極とを備え、
前記チャネル領域のチャネル長方向が前記絶縁層の前記主面とほぼ平行であり、前記第4の半導体層表面における前記チャネル領域の面積が前記第3の半導体層の前記底面の面積よりも大であるpチャネルMIS型電界効果トランジスタと、を組み合わせたCMOS回路を備えることを特徴とする半導体装置である。
【0014】
前記nチャネルMIS型電界効果トランジスタの前記第1の半導体層はSiGe層でありかつ前記第2の半導体層がひずみSi層であり、
前記pチャネルMIS型電界効果トランジスタの前記第3の半導体層はSiGe層でありかつ前記第4の半導体層はひずみSi層であることが望ましい。
【0015】
また前記nチャネルMIS型電界効果トランジスタの前記第1の半導体層はSiGe層でありかつ前記第2の半導体層がひずみSi層であり、
前記pチャネルMIS型電界効果トランジスタの前記第3の半導体層はSi層であり、前記第4の半導体層はひずみSiGe層とすることが望ましい。
【0016】
また、本発明は、
絶縁層とSiGe層との積層体を形成する工程と、
前記SiGe層をエッチングし、前記絶縁層上に前記絶縁層側の底面と、側面及び上面を有する突起状のSiGe層を形成する工程と、
前記積層体を酸化することにより前記SiGe層のGe組成を高濃度化する工程と、
前記SiGe層の側面にSi層を形成する工程と
前記Si層上にソース領域、ドレイン領域、ゲート絶縁層及びゲート電極とを形成する工程とを備えることを特徴とする半導体装置の製造方法である。
【0017】
また、本発明は、絶縁層と前記絶縁層上に積層されたシリコン層とを備える半導体基板の前記シリコン層上に、選択的に、シリコン酸化物層及び前記シリコン酸化物層上に積層されたシリコン窒化物層とを備えるマスクを形成する工程と、前記マスクが形成された部分以外の前記シリコン層上にSiGe層を積層する工程と、
前記半導体基板に熱酸化処理を施すことにより、前記SiGe層表面に酸化物層を形成すると同時にSiGe層とシリコン層との積層体をSiGe層単層に変化する工程と、
前記酸化物層と、前記マスクを除去する工程とを備える半導体基板の製造方法である。
【0018】
本発明にかかる半導体装置は、絶縁層上に形成された第1の半導体層、例えばSiGe結晶層、に接合された、第1の半導体層と異なる格子定数を有し結晶格子に応力ひずみを有する第2の半導体層、例えばひずみSi層、をチャネル層に用いるMIS型電界効果トランジスタ及びそのような電界効果トランジスタを組み合わせたCMOS回路に関わるものである。
【0019】
このようなMIS型電界効果トランジスタにおいて、絶縁層上に形成された第1の半導体層の少なくとも側面に第2の半導体層を接合させて、さらにゲートを前記第2の半導体層上に形成するにあたり、第2の半導体層表面のチャネルとなる部分の面積をSiGe層と絶縁層との接合面積よりも小さくするようにゲートを形成することにより、トランジスタの素子サイズに対して絶縁層とSiGe層との接合部分の面積を小さくし、界面の欠陥等に起因する悪影響を低減するものである。
【0020】
本発明において、第1の半導体層をSiGe結晶層とし、第2の半導体層をひずみSiとした場合、SiGe結晶層表面を覆うひずみSi層がチャネルとなる。ひずみSiチャネルでは電子の移動度及び正孔の移動度が通常のSi層と比べて増大することが報告されている。したがって、pチャネルMIS型電界効果トランジスタでもnチャネルMIS型電界効果トランジスタでもキャリアが高移動度を示す高性能のMIS型電界効果トランジスタを製造することができる。また、第1の半導体層をSi結晶層とし、第2の半導体層をひずみSiGe結晶層とした場合、本発明の係るMIS型電界効果トランジスタでは表面を覆うひずみSiGe結晶層がチャネルとなる。このひずみSiGe結晶層をチャネルとした構造は正孔移動度が増大するためpチャネルMIS型電界効果トランジスタとして適している。
【0021】
本発明において、SiGe層におけるGe組成の望ましい範囲は5原子%以上80原子%以下、より好ましくは10原子%以上50原子%以下の範囲であることにより適正な結晶ひずみの授受を隣接するシリコン層との間に行なうことができる。
【0022】
本発明に係る電界効果トランジスタを用い、nチャネル、pチャネル両方のMIS型電界効果トランジスタを形成し、それらを組み合わせればキャリアの移動度が高い高速動作の相補型電界効果トランジスタを作成することが可能となる。
【0023】
このとき、nチャネルMIS型電界効果トランジスタの第1の半導体層をSiGe結晶層とし、第2の半導体層をひずみSiとしたひずみSi層がチャネルであるnチャネルMIS型トランジスタ、pチャネルMIS型電界効果トランジスタの第3の半導体層をSiGe結晶層とし、第4の半導体層をひずみSiとしたひずみSi層がチャネルであるpチャネルMIS型電界効果トランジスタを用いた場合両トランジスタともにキャリアが高移動度を示ため、高速の相補型電界効果トランジスタが形成できる。
【0024】
また、pチャネルMIS型電界効果トランジスタとして、第3の半導体層をSi結晶層とし、第4の半導体層をひずみSiGe結晶層としたひずみSiGe層がチャネルであるトランジスタを用い、nチャネルMIS電界効果トランジスタとして、第1の半導体層をSiGe結晶層とし、第2の半導体層をひずみSiとしたひずみSiチャネルであるトランジスタを用いた相補型電界効果トランジスタも、両トランジスタともにキャリアが高移動度を示ため、高速の相補型電界効果トランジスタが形成できる。
【0025】
また、相補型電界効果トランジスタ一方の電界効果トランジスタのみが、本発明に係る第1及び第2の半導体層を用いたMIS型電界効果トランジスタであっても良い。例えばpチャネルMIS型電界効果トランジスタとして正孔の移動度が高い、緩和したSiGe層をチャネルとするMIS型電界効果トランジスタ(この場合第1及び第2の半導体層の積層を必要としない)を用い、n型MIS型電界効果トランジスタとして本発明に係るひずみSi層をチャネルとするMIS型電界効果トランジスタを用いてもよい。
【0026】
また、例えば上記の如くの相補型電界効果トランジスタを製造する場合は、絶縁層上の同一面上にシリコン層領域と緩和したSiGe層領域が混在した基板を用意する必要がある。すなわち基板上の指定の位置をSi及びSiGeで構成する必要がある。本発明の半導体基板の製造方法によれば、そのような基板を容易に製造することが可能となる。
【0027】
【発明の実施の形態】
(第1の実施形態)
図1は、本発明の一実施例を示すMIS型電界効果トランジスタの概略斜視図である。
【0028】
例えばSi等の半導体基板10上にシリコン酸化物等の絶縁層20が形成され、絶縁層20上に突起状にSiGe層(第1の半導体層)30が設けられている。前記SiGe層30の側面及び上面には、SiGe層30を覆うようにひずみSi層(第2の半導体層)40が形成されている。ひずみSi層40は、少なくともSiGe層30の側面に形成されていればよく、また図1に示すように側面及び上面に連続して形成されていても良い。またゲート電極80がゲート絶縁層70を介してひずみSi層40の側面及び上面を覆うように帯状に設けられている。ひずみSi層40のゲート絶縁層70及びゲート電極80に覆われていない部分は不純物を高濃度にドーピングし活性化したソース領域50及びドレイン領域60である。ソース領域50及びドレイン領域60の間のゲートに覆われたひずみSi層40に絶縁層20表面と平行方向に電流が流れるようチャネルが形成される。ゲート電極80はゲート絶縁層70を介してチャネルに電界効果を及ぼし、3端子電界効果型トランジスタ動作を行なう。この構造は、pチャネルMIS型電界効果トランジスタでもnチャネルMIS型電界効果トランジスタでも同様に製造することができる。
【0029】
図2は、図1のa−a´断面図を示したものである。
【0030】
このトランジスタ1つにおける絶縁層20とSiGe層(第1の半導体層)30の接合面積(即ちSiGe層20の底面の面積、図中Aで示す面)をσ1とし、SiGe層30の幅をd1、ゲート(ゲート絶縁層70及びゲート電極80)の長さ(図2では奥行き、チャネル長に相当する)をLg1とすると、σ1=d×Lg1である。
【0031】
一方、このトランジスタ1つのチャネル形成面の面積(素子面積)をφ1とし、第2の半導体層表面の40の幅をd1´、第2の半導体層の40の高さをw1´とすると、チャネル幅は(2w1´+d1´)となり、したがってφ1=(2w1´+d1´)×Lg1である。
【0032】
ここでd1<d1´であるから、σ1=d1×Lg1<<(2w1´+d1´)×Lg1=φ1となる。つまりσ1<<φ1であり、1つのトランジスタにおいて絶縁層20とSiGe層40との接合部分の面積が、素子面積に対して非常に小さくなっている。
【0033】
一方、図12に従来のひずみSi層をチャネルとするMIS型電界効果トランジスタの断面図を示す。
【0034】
このトランジスタ1つにおける絶縁層120とSiGe層130の接合面積(図中Bで示す面)をσ2とし、ゲート(ゲート絶縁層70及びゲート電極80)の長さ(図3では幅、チャネル長に相当する)をLg2とし、ゲートの幅(図3では奥行き)をw2とするとσ2=Lg2×w2である。
【0035】
一方、このトランジスタ1つのチャネル形成面の面積(素子面積)をφ2とすると、φ2=Lg2×w2で表される。
【0036】
つまりσ2=Lg2×w2=φ2となる。つまり1つのトランジスタにおいて絶縁層120とSiGe層130との接合部分の面積が、素子面積と同じである。
【0037】
図2の構造と図12の構造を比較すれば明らかなように、本発明によれば、1つのトランジスタの素子サイズに対して絶縁層とSiGe層との接合部分の面積を小さくなり、界面の欠陥等に起因する悪影響が低減される。
(第2の実施形態)
図3〜図6は本発明の一実施形態に係わるMIS型電界効果トランジスタのプロセスの一例を示す概略断面図である。以下、図3〜図6を用いて、本発明の一実施形態に係わるMIS型電界効果トランジスタの製造方法について説明する。
【0038】
まず、図3(a)に示すように、シリコン酸化物からなる絶縁層11上に第1の半導体層である格子緩和したSiGe結晶層12が積層されたSGOI(SiGe on insulator)基板を用意した。
【0039】
このSGOI基板の製造方法は特に限定されず、たとえば、シリコンウエハ上にSiGe結晶層をエピタキシャル成長させ、さらにSiGe結晶層にSIMOX(Separation by Implanted Oxygen)法を用いて酸素イオンをイオン注入し、SiGe結晶層中に絶縁層(シリコン酸化物層)を形成する方法や、表面を熱酸化し絶縁層(シリコン酸化物層)が形成された第1のシリコンウエハに、表面にSiGe結晶層を成長した第2のシリコンウエハを張り合わせた後、前記第2のシリコンウエハを、前記SiGe結晶層が第1のシリコンウエハの絶縁層表面に残留した状態で剥離する方法などにより得ることができる。これらの方法で得られたSGOI基板に、追加の高温熱酸化を施し絶縁層上のSiGe結晶層を薄層化し、SiGe結晶層のGe濃度を濃縮する方法を組み合わせることも有効である。
【0040】
本実施形態では、絶縁層11は厚さ100nmのシリコン酸化物よりなり、前記絶縁層11上に、厚さ100nm、Ge組成が10原子%のSiGe結晶層12を有するSGOI基板を用いた。
【0041】
本発明において、絶縁層11の層厚は、プロセス上からの大きな制約は無い。ただし、後工程で絶縁層11上のSiGe結晶層、あるいはひずみSi層などを、絶縁層11とのエッチング速度の差を利用して、選択エッチングする工程が用いられるため、絶縁層11の厚さが1nm以上であることが望ましい。
【0042】
また、本発明において、SiGe結晶層12の面方位は(001)が望ましい。また、ここで形成されるSiGe結晶層12の厚さは、素子を構成したときのSiGe結晶層よりなる突起状部分(フィン)の高さ以上の値が要求される。
【0043】
次に、素子を構成するフィンを作成するために、図3(b)に示すように、SiGe結晶層12表面にシリコン酸化物あるいはシリコン窒化物からなるマスク13を形成した。
【0044】
このマスク13を形成するには、SiGe結晶層12上に熱酸化により熱酸化層を形成するか、あるいはCVD法によりシリコン窒化物層を形成した後、この熱酸化層もしくはシリコン窒化物層上にレジストパターンを形成し、前記レジストパターンをマスクとして前記熱酸化層もしくはシリコン窒化物層をエッチングして作成することができる。
【0045】
次にマスク13を用いてSiGe結晶層12のフィンとして残す部分以外をドライエッチング法、たとえばRIE法にて除去し、図3(c)に示すように絶縁層11を露出した。本実施形態ではマスク13の幅Dが40nm、奥行きLg(ここでは紙面に対して垂直方向の長さ)が2μmであった。このときSiGe結晶層12の側面が(010)面、あるいは(100)面を向いていることが望ましい。もしくは(110)面あるいはそれと等価の面でも許容できる。
【0046】
次にドライエッチングによりダメージを受けたフィンの表面を回復させるために、マスク13を残したまま、図3(d)に示すようにSiGe結晶層12に対して熱酸化を施した。
【0047】
この熱酸化の過程で、マスク13として熱酸化層を用いた場合、SiGe結晶層12は側面およびマスク13で覆われた上面が酸化され新たに酸化層14が形成される。このとき酸化層14中からGe原子がはじき出されるため、残されたSiGe結晶層12はその大きさを小さくするとともに、内部のGe濃度が上昇する。一方、マスク13としてシリコン窒化物を用いた場合、マスク13で覆われた上面は酸化が進まないが、マスク13のない側面は酸化されて酸化層14が形成され、酸化層14中からGe原子がはじき出されるため、残されたSiGe結晶層は、Ge濃度が増加すると共にその幅が狭くなる。
【0048】
本実施形態で、マスク13としてシリコン窒化物を用い、幅Dが40nmのSiGe結晶層12の側面を片面で10nmずつ酸化した。それにより、SiGe結晶層12の幅dは片面で10nm、両面で20nm薄くなり、20nmの厚さが残った。また、SiGe結晶層12のGe組成は20原子%に上昇した。
【0049】
この熱酸化の条件は、たとえば、処理温度がSiGe結晶層の結晶構造を回復させる温度であるとともに、SiGeの融点よりも低温であることが望ましく、具体的には900℃以上1350℃以下、より好ましくは1000℃以上1350℃以下であることが望ましい。SiGeはGe濃度が増加するとその融点が低下するので、温度設定には注意を要する。熱酸化温度がこの範囲であると絶縁層11とSiGe結晶層12との界面に酸化層14からはじき出されたGe原子がパイルアップせず欠陥などが発生しにくい。また熱酸化の際の雰囲気は酸素濃度が5%〜100%である雰囲気が挙げられる。
【0050】
本発明においてSiGe結晶層12よりなるフィンの幅dは、後工程で形成されるSi結晶層にひずみを与えるために10nm以上50nm以下であることが望ましい。本発明においてSiGe結晶層12よりなるフィンの奥行き(ここでは紙面に対して垂直方向の長さ)lgはチャネルのゲート長とソース・ドレイン電極の長さの合計を決定する値であり、0.5μm以上5μm以下の範囲が挙げられる。本発明においてSiGe結晶層12よりなるフィンの高さWはチャネルのゲート幅を決定する値となるため、50nm以上は必要となる。最大値については、素子設計上からは制約は少ないが、フィンの高さ、あるいは底面に対する高さの比(アスペクト比)が大きいとプロセスが難しくなるため、1μm以下が望ましい。
【0051】
その後、図3(e)に示すように表面のマスク13および熱酸化層14をウエットエッチング等で除去し、表面処理を施した後にSiGe結晶層12表面にエピタキシャル成長にてSi層を成長させた。このSi層は、第2の半導体層であるひずみSi層15である。本実施形態では厚さ7nmのひずみSi層を形成した。
【0052】
本発明においては、ひずみSi層15の厚さは5nm以上30nm以下であることが望ましい。
【0053】
このようにして得られた表面にひずみSi層を有するフィンに対してゲート加工及びソース/ドレインの加工をしてMISFETが完成する。
【0054】
以下、上記にようにして得られた、表面にひずみSi層を有するフィンを使用したMISFETを形成するためのプロセスの詳細を述べる。MISFETを作成するプロセスは大きく2種類に大別される。ひとつは、従来の平面型のMOSFET作成の場合と同様に、ゲート加工を施してから、ソース/ドレインの加工を行うもの、他方は先にソース/ドレインの加工を施し、その後にゲート加工を行うものである。
【0055】
図4〜図6を用いて、ゲート加工を先に行うプロセスの例を説明する。図4(a)、(b)〜図6(a)、(b)の(イ)は基板上面から見た平面図、(ロ)は前記(イ)のA−A´方向断面図、(ハ)は前記(イ)のB−B´方向断面図である。
【0056】
図3に示す工程で得られたフィンの概略図を図4(a)に示す。シリコン酸化物よりなる第1絶縁層21上に、突起状のSiGe結晶層22が形成され、その表面にひずみSi層23が形成されたフィンが形成されている。
【0057】
次に図4(b)に示すように、ひずみSi層23の表面を熱酸化してゲート絶縁層となる第2絶縁層24を形成した。本実施形態の場合、第2絶縁層24はシリコン酸化物よりなる。このときの第2絶縁層24の厚さは4nmであった。その結果、ひずみSi層23の厚さは5nmとなった。さらに、CVD法により第2絶縁層24表面全面に厚さ200nmの多結晶Siを堆積してゲート電極となる導電性層25を形成した。このときの導電性層25には高濃度の燐を添加した。燐の添加は多結晶Si堆積時に同時に不純物添加する方法でも、多結晶Si堆積後にイオン注入により導入する方法でもいずれでも良い。
【0058】
次に導電性層25上にレジスト層(図示せず)をパターンニングし、レジスト層をマスクとして導電性層25をエッチングし、図5(a)に示すように、第2絶縁層24の一部の表面に帯状にゲート電極25をゲート加工した。このとき、ゲート加工でマスクに用いたレジスト層を利用しフィンにソース/ドレイン領域を形成するために硼素などの不純物をイオン注入しておくことが望ましい。図5(ロ)中不純物が添加された領域の境界を点線で示す。
【0059】
この後、ゲート電極25の側壁を作成した。側壁は、まず、図5(b)に示すように、ゲート電極25、露出した第2絶縁層24、及び露出した第1絶縁層21表面全面にCVD法で側壁となるシリコン酸化物よりなる第3絶縁層26を堆積した。
【0060】
次に第3絶縁層26に対し、選択エッチングを施しゲート電極25の側面のみ側壁絶縁層26を残した。このとき選択エッチングの条件をゲート電極25表面が露出するように設定する事により、ゲート電極25と、ゲート絶縁層24の高さが異なるため、図6(a)に示すように、ゲート電極25の側面の側壁絶縁層26及び側壁絶縁層26下の第2絶縁層24(ゲート絶縁層24)のみを残して、第3絶縁層26および第2絶縁層24は完全除去され、フィンのゲート電極25と、側壁絶縁層26及びゲート絶縁層24で覆われた部分以外はひずみSi層23が露出した。
【0061】
最後に図6(b)に示すように、露出したひずみSi層23表面にソース/ドレイン領域となる半導体層27をSiの選択成長で形成した。ここではフィンのひずみSi層23が露出した領域にのみ新たな半導体層27が成長する。このとき硼素などの不純物を添加した選択成長を行うことによりソース・ドレイン領域の不純物添加ができる。最後にゲート、ソース/ドレインに電極を形成してMIS型電界効果トランジスタが完成した。
(第3の実施形態)
本実施形態では、先にソース/ドレインの加工を施し、その後にゲート加工を行うものであり、かつ複数のフィンに対して1組のソース・ドレイン領域を有するひとつのMIS型電界効果トランジスタを構成する例を示す。具体的には2つのフィンから構成される例について説明する。
【0062】
図7〜図10は本発明の一実施形態に係わるMIS型電界効果トランジスタのプロセスの一例を示す概略断面図である。以下、図7〜図10を用いて、本発明の一実施形態に関わるMIS型電界効果トランジスタの製造方法について説明する。図7(a)、(b)〜図10(a)、(b)の(イ)は基板上面から見た平面図、(ロ)は前記(イ)のA−A´方向断面図、(ハ)は前記(イ)のB−B´方向断面図である。
【0063】
まず、第2の実施形態同様、図7(a)に示すように、絶縁層31上に第1の半導体層である格子緩和したSiGe結晶層32が積層されたSGOI基板を用意した。
【0064】
本実施形態では絶縁層31は厚さ100nmのシリコン酸化物よりなり、前記絶縁層31上に、厚さ200nm、Ge組成が10原子%のSiGe結晶層32を有するSGOI基板を用いた。
【0065】
次にこのSGOI基板に厚さ10nmのシリコン酸化物層33をCVD法により堆積し、さらに厚さ10nmのシリコン窒化物層34を積層した。続いて、ソース・ドレイン領域となる部分を残して前記シリコン窒化物層34を取り除き、シリコン酸化物層33を露出させた。さらに、フィン部となる部分を残してフィン周辺部のシリコン酸化物層33を除去し、SiGe結晶層32を露出させた。この構造を図7(b)に示す。このときフィン部を覆うシリコン酸化物層33の幅は250nmとした。
【0066】
この後、図8(a)に示すようにドライエッチングによりフィン部周辺のSiGe結晶層32をエッチング除去し、フィン部の突起を形成した。これによりソース・ドレイン領域となるSiGe結晶層32´の間に2つのフィン部となるSiGe結晶層32´´が形成されたことになる。このときフィン部となるSiGe結晶層32´´側面が(010)面となることが望ましい。
【0067】
続いて、酸化温度1000℃以上で熱酸化を施した。このときの状態を図8(b)に示す。このとき、表面がシリコン窒化物層34で覆われたソース・ドレイン領域となるSiGe結晶層32´は酸化されないが、シリコン窒化物34層の無いフィン部となるSiGe結晶層32´´は酸化が進む。すなわちフィン部となるSiGe結晶層32´´はシリコン酸化物層33で覆われた上部と、シリコン酸化物層33の無い側面から酸化が進む。この熱酸化によりフィン部となるSiGe結晶層32´´のおよそ100nmの厚さが酸化する。その結果、フィン部となるSiGe結晶層32´´は高さ100nm、幅30nmとなる。ここでフィン部となるSiGe層32´´の上面には薄いシリコン酸化物酸化層33が形成されていたため、側面の酸化の速度が、酸化開始時にわずかに速い。
【0068】
次に図9(a)に示すように、ソース・ドレイン領域となるシリコン窒化物層34を除去した後に、シリコン酸化物層33ごしに基板に燐のイオンをイオン注入した。このときソース・ドレイン領域となるSiGe結晶層32´にはイオンは注入されるが、フィン部となるSiGe層32´´には熱酸化で厚膜化した厚いシリコン酸化物層33で覆われているため、イオンは注入されない。
【0069】
その後、図9(b)に示すようにソース・ドレイン領域表面、フィン部のシリコン酸化層33を除去し、SiGe結晶層32´、32´´表面を露出させたのち、選択成長によりこのSiGe結晶層32´、32´´面上にのみ厚さ10nmの第2の半導体層であるひずみSi層35を成長した。さらにこのひずみSi層35の表面を厚さ3nm熱酸化し、シリコン酸化物からなるゲート絶縁層36を形成した。このときの加熱温度はGeの拡散を防ぎ良質なSi/SiGe界面を維持するため850℃以下が望ましい。
【0070】
続いて図10(a)に示すようにフィン部の隙間を埋め込むようにゲート電極として多結晶シリコン層37をCVD法により堆積した。ここで多結晶シリコン層37にはホウ素を添加した。これはCVDによる堆積時に同時にホウ素を添加する方法でも、後からイオン注入により導入方法でも良い。
【0071】
最後に図10(b)に示すようにゲートの幅で多結晶シリコン層37を残して周囲を除去し、ソース/ドレイン領域、多結晶シリコン部にそれぞれ電極を形成してMIS型電界効果トランジスタFETが出来上がる。
【0072】
なお、第1の実施形態〜第3の実施形態では緩和したSiGe結晶でフィン中央部を形成し、ひずみシリコン層により表面を覆い、ひずみシリコン層をチャネルとする構造を採用した。また通常のSi結晶でフィン中央部を形成し、表面をひずみSiGe結晶層で表面を覆い、このひずみSiGe層をチャネルとした構造では正孔移動度が増大する。このようなSiGe層をチャネルとする場合は、ゲート絶縁層として、SiGe層を直接酸化して酸化膜をゲートとする方法のほかに、SiGe層の上に2〜5nm程度(酸化層となる厚さの半分程度)の厚さのSi層を積層し、このSi層を酸化する方法もある。SiGe直接酸化の場合、酸化層からはじき出されたGe原子が界面の特性を劣化させるため問題が生ずることがあるが、Si層を酸化する方法ではこの問題はない。ただしSi層の厚さが厚すぎるとチャネルとゲート酸化層間にSi層が残り、移動度などの特性を低下させる。
(第4の実施形態)
第1の半導体層をSiとし、第2の半導体層をひずみSiGe層とする構造でpチャネルMIS型電界効果トランジスタを構成し、第1の半導体層をSiGe結晶層とし、第2の半導体層をひずみSiとする構造でnチャネルMIS電界効果トランジスタを構成し、両者を組み合わせて相補型電界効果トランジスタを製造する場合は、絶縁層上にシリコン層領域と緩和したSiGe層領域が混在した基板を用意する必要がある。すなわち基板上の指定の位置をSi及びSiGeで構成する必要がある。このような基板の製造方法を図11を用いて説明する。図11は絶縁層上にSi層領域とSiGe層領域を有する基板の製造プロセスの一例を示す概略断面図である。
【0073】
まず、図11(a)に示すように通常のSOI基板(絶縁層41上にシリコン層42(SOI層)が積層された基板、通常はシリコンウエハに埋め込み絶縁膜を形成してなる)を用意した。絶縁層はシリコン酸化物よりなるものであった。ここで絶縁層41の厚さには指定は無い。絶縁層41上のシリコン層42の厚さは数nm以上でかつ200nm程度以下であれば良い。ここでは絶縁層41上のSOI層42の厚さが80nmであるSOI基板を例に説明する。
【0074】
次に、図11(b)に示すようにこのSOI基板の全面を熱酸化しシリコン層42表面に20nmのシリコン酸化物層43を形成する。この段階でシリコン層42の厚さは70nmとなっている。さらにその上を厚さ30nmのシリコン窒化物層44で覆う。シリコン窒化物層44の形成はCVD法で行った。
【0075】
次に、レジスト層(図示せず)を全面に塗布した後、フォトリソグラフィーの手法により、シリコン層を残す領域にのみレジストを残し、シリコン層を残さずSiGe層を形成する領域のレジストを除去した。さらに図11(d)に示すようにこのレジスト(図示せず)をマスクにし、開口部のシリコン窒化物層44及びシリコン酸化物層43をエッチングし残ったレジストを除去して、シリコン層42を露出させた。
【0076】
その後、図11(e)に示すように、選択成長の手法で、露出したシリコン層42部分にのみ厚さ140nm、Ge組成15原子%のSiGe層45を成長した。
【0077】
この後、図11(f)に示すように熱酸化を行いSiGe層45上にシリコン酸化物層46を形成すると同時にSiGe層45中のGe原子がシリコン層42中に拡散してSiGe層単層と変化させた。このとき熱酸化の温度は900℃以上が望ましい。さらには1000℃以上が望ましい。高温の熱酸化によりSiGe層45上に厚さ280nmの酸化物層46を形成されるがこの熱酸化では酸化物層46中にはGe原子は取り込まれず、SiGe層45中にはじき出される。さらにSiGe層45中のGe原子は最初に用意したシリコン層42層中に拡散しシリコン層とSiGe層の積層構造はSiGe単層と変化する。その結果絶縁層41上に厚さ70nm、Ge組成30原子%のSiGe層領域が形成される。この過程でシリコン窒化物層44で覆われた部分では酸化は進まない。すなわち当初のシリコン層42がそのまま残る。したがって基板上に70nmのシリコン層領域と、同じく70nmでGe組成30原子%のしSiGe層領域が選択的に形成できる。最後に酸化物層46、シリコン窒化物層44、シリコン酸化物層43をRIE法にて除去した。
【0078】
以上のようにして得られた半導体基板に対し、例えば本発明の第2の実施形態に示される如くの方法にてnチャネルトランジスタ及びpチャネルトランジスタをそれぞれ形成することにより、本発明にかかるCMOSを有する半導体装置を得ることができる。
【0079】
【発明の効果】
以上述べたごとく、MIS型電界効果トランジスタの素子サイズに対して絶縁層と半導体層との接合部分の面積を小さくすることが可能となる。これにより絶縁層と半導体層との接合部分の欠陥等に起因する悪影響を低減できる。
【図面の簡単な説明】
【図1】 本発明の一実施形態を示すMIS型電界効果トランジスタの概略斜視図。
【図2】 本発明の一実施形態を示すMIS型電界効果トランジスタの概略断面図。
【図3】 本発明の一実施形態に係わるMIS型電界効果トランジスタの製造プロセスの一例を示す概略断面図。
【図4】 本発明の一実施形態に係わるMIS型電界効果トランジスタの製造プロセスの一例を示す概略断面図。
【図5】 本発明の一実施形態に係わるMIS型電界効果トランジスタの製造プロセスの一例を示す概略断面図。
【図6】 本発明の一実施形態に係わるMIS型電界効果トランジスタの製造プロセスの一例を示す概略断面図。
【図7】 本発明の一実施形態に係わるMIS型電界効果トランジスタの製造プロセスの一例を示す概略断面図。
【図8】 本発明の一実施形態に係わるMIS型電界効果トランジスタの製造プロセスの一例を示す概略断面図。
【図9】 本発明の一実施形態に係わるMIS型電界効果トランジスタの製造プロセスの一例を示す概略断面図。
【図10】 本発明の一実施形態に係わるMIS型電界効果トランジスタの製造プロセスの一例を示す概略断面図。
【図11】 本発明の一実施形態に係わる絶縁層上にSi層領域とSiGe層領域を有する基板の製造プロセスの一例を示す概略断面図。
【図12】 従来のひずみSi層をチャネルに用いたMIS型電界効果トランジスタを示す断面概略図。
【符号の説明】
10…半導体基板
20…絶縁層
30…SiGe層(第1の半導体層)
40…ひずみSi層(第2の半導体層)
50…ソース領域
60…ドレイン領域
70…ゲート絶縁層
80…ゲート電極
11…絶縁層
12…SiGe結晶層
13…マスク
14…酸化層
15…ひずみ
21…第1絶縁層
22…SiGe結晶層
23…ひずみSi層
24…第2絶縁層
25…導電性層(ゲート電極)
26…第3絶縁層
27…半導体層
31…絶縁層
32、32´、32´´…SiGe結晶層
33…シリコン酸化物層
34…シリコン窒化物層
35…ひずみ
36…ゲート絶縁層
37…多結晶シリコン層(ゲート電極)
41…絶縁層
42…シリコン層
43…シリコン酸化物層
44…シリコン窒化物層
45…SiGe層
46…酸化物層

Claims (8)

  1. 主面を有する絶縁層と、
    前記絶縁層の前記主面上に設けられ、前記絶縁層の前記主面側の底面と、側面及び上面を有する第1の半導体層と、
    前記第1の半導体層の少なくとも前記側面上に設けられ、前記第1の半導体層と異なる格子定数を有し、互いに離間して設けられたソース領域及びドレイン領域を有し前記ソース領域及びドレイン領域により定義されるチャネル領域を形成する第2の半導体層と、
    前記第2の半導体層の前記チャネル領域上に設けられたゲート絶縁層及びゲート電極とを備え、
    前記チャネル領域のチャネル長方向が前記絶縁層の前記主面とほぼ平行であり、
    前記第2の半導体層表面における前記チャネル領域の面積が、前記第1半導体層の前記底面の面積よりも大であるMIS型電界効果トランジスタを備える半導体装置。
  2. 前記第1の半導体層はSiGe層であり、前記第2の半導体層がひずみSi層であることを特徴とする請求項1記載の半導体装置。
  3. 前記第1の半導体層はSi層であり、前記第2の半導体層はひずみSiGe層とすることを特徴とする請求項1記載の半導体装置。
  4. 主面を有する絶縁層と、
    前記絶縁層の前記主面上に設けられ、前記絶縁層の前記主面側の底面と、側面及び上面を有し、p型領域を有する第1の半導体層と、
    前記第1の半導体層の少なくとも前記側面上に設けられ、前記第1の半導体層と異なる格子定数を有し、p型領域と、互いに離間して設けられたn型ソース領域及びn型ドレイン領域を有し、前記n型ソース領域及びドレイン領域により定義されるチャネル領域を形成する第2の半導体層と、
    前記第2の半導体層の前記チャネル領域上に設けられたゲート絶縁層及びゲート電極とを備え、
    前記チャネル領域のチャネル長方向が前記絶縁層の前記主面とほぼ平行であり、前記第2の半導体層表面における前記チャネル領域の面積が前記第1半導体層の前記底面の面積よりも大であるnチャネルMIS型電界効果トランジスタ及び、
    前記絶縁層の前記主面上に設けられ、前記絶縁層側の前記主面側の底面と、側面及び上面を有し、n型領域を有する第3の半導体層と、
    前記第3の半導体層の少なくとも前記側面上に設けられ、前記第3の半導体層と異なる格子定数を有し、n型領域と、互いに離間して設けられたp型ソース領域及びp型ドレイン領域を有し、前記n型ソース領域及びドレイン領域により定義されるチャネル領域を形成する第4の半導体層と、
    前記第4の半導体層の前記チャネル領域上に設けられたゲート絶縁層及びゲート電極とを備え、
    前記チャネル領域のチャネル長方向が前記絶縁層の前記主面とほぼ平行であり、
    前記第4の半導体層表面における前記チャネル領域の面積が前記第3の半導体層の前記底面の面積よりも大であるpチャネルMIS型電界効果トランジスタと、を組み合わせたCMOS回路を備えることを特徴とする半導体装置。
  5. 前記nチャネルMIS型電界効果トランジスタの前記第1の半導体層はSiGe層でありかつ前記第2の半導体層がひずみSi層であり、
    前記pチャネルMIS型電界効果トランジスタの前記第3の半導体層はSiGe層でありかつ前記第4の半導体層はひずみSi層であることを特徴とする請求項4記載の半導体装置。
  6. 前記nチャネルMIS型電界効果トランジスタの前記第1の半導体層はSiGe層でありかつ前記第2の半導体層がひずみSi層であり、
    前記pチャネルMIS型電界効果トランジスタの前記第3の半導体層はSi層であり、前記第4の半導体層はひずみSiGe層とすることを特徴とする請求項4記載の半導体装置。
  7. 絶縁層とSiGe層との積層体を形成する工程と、
    前記SiGe層をエッチングし、前記絶縁層上に前記絶縁層側の底面と、側面及び上面を有する突起状のSiGe層を形成する工程と、
    前記積層体を酸化することにより前記SiGe層のGe組成を高濃度化する工程と、
    前記SiGe層の側面にSi層を形成する工程と
    前記Si層上にソース領域、ドレイン領域、ゲート絶縁層及びゲート電極とを形成する工程とを備えることを特徴とする半導体装置の製造方法。
  8. 絶縁層と前記絶縁層上に積層されたシリコン層とを備える半導体基板の前記シリコン層上に、選択的に、シリコン酸化物層及び前記シリコン酸化物層上に積層されたシリコン窒化物層とを備えるマスクを形成する工程と、
    前記マスクが形成された部分以外の前記シリコン層上にSiGe層を積層する工程と、
    前記半導体基板に熱酸化処理を施すことにより、前記SiGe層表面に酸化物層を形成すると同時にSiGe層とシリコン層との積層体をSiGe層単層に変化する工程と、
    前記酸化物層と、前記マスクを除去する工程とを備える半導体基板の製造方法。
JP2002045597A 2002-02-22 2002-02-22 半導体装置、半導体装置の製造方法、半導体基板の製造方法 Expired - Lifetime JP3782021B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2002045597A JP3782021B2 (ja) 2002-02-22 2002-02-22 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US10/369,662 US6774390B2 (en) 2002-02-22 2003-02-21 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002045597A JP3782021B2 (ja) 2002-02-22 2002-02-22 半導体装置、半導体装置の製造方法、半導体基板の製造方法

Publications (2)

Publication Number Publication Date
JP2003243667A JP2003243667A (ja) 2003-08-29
JP3782021B2 true JP3782021B2 (ja) 2006-06-07

Family

ID=27784371

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002045597A Expired - Lifetime JP3782021B2 (ja) 2002-02-22 2002-02-22 半導体装置、半導体装置の製造方法、半導体基板の製造方法

Country Status (2)

Country Link
US (1) US6774390B2 (ja)
JP (1) JP3782021B2 (ja)

Families Citing this family (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3782021B2 (ja) * 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US20030227057A1 (en) 2002-06-07 2003-12-11 Lochtefeld Anthony J. Strained-semiconductor-on-insulator device structures
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6800910B2 (en) * 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
US6794718B2 (en) * 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
US6803631B2 (en) * 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
KR100483425B1 (ko) * 2003-03-17 2005-04-14 삼성전자주식회사 반도체소자 및 그 제조 방법
JP4632046B2 (ja) * 2003-04-09 2011-02-16 日本電気株式会社 高移動度シリコンチャネルを有する縦型misfet半導体装置
WO2004107452A1 (ja) * 2003-05-30 2004-12-09 Matsushita Electric Industrial Co., Ltd. 半導体装置およびその製造方法
US7049660B2 (en) * 2003-05-30 2006-05-23 International Business Machines Corporation High-quality SGOI by oxidation near the alloy melting temperature
CN100454499C (zh) * 2003-06-04 2009-01-21 大见忠弘 半导体装置及其制造方法
US7812340B2 (en) * 2003-06-13 2010-10-12 International Business Machines Corporation Strained-silicon-on-insulator single-and double-gate MOSFET and method for forming the same
US7329923B2 (en) * 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US6921982B2 (en) * 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
JP2005051241A (ja) * 2003-07-25 2005-02-24 Interuniv Micro Electronica Centrum Vzw 多層ゲート半導体デバイス及びその製造方法
FR2861501B1 (fr) * 2003-10-22 2006-01-13 Commissariat Energie Atomique Dispositif microelectronique a effet de champ apte a former un ou plusiseurs canaux de transistors
KR100585111B1 (ko) 2003-11-24 2006-06-01 삼성전자주식회사 게르마늄 채널 영역을 가지는 비평면 트랜지스터 및 그제조 방법
US20050116290A1 (en) * 2003-12-02 2005-06-02 De Souza Joel P. Planar substrate with selected semiconductor crystal orientations formed by localized amorphization and recrystallization of stacked template layers
KR100596508B1 (ko) * 2003-12-26 2006-07-05 한국전자통신연구원 FinFET 및 Fin 채널 제조방법
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US7161169B2 (en) * 2004-01-07 2007-01-09 International Business Machines Corporation Enhancement of electron and hole mobilities in <110> Si under biaxial compressive strain
US7138302B2 (en) * 2004-01-12 2006-11-21 Advanced Micro Devices, Inc. Method of fabricating an integrated circuit channel region
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
EP1555688B1 (en) * 2004-01-17 2009-11-11 Samsung Electronics Co., Ltd. Method of manufacturing a multi-sided-channel finfet transistor
US7385247B2 (en) * 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
EP1566844A3 (en) * 2004-02-20 2006-04-05 Samsung Electronics Co., Ltd. Multi-gate transistor and method for manufacturing the same
KR100585131B1 (ko) 2004-02-20 2006-06-01 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
JP2005252067A (ja) * 2004-03-05 2005-09-15 Toshiba Corp 電界効果トランジスタ及びその製造方法
EP1575083A3 (en) * 2004-03-12 2008-09-03 Interuniversitair Microelektronica Centrum Method of manufacturing a semiconductor device and semiconductor device obtainable with such a method
TWI287856B (en) * 2004-03-12 2007-10-01 Imec Inter Uni Micro Electr Method of manufacturing a semiconductor device and semiconductor device obtainable with such a method
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
FR2870043B1 (fr) * 2004-05-07 2006-11-24 Commissariat Energie Atomique Fabrication de zones actives de natures differentes directement sur isolant et application au transistor mos a simple ou double grille
KR100613338B1 (ko) * 2004-05-18 2006-08-22 동부일렉트로닉스 주식회사 모스 트랜지스터 및 그 제조 방법
JP4157496B2 (ja) 2004-06-08 2008-10-01 株式会社東芝 半導体装置及びその製造方法
WO2005122276A1 (ja) 2004-06-10 2005-12-22 Nec Corporation 半導体装置及びその製造方法
JP4994581B2 (ja) * 2004-06-29 2012-08-08 富士通セミコンダクター株式会社 半導体装置
KR100541657B1 (ko) * 2004-06-29 2006-01-11 삼성전자주식회사 멀티 게이트 트랜지스터의 제조방법 및 이에 의해 제조된멀티 게이트 트랜지스터
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7253034B2 (en) * 2004-07-29 2007-08-07 International Business Machines Corporation Dual SIMOX hybrid orientation technology (HOT) substrates
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7071064B2 (en) * 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
KR100674914B1 (ko) 2004-09-25 2007-01-26 삼성전자주식회사 변형된 채널층을 갖는 모스 트랜지스터 및 그 제조방법
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7067868B2 (en) * 2004-09-29 2006-06-27 Freescale Semiconductor, Inc. Double gate device having a heterojunction source/drain and strained channel
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US6949768B1 (en) * 2004-10-18 2005-09-27 International Business Machines Corporation Planar substrate devices integrated with finfets and method of manufacture
US7358571B2 (en) * 2004-10-20 2008-04-15 Taiwan Semiconductor Manufacturing Company Isolation spacer for thin SOI devices
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
TWI263328B (en) * 2005-01-04 2006-10-01 Samsung Electronics Co Ltd Semiconductor devices having faceted channels and methods of fabricating such devices
KR100849177B1 (ko) 2005-01-04 2008-07-30 삼성전자주식회사 패싯 채널들을 갖는 모스 트랜지스터를 채택하는 반도체집적회로 소자들 및 그 제조방법들
US7271043B2 (en) 2005-01-18 2007-09-18 International Business Machines Corporation Method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
FR2881878A1 (fr) * 2005-02-04 2006-08-11 Soitec Silicon On Insulator Transistor a effet de champ
FR2881877B1 (fr) * 2005-02-04 2007-08-31 Soitec Silicon On Insulator Transistor a effet de champ multi-grille a canal multi-couche
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
JP4367357B2 (ja) * 2005-02-28 2009-11-18 セイコーエプソン株式会社 半導体装置の製造方法
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
JP2006332243A (ja) * 2005-05-25 2006-12-07 Toshiba Corp 半導体装置及びその製造方法
US7291539B2 (en) * 2005-06-01 2007-11-06 International Business Machines Corporation Amorphization/templated recrystallization method for hybrid orientation substrates
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7488670B2 (en) * 2005-07-13 2009-02-10 Infineon Technologies Ag Direct channel stress
US7402875B2 (en) * 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7352034B2 (en) * 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
CN100369264C (zh) * 2005-08-26 2008-02-13 东南大学 三维多栅高压n型横向双扩散金属氧化物半导体管
CN100369265C (zh) * 2005-08-26 2008-02-13 东南大学 三维多栅高压p型横向双扩散金属氧化物半导体管
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US20070069302A1 (en) * 2005-09-28 2007-03-29 Been-Yih Jin Method of fabricating CMOS devices having a single work function gate electrode by band gap engineering and article made thereby
US7265004B2 (en) * 2005-11-14 2007-09-04 Freescale Semiconductor, Inc. Electronic devices including a semiconductor layer and a process for forming the same
KR100653711B1 (ko) 2005-11-14 2006-12-05 삼성전자주식회사 쇼트키 배리어 핀 펫 소자 및 그 제조방법
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US8183556B2 (en) 2005-12-15 2012-05-22 Intel Corporation Extreme high mobility CMOS logic
US7396711B2 (en) 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
US7678630B2 (en) * 2006-02-15 2010-03-16 Infineon Technologies Ag Strained semiconductor device and method of making same
JP4635897B2 (ja) 2006-02-15 2011-02-23 株式会社東芝 半導体装置及びその製造方法
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US7449373B2 (en) * 2006-03-31 2008-11-11 Intel Corporation Method of ion implanting for tri-gate devices
FR2883661B1 (fr) * 2006-05-04 2008-04-25 Soitec Silicon On Insulator Transistor a effet de champ multi-grille a canal multi-couche
US7422960B2 (en) 2006-05-17 2008-09-09 Micron Technology, Inc. Method of forming gate arrays on a partial SOI substrate
JP4271210B2 (ja) 2006-06-30 2009-06-03 株式会社東芝 電界効果トランジスタ、集積回路素子、及びそれらの製造方法
US7629220B2 (en) * 2006-06-30 2009-12-08 Freescale Semiconductor, Inc. Method for forming a semiconductor device and structure thereof
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7537994B2 (en) * 2006-08-28 2009-05-26 Micron Technology, Inc. Methods of forming semiconductor devices, assemblies and constructions
WO2008030574A1 (en) 2006-09-07 2008-03-13 Amberwave Systems Corporation Defect reduction using aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US20080187018A1 (en) 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
DE102007008562A1 (de) * 2007-02-21 2008-08-28 Qimonda Ag Feldeffekttransistor-Anordnung
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
EP1993136A1 (en) * 2007-05-14 2008-11-19 Interuniversitair Microelektronica Centrum (IMEC) Multi-gate MOSFET device and method of manufacturing same
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US20080315310A1 (en) * 2007-06-19 2008-12-25 Willy Rachmady High k dielectric materials integrated into multi-gate transistor structures
US7550773B2 (en) * 2007-06-27 2009-06-23 International Business Machines Corporation FinFET with top body contact
US20090053864A1 (en) * 2007-08-23 2009-02-26 Jinping Liu Method for fabricating a semiconductor structure having heterogeneous crystalline orientations
DE112008002387B4 (de) 2007-09-07 2022-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur einer Mehrfachübergangs-Solarzelle, Verfahren zur Bildung einer photonischenVorrichtung, Photovoltaische Mehrfachübergangs-Zelle und Photovoltaische Mehrfachübergangs-Zellenvorrichtung,
JP4966153B2 (ja) 2007-10-05 2012-07-04 株式会社東芝 電界効果トランジスタおよびその製造方法
US20090173967A1 (en) * 2008-01-04 2009-07-09 International Business Machines Corporation Strained-channel fet comprising twist-bonded semiconductor layer
JP2008160145A (ja) * 2008-02-04 2008-07-10 Renesas Technology Corp 絶縁ゲート型電界効果型トランジスタ及び半導体装置
US7939416B2 (en) * 2008-04-02 2011-05-10 Nxp B.V. Method of making bipolar transistor
KR101505494B1 (ko) 2008-04-30 2015-03-24 한양대학교 산학협력단 무 커패시터 메모리 소자
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
JP5416212B2 (ja) 2008-09-19 2014-02-12 台湾積體電路製造股▲ふん▼有限公司 エピタキシャル層の成長によるデバイス形成
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
JP5003699B2 (ja) * 2009-03-10 2012-08-15 株式会社日立製作所 シリコン発光ダイオード、シリコン光トランジスタ、シリコンレーザー及びそれらの製造方法。
CN102379046B (zh) 2009-04-02 2015-06-17 台湾积体电路制造股份有限公司 从晶体材料的非极性平面形成的器件及其制作方法
US8629478B2 (en) 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8263451B2 (en) * 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
JP5431372B2 (ja) * 2011-01-05 2014-03-05 株式会社東芝 半導体装置およびその製造方法
CN102881724B (zh) * 2011-07-15 2016-08-17 中国科学院微电子研究所 多栅晶体管及其制造方法
CN103187446B (zh) * 2011-12-31 2016-02-03 中芯国际集成电路制造(上海)有限公司 多栅极场效应晶体管及其制造方法
US8809947B1 (en) * 2013-05-30 2014-08-19 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with cladded non-planar transistor structures
US9263455B2 (en) 2013-07-23 2016-02-16 Micron Technology, Inc. Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines
JP6235325B2 (ja) * 2013-12-10 2017-11-22 株式会社東芝 電界効果トランジスタ及びその製造方法、半導体デバイス及びその製造方法
US9263586B2 (en) 2014-06-06 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum well fin-like field effect transistor (QWFinFET) having a two-section combo QW structure
US10256098B2 (en) 2015-10-29 2019-04-09 Micron Technology, Inc. Integrated assemblies containing germanium
US11257932B2 (en) * 2020-01-30 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3604791B2 (ja) * 1995-11-09 2004-12-22 株式会社ルネサステクノロジ 半導体装置の製造方法
US5963817A (en) * 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6369438B1 (en) 1998-12-24 2002-04-09 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6326667B1 (en) 1999-09-09 2001-12-04 Kabushiki Kaisha Toshiba Semiconductor devices and methods for producing semiconductor devices
US6326272B1 (en) * 1999-11-18 2001-12-04 Chartered Semiconductor Manufacturing Ltd. Method for forming self-aligned elevated transistor
JP2002043576A (ja) * 2000-07-24 2002-02-08 Univ Tohoku 半導体装置
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
JP3782021B2 (ja) * 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
JP2003264290A (ja) * 2002-03-08 2003-09-19 Fujitsu Ltd 半導体装置及びその製造方法
US6828632B2 (en) * 2002-07-18 2004-12-07 Micron Technology, Inc. Stable PD-SOI devices and methods

Also Published As

Publication number Publication date
US20030227036A1 (en) 2003-12-11
JP2003243667A (ja) 2003-08-29
US6774390B2 (en) 2004-08-10

Similar Documents

Publication Publication Date Title
JP3782021B2 (ja) 半導体装置、半導体装置の製造方法、半導体基板の製造方法
JP4044276B2 (ja) 半導体装置及びその製造方法
US7374988B2 (en) NFET and PFET devices and methods of fabricating same
US20070221956A1 (en) Semiconductor device and method of fabricating the same
JP5567247B2 (ja) 半導体装置およびその製造方法
JP3597831B2 (ja) 電界効果トランジスタ及びその製造方法
US20130020640A1 (en) Semiconductor device structure insulated from a bulk silicon substrate and method of forming the same
JP2002237590A (ja) Mos型電界効果トランジスタ
JP2005521258A (ja) 歪みフィンfet構造および方法
JPH08167718A (ja) Mis型fetおよびその製造方法
JP3872316B2 (ja) トランジスタを形成する方法
JP4268647B2 (ja) 半導体素子およびその製造方法
JP3605086B2 (ja) 電界効果トランジスタ
JPH05343686A (ja) 半導体装置およびその製造方法
JP3389009B2 (ja) 半導体装置およびその製造方法
JP2004214457A (ja) 半導体装置及び半導体装置の製造方法
JPH08330527A (ja) 半導体基板の製造方法
JP3600174B2 (ja) 半導体装置の製造方法及び半導体装置
JP2672184B2 (ja) 半導体装置の製造方法
JP5172264B2 (ja) 半導体装置
JP2006202950A (ja) Mos型電界効果トランジスタ及びその製造方法
JPH06302826A (ja) 絶縁ゲート電界効果トランジスタ及びその製造方法
JP4265890B2 (ja) 絶縁ゲート型電界効果トランジスタの製造方法
JPH0548108A (ja) 半導体装置およびその製造方法
JP2005093874A (ja) 半導体装置および半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040225

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20050414

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20050606

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20051209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060208

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060307

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060308

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100317

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100317

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110317

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120317

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130317

Year of fee payment: 7