JP2002043576A - 半導体装置 - Google Patents

半導体装置

Info

Publication number
JP2002043576A
JP2002043576A JP2000222807A JP2000222807A JP2002043576A JP 2002043576 A JP2002043576 A JP 2002043576A JP 2000222807 A JP2000222807 A JP 2000222807A JP 2000222807 A JP2000222807 A JP 2000222807A JP 2002043576 A JP2002043576 A JP 2002043576A
Authority
JP
Japan
Prior art keywords
layer
channel
sige
strained
layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000222807A
Other languages
English (en)
Inventor
Junichi Murota
淳一 室田
Toshiaki Tsuchiya
敏章 土屋
Takashi Matsuura
孝 松浦
Masao Sakuraba
政夫 櫻庭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tohoku University NUC
Original Assignee
Tohoku University NUC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tohoku University NUC filed Critical Tohoku University NUC
Priority to JP2000222807A priority Critical patent/JP2002043576A/ja
Priority to EP01117532A priority patent/EP1178532A2/en
Priority to US09/908,574 priority patent/US20020008289A1/en
Priority to KR1020010044099A priority patent/KR20020008789A/ko
Publication of JP2002043576A publication Critical patent/JP2002043576A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/802Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with heterojunction gate, e.g. transistors with semiconductor layer acting as gate insulating layer, MIS-like transistors

Abstract

(57)【要約】 【課題】 CMOSFET構成のLSI等の形成を容易
にし、電子移動度および正孔移動度の向上により、チャ
ネル電流を増大して各素子の高性能化を図る。 【解決手段】 基板(8)と、該基板上に形成された絶縁
層(7)と、該絶縁層上に順次形成された第1のSi層(3,
6)/SiGe層(2,5)/第2のSi層(1,4)を含む積層領
域とを有し、表面側の上記第2のSi層(1,4)と上記Si
Ge層は、積層領域の各層のそれぞれの格子定数の差に
基づく歪を有しており、該積層領域にはnMOSFET
(17)およびpMOSFET(18)が形成され、nMOSF
ETは歪を有する上記第2のSi層をチャネルとする表
面チャネルを有し、上記pMOSFETは、歪を有する
SiGe層をチャネルとする埋め込みチャネルと、上記
第2のSi層をチャネルとする表面チャネルとの二重チ
ャネルを有する半導体装置。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は特にCMOSFET
に有効に利用し得る半導体装置の構造に関するものであ
り、詳しくは、Si層/SiGe層/Si層からなる積
層領域を設け、そこにnMOSFETとpMOSFET
を形成する半導体装置に関するものである。
【0002】この半導体装置は、LSI等の集積回路製
造の主流技術であるSi−CMOS技術を格段に進展さ
せるものであり、特に情報通信、情報処理装置や各種電
子システムに使用されるLSIに好適に利用し得るもの
である。
【0003】
【従来の技術】電子通信および情報等の各種システムの
発展にともない、使用されるLSIの高速化、低消費電
力に対する要求はさらに高まってきている。CMOSL
SIは低消費電力のLSI用として利用されているが、
より高速化、高性能化が望まれている。
【0004】半導体素子をより高速化、高性能化するた
めの構造として、歪Si/SiGe構造による歪Si層
内での電子移動度および正孔移動度の向上効果の利用が
ある。これらの効果はSiとSiGeの格子定数の差に
基づいてSi層に歪みを与え、Si層のエネルギーバン
ド構造を変えることによって得られるものである。
【0005】その事例として、歪Si層を有するバルク
Si基板に形成したnMOSFETおよびpMOSFE
Tに関する提案がなされている(例えば、Semicond.Sc
i.Technol.Vol.13,pp.1225-1246,1998 C K Maiti et a
l “Strained-Si heterostructure field effect trans
istors)。
【0006】
【発明が解決しようとする課題】かかる従来例技術おけ
る歪Si層を有するnMOSFET31は、図2に示す
ように、歪Si層32/無歪SiGe層33/SiGe
傾斜バッファ層34/Si基板35からなる積層構造に
基づき作成される(例えば、1994 IEDM Tech.Dig.,pp.3
73-376)。かかる構造を利用し、MOSFETとして、
ソース領域37、ドレイン領域38、ゲート酸化膜39
およびゲート電極36がそれぞれ形成される。
【0007】一方、従来例技術おけるpMOSFET4
1は、図3に示すように、歪Si層42/歪SiGe層
43/無歪SiGe層44/SiGe傾斜バッファ層4
5/Si基板46からなる積層構造に基づき形成される
(例えば、1995 IEDM Tech.Dig.,pp.517-520)。そし
て、MOSFETとして、ソース領域47、ドレイン領
域48、ゲート酸化膜49およびゲート電極50がそれ
ぞれ形成される。
【0008】しかし、上記従来例技術おけるnMOSF
ET31およびpMOSFET41は、積層構造の構成
が互に異なっている。このため、同一積層構造でnMO
SFETとpMOSFETの両者を形成することができ
ず、CMOS化が困難で、実際のCMOS集積回路の製
造に応用することは難しいという問題点を有している。
【0009】また、他の従来例として、無歪SiGe層
/SiGeバッファ層/Si基板からなる構造を形成
し、無歪みSiGe中に多量の酸素イオンを注入して埋
め込み酸化膜を形成し、次に、無歪SiGe層上に歪S
i層をエピタキシャル成長させてSOI(Silicon on I
nsulator)構造の歪Si/無歪SiGe構造を作成し
て、歪Siによるキャリア移動度向上効果を利用したn
MOSFETとpMOSFETの提案がなされている
(例えば、1999 IEDM Tech.Dig.pp.934-936)。
【0010】しかし、この構造は、SiGe層中に埋め
込み酸化膜を形成するため、酸素イオン注入後に135
0℃の高温熱処理を必要とする。このため、結晶品質が
良好な高Ge比率のSiGe層の作成が困難であり、さ
らにSOI構造の利点を活かすために必要な高品質の極
薄Si層/極薄SiGe層の作成が難しいなどの問題点
を有している。
【0011】したがって、本発明は、上記従来技術の問
題点に鑑みてなされたもので、CMOSFET構成のL
SIおよび超LSIの形成が容易であり、電子移動度お
よび正孔移動度の向上により、チャネル電流を増大して
各素子の高性能化を図った半導体素子を得ることを目的
とする。さらに、基板等に対する寄生容量が小さいた
め、より高速の動作を可能とする半導体素子を得ること
を目的とする。
【0012】
【課題を解決するための手段】以上のような技術的問題
点を解決し、超高速、低消費電力のCMOSLSI等を
実現するために、本発明では、同一の歪Si層/歪Si
Ge層/Si層からなる積層構造にnMOSFETとp
MOSFETの両者を形成してCMOS化を容易にし
た。そして、nMOSFETは表面の歪Si層による電
子移動度向上効果により高性能化し、pMOSFETは
表面歪Si層および歪SiGe層による二重チャネル構
造と、表面歪Si層による正孔移動度向上効果の両者で
高性能化している。
【0013】即ち本発明は、基板と、前記基板上に形成
された絶縁層と、前記絶縁層上に順次形成された第1の
Si層/SiGe層/第2のSi層を含む積層領域とを
有し、表面側の前記第2のSi層と前記SiGe層は、
前記積層領域の各層のそれぞれの格子定数の差に基づく
歪を有しており、前記積層領域にはnMOSFETおよ
びpMOSFETが形成され、前記nMOSFETは歪
を有する前記第2のSi層をチャネルとする表面チャネ
ルを有し、前記pMOSFETは、歪を有する前記Si
Ge層をチャネルとする埋め込みチャネルと、歪を有す
る前記第2のSi層をチャネルとする表面チャネルとの
二重チャネルを有する半導体装置である。
【0014】さらに、前記SiGe層および前記第2の
Si層は化学気相成長法により形成された層である半導
体装置であり、前記SiGe層はさらにC原子を含む層
である半導体装置であり、前記基板はSi単結晶基板で
ある半導体装置である。
【0015】
【発明の実施の形態】以下、本発明の実施の形態を、添
付図面に示す具体的な例に基づいて、詳細に説明する。
以下の説明は本発明に関する一実施の形態であり、本発
明の一般的原理を理解することを目的とするものであ
る。従って、本発明をこの実施の形態に具体的に記載さ
れた構成のみに限定するものではない。
【0016】本発明の実施の形態を図1に示す。埋め込
み酸化膜7として形成された絶縁層上に、歪Si層1、
4/歪SiGe層2、5/Si層3、6の積層領域が同
一製造工程により形成され、かかる同一の製造工程によ
り形成された積層構造内にnMOSFET17とpMO
SFET18との動作領域を形成する。
【0017】表面Si層1、4とその下部に位置するS
iGe層2、5(または、Cを含むSiGe層)は、表
面Si層1、4とSiGe層2、5間の格子定数の差、
およびにSiGe層2、5と埋め込み酸化膜上のSi層
3,6間の格子定数の差に基づく歪を有している。純粋
なSi単結晶と純粋なGe単結晶との格子定数の差はお
よそ4.2%あるが、SiGeの組成比を制御して各層
間の格子定数に0.7〜1%、より望ましくは0.7%
程度の差を有するように形成した場合に生ずる歪みによ
り、顕著な移動度の向上が生ずる。
【0018】積層構造1、2、3および4、5、6の各
層の厚さは、絶縁層7上のSi層3、6の厚さは概略1
00nm以下とし、また歪SiGe層2、5の厚さは5〜
20nmとし、より好ましくは5〜10nmとし、また表面
の歪Si層1、4の厚さは2〜5nmとするのが各歪み層
の電子または正孔の移動度向上のためには望ましい。
【0019】絶縁層7とその上のSi層3、6の形成
は、通常のSOI(Silicon-On-Insulator)基板と同様
に、SIMOX(Separation by Implanted OXygen)法
あるいはウェーハ張り合わせ技術を用いて形成する。本
実施の形態におけるSOI基板は、基板としてSi単結
晶基板8を使用し、その上に形成されているSi酸化膜
層7と薄い第1のSi単結晶層3、6とからなる。
【0020】この第1の単結晶Si層3、6上にSiG
e層2、5およびその上部に位置する第2のSi層1、
4が例えば化学気相成長法によるエピタキシャル成長に
よって形成される。蒸着等他の方法を使用して成膜する
ことも可能である。化学気相成長による場合各層の気相
成長には通常の半導体プロセスが使用される。例えば、
SiについてはSiHを、GeについてはGeH
用いて、キャリアガスを含む所望の混合比の混合ガスを
形成し、この混合ガスを通常の気相成長装置に導き熱分
解等して層形成を行うことができる。
【0021】本発明においてSiGe層2、5は、例え
ばSi50%Ge50%の組成比で形成される。Geの
組成比を大きくし過ぎると、Siの格子定数に比べSi
Ge混晶の格子定数が大きくなり過ぎ、歪みが大きくな
り過ぎて好ましくない。なお、この層の形成にはSiお
よびGe原子の他にC原子を追加し、3種類の原子によ
り構成することもできる。Cの格子定数はGeの格子定
数に比較して著しく小さいので、Cを組成比で最大2%
程度混入させることにより、SiGe混晶の格子定数を
調整し、表面Si層およびSiGe層に最適の歪みを与
えるようにすることができる。Cを添加する場合は、例
えば所定量のCHSiHを、上記混合ガスに加える
ことにより行うことが可能である。
【0022】CMOSFET17、18を形成する本実
施の形態においては、Si層/SiGe層/Si層の各
層は、特に人為的に不純物をドープしない層として形成
する。しかし、MOSトランジスタとして要求される電
気的特性を満たすため、所定の層に、例えば気相成長時
にまたは気相成長後のイオン注入により、所望の濃度の
pまたはn型層を形成する不純物を導入することも可能
である。
【0023】本発明においてnMOSFET17は、表
面の歪Si層1をチャネルとする表面チャネル型の動作
をする。表面Si層1の伝導帯位置はSiGe層2の伝
導帯位置より低くなるため、SiGe層には埋め込みチ
ャネルは形成されず、表面歪Si層1に表面チャネルが
形成される。この表面の歪Si層1における電子の移動
度は、通常の単結晶中の電子の移動度と比較しおよそ
1.8倍の値を有する。
【0024】pMOSFET18は、表面Si層4に表
面反転層が形成されて、表面チャネルが形成されるほ
か、正孔に対する歪SiGe層5の価電子帯位置が表面
Si層4の価電子帯位置より低くなるために、正孔がS
iGe層5に閉じ込められ、埋め込みチャネルが形成さ
れる。
【0025】このため、pMOSFET18は、歪Si
Ge層5(または、Cを含む歪SiGe層)による埋め
込みチャネルと、表面の歪Si層4をチャネルとする表
面チャネルの二重チャネルにより動作する。特に、歪S
iGe層5における正孔の移動度は通常の単結晶中の正
孔の移動度と比較しおよそ2〜3倍の値を有することが
確認できた。また、歪Si層4の正孔移動度は1.4〜
1.8倍に向上するため、これらの効果によりpMOS
FET18の電流は大きく増大する。
【0026】nMOSFET17のソースおよびドレイン
のn領域11、12は、Si層/SiGe層/Si層
の積層領域1、2、3および4、5、6を形成した後、
この積層領域に所定のパターンのマスクを用いて高濃度
の砒素または燐をイオン注入、アニールすることにより
形成される。イオン注入に換えて拡散によりn領域1
1、12を形成することも可能である。
【0027】pMOSFET18のソースおよびドレイン
のp領域15、16も、nMOSFETの場合と同様
に、所定のパターンのマスクを用いて高濃度のホウ素を
イオン注入、アニールすることにより形成される。な
お、イオン注入に換えて拡散により形成することも可能
である。
【0028】nMOSFET17およびpMOSFET18を
互いに分離する酸化膜層19は、Si層/SiGe層/
Si層の積層領域を形成した後、この酸化膜層19を形
成する部分の積層領域半導体層をエッチングにより除い
て溝部20を形成し、この溝部20内に通常の方法によ
り例えばSiO膜等の絶縁膜を埋め込むことにより形
成する。
【0029】ゲート酸化膜10、14、ゲート電極9、
13、ゲート電極側壁21、22、および絶縁膜23等
は通常のCMOSの製造工程を用いて形成することがで
きるので製造工程の説明は省略する。
【0030】以上の説明では主にCMOS集積回路への
適用を念頭において説明したが、本発明はCMOS集積
回路に限定されるものではなく、少なくともnMOSFE
TおよびpMOSFETの双方を含む半導体装置に適用し
得るものである。
【0031】
【発明の効果】この発明によれば、次のような効果を奏
する。図1に示すように、nMOSFET17とpMO
SFET18を同一積層構造内に形成するため、CMO
S集積回路への適用が容易である。nMOSFET17
は表面の歪Si層1をチャネルとする表面チャネル型の
動作をしており、歪Siによる電子移動度の向上効果に
よってドレイン電流が増大し高性能化している。
【0032】PMOSFET18は、二重チャネル動作
することと、表面の歪Si4および歪SiGe5による
正孔移動度向上効果によりドレイン電流が増大し高性能
化している。さらに、基板等に対する寄生容量が小さい
ため、より高速の動作が可能となる。
【0033】以上、本発明の実施の形態について図示し
また説明したが、本発明の技術的範囲を逸脱せずに、種
々の変形が可能であることは明らかである。
【0034】なお、本願発明は、上記実施形態に限定さ
れるものではなく、実施段階ではその要旨を逸脱しない
範囲で種々に変形することが可能である。更に、上記実
施形態には種々の段階の発明が含まれており、開示され
る複数の構成要件における適宜な組合わせにより種々の
発明が抽出され得る。例えば実施形態に示される全構成
要件から幾つかの構成要件が削除されても、発明が解決
しようとする課題の欄で述べた課題の少なくとも1つが
解決でき、発明の効果の欄で述べられている効果の少な
くとも1つが得られる場合には、この構成要件が削除さ
れた効果が発明として抽出され得る。
【図面の簡単な説明】
【図1】本発明実施の形態を示す図である。
【図2】従来技術によるnMOSFETの構造を示す図
である。
【図3】従来技術によるpMOSFETの構造を示す図
である。
【符号の説明】
1…nMOS歪Si領域 2…nMOS歪SiGe領域 3…nMOS無歪Si領域 4…pMOS歪Si領域 5…pMOS歪SiGe領域 6…pMOS無歪Si領域 7…埋め込み酸化膜 8…Si基板 9…nMOSゲート電極 10…nMOSゲート絶縁膜 11…nMOSソースn層 12…nMOSドレインn層 13…pMOSゲート電極 14…pMOSゲート絶縁膜 15…pMOSソースp層 16…pMOSドレインp層 17…nMOSFET 18…pMOSFET 19…酸化膜層 20…溝部 21…nMOSゲート側壁 22…pMOSゲート側壁 23…絶縁膜 31…nMOSFET 32…歪Si層 33…無歪SiGe層 34…SiGe傾斜バッファ層 35…Si基板 36…ゲート電極 37…ソース領域 38…ドレイン領域 39…ゲート酸化膜 41…pMOSFET 42…歪Si層 43…歪SiGe層 44…無歪SiGe層 45…SiGe傾斜バッファ層 46…Si基板 47…ソース領域 48…ドレイン領域 49…ゲート酸化膜t 50…ゲート電極
─────────────────────────────────────────────────────
【手続補正書】
【提出日】平成12年7月31日(2000.7.3
1)
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】0024
【補正方法】変更
【補正内容】
【0024】pMOSFET18は、表面Si層4に表
面反転層が形成されて、表面チャネルが形成されるほ
か、正孔に対する歪SiGe層5の価電子帯位置が表面
Si層4の価電子帯位置より低くなるために、正孔がS
iGe層5に閉じ込められ、埋め込みチャネルが形成さ
れる。歪Si層4の正孔移動度は1.4〜1.8倍に向
上する。
【手続補正2】
【補正対象書類名】明細書
【補正対象項目名】0025
【補正方法】変更
【補正内容】
【0025】このため、pMOSFET18は、歪Si
Ge層5(または、Cを含む歪SiGe層)による埋め
込みチャネルと、表面の歪Si層4をチャネルとする表
面チャネルの二重チャネルにより動作し、通常の単結晶
Siのみで構成したpMOSFETに比べて、2倍以上
の相互コンダクタンスが得られることを確認している。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 松浦 孝 宮城県仙台市太白区郡山6丁目5の13の 204 (72)発明者 櫻庭 政夫 宮城県仙台市若林区畳屋丁39 メゾン・エ スポワール101 Fターム(参考) 5F048 AA08 AC04 BA04 BA14 BA16 BD05 BG01 BG14 DA24 5F110 BB04 CC02 DD05 DD13 EE31 GG01 GG02 GG06 GG12 GG19 GG35 GG44 GG52 HJ01 HJ13 HJ15 HJ23 QQ17

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】 基板と、 前記基板上に形成された絶縁層と、 前記絶縁層上に順次形成された第1のSi層/SiGe
    層/第2のSi層を含む積層領域とを有し、 表面側の前記第2のSi層と前記SiGe層は、前記積
    層領域の各層のそれぞれの格子定数の差に基づく歪を有
    しており、 前記積層領域にはnMOSFETおよびpMOSFET
    が形成され、 前記nMOSFETは歪を有する前記第2のSi層をチ
    ャネルとする表面チャネルを有し、 前記pMOSFETは、歪を有する前記SiGe層をチ
    ャネルとする埋め込みチャネルと、歪を有する前記第2
    のSi層をチャネルとする表面チャネルとの二重チャネ
    ルを有することを特徴とする半導体装置。
  2. 【請求項2】 前記SiGe層および前記第2のSi層
    は化学気相成長法により形成された層であることを特徴
    とする請求項1記載の半導体装置。
  3. 【請求項3】 前記SiGe層はさらにC原子を含む層
    であることを特徴とする請求項1または請求項2記載の
    半導体装置。
  4. 【請求項4】 前記基板はSi単結晶基板であることを
    特徴とする請求項1記載の半導体装置。
JP2000222807A 2000-07-24 2000-07-24 半導体装置 Pending JP2002043576A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2000222807A JP2002043576A (ja) 2000-07-24 2000-07-24 半導体装置
EP01117532A EP1178532A2 (en) 2000-07-24 2001-07-20 NMOS and PMOS with strained channel layer
US09/908,574 US20020008289A1 (en) 2000-07-24 2001-07-20 Mosfet with strained channel layer
KR1020010044099A KR20020008789A (ko) 2000-07-24 2001-07-23 왜곡을 갖는 채널층을 포함하는 mos형 fet 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000222807A JP2002043576A (ja) 2000-07-24 2000-07-24 半導体装置

Publications (1)

Publication Number Publication Date
JP2002043576A true JP2002043576A (ja) 2002-02-08

Family

ID=18717003

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000222807A Pending JP2002043576A (ja) 2000-07-24 2000-07-24 半導体装置

Country Status (4)

Country Link
US (1) US20020008289A1 (ja)
EP (1) EP1178532A2 (ja)
JP (1) JP2002043576A (ja)
KR (1) KR20020008789A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030069407A (ko) * 2002-02-20 2003-08-27 한국전자통신연구원 이종접합 구조를 갖는 반도체 소자의 시모스 트랜지스터제조 방법
US9595610B2 (en) 2014-07-07 2017-03-14 Samsung Electronics Co., Ltd. Field effect transistor and method of fabricating the same

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410371B1 (en) * 2001-02-26 2002-06-25 Advanced Micro Devices, Inc. Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer
US6849508B2 (en) * 2001-06-07 2005-02-01 Amberwave Systems Corporation Method of forming multiple gate insulators on a strained semiconductor heterostructure
CN1620728A (zh) * 2002-01-21 2005-05-25 松下电器产业株式会社 半导体装置
JP3782021B2 (ja) * 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
DE10218381A1 (de) * 2002-04-24 2004-02-26 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer oder mehrerer einkristalliner Schichten mit jeweils unterschiedlicher Gitterstruktur in einer Ebene einer Schichtenfolge
US6680496B1 (en) * 2002-07-08 2004-01-20 Amberwave Systems Corp. Back-biasing to populate strained layer quantum wells
US6756276B1 (en) * 2002-09-30 2004-06-29 Advanced Micro Devices, Inc. Strained silicon MOSFET having improved source/drain extension dopant diffusion resistance and method for its fabrication
US6800910B2 (en) * 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
US6627515B1 (en) 2002-12-13 2003-09-30 Taiwan Semiconductor Manufacturing Company Method of fabricating a non-floating body device with enhanced performance
US20040245571A1 (en) * 2003-02-13 2004-12-09 Zhiyuan Cheng Semiconductor-on-insulator article and method of making same
KR100679737B1 (ko) * 2003-05-19 2007-02-07 도시바세라믹스가부시키가이샤 왜곡층을 가지는 실리콘기판의 제조방법
JP4557508B2 (ja) * 2003-06-16 2010-10-06 パナソニック株式会社 半導体装置
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US6936881B2 (en) * 2003-07-25 2005-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor that includes high permittivity capacitor dielectric
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US7071052B2 (en) * 2003-08-18 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor with reduced leakage
US8450806B2 (en) * 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US7023018B2 (en) * 2004-04-06 2006-04-04 Texas Instruments Incorporated SiGe transistor with strained layers
US7791107B2 (en) * 2004-06-16 2010-09-07 Massachusetts Institute Of Technology Strained tri-channel layer for semiconductor-based electronic devices
KR100592749B1 (ko) 2004-11-17 2006-06-26 한국전자통신연구원 실리콘과 실리콘 게르마늄 이종 구조를 가지는 고전압전계효과 트랜지스터 및 그 제조 방법
US7271043B2 (en) * 2005-01-18 2007-09-18 International Business Machines Corporation Method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
US7470972B2 (en) * 2005-03-11 2008-12-30 Intel Corporation Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress
US20060234455A1 (en) * 2005-04-19 2006-10-19 Chien-Hao Chen Structures and methods for forming a locally strained transistor
US7449756B2 (en) * 2005-06-13 2008-11-11 Intel Corporation Semiconductor device with a high-k gate dielectric and a metal gate electrode
US7528028B2 (en) * 2005-06-17 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Super anneal for process induced strain modulation
FR2887370B1 (fr) * 2005-06-17 2007-12-28 Commissariat Energie Atomique Procede de fabrication d'un transistor isole a canal contraint
US20080050883A1 (en) * 2006-08-25 2008-02-28 Atmel Corporation Hetrojunction bipolar transistor (hbt) with periodic multilayer base
US20070010073A1 (en) * 2005-07-06 2007-01-11 Chien-Hao Chen Method of forming a MOS device having a strained channel region
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
WO2007067589A2 (en) * 2005-12-05 2007-06-14 Massachusetts Institute Of Technology Insulated gate devices and method of making same
US20070148890A1 (en) * 2005-12-27 2007-06-28 Enicks Darwin G Oxygen enhanced metastable silicon germanium film layer
US8900980B2 (en) * 2006-01-20 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Defect-free SiGe source/drain formation by epitaxy-free process
US8063397B2 (en) * 2006-06-28 2011-11-22 Massachusetts Institute Of Technology Semiconductor light-emitting structure and graded-composition substrate providing yellow-green light emission
US8558278B2 (en) * 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
US20080191285A1 (en) * 2007-02-09 2008-08-14 Chih-Hsin Ko CMOS devices with schottky source and drain regions
US7795119B2 (en) * 2007-07-17 2010-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Flash anneal for a PAI, NiSi process
US7943961B2 (en) * 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US7808051B2 (en) * 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US8716752B2 (en) * 2009-12-14 2014-05-06 Stmicroelectronics, Inc. Structure and method for making a strained silicon transistor
US8836041B2 (en) * 2012-11-16 2014-09-16 Stmicroelectronics, Inc. Dual EPI CMOS integration for planar substrates
CN104517847B (zh) * 2013-09-29 2017-07-14 中芯国际集成电路制造(上海)有限公司 无结晶体管及其形成方法
CN104992931A (zh) * 2015-07-07 2015-10-21 西安电子科技大学 基于GOI的增强型NMOS形成的应变SiGe CMOS集成器件及制备方法
CN105244319A (zh) * 2015-08-28 2016-01-13 西安电子科技大学 应变SiGe沟道的倒梯形栅CMOS集成器件及制备方法
CN105140185A (zh) * 2015-08-28 2015-12-09 西安电子科技大学 基于GOI的应变SiGe沟道槽型栅CMOS集成器件的制备方法
CN105118809A (zh) * 2015-08-28 2015-12-02 西安电子科技大学 应变Ge槽型栅CMOS集成器件制备方法及其CMOS集成器件
CN105244373A (zh) * 2015-08-28 2016-01-13 陕西学前师范学院 应变Ge倒梯形栅PMOS器件及制备方法
US9953874B2 (en) * 2016-04-28 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5019882A (en) * 1989-05-15 1991-05-28 International Business Machines Corporation Germanium channel silicon MOSFET
JP3146045B2 (ja) * 1992-01-06 2001-03-12 株式会社東芝 半導体装置及びその製造方法
EP0838858B1 (de) * 1996-09-27 2002-05-15 Infineon Technologies AG Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
JP4220665B2 (ja) * 1999-11-15 2009-02-04 パナソニック株式会社 半導体装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030069407A (ko) * 2002-02-20 2003-08-27 한국전자통신연구원 이종접합 구조를 갖는 반도체 소자의 시모스 트랜지스터제조 방법
US9595610B2 (en) 2014-07-07 2017-03-14 Samsung Electronics Co., Ltd. Field effect transistor and method of fabricating the same

Also Published As

Publication number Publication date
EP1178532A2 (en) 2002-02-06
US20020008289A1 (en) 2002-01-24
KR20020008789A (ko) 2002-01-31

Similar Documents

Publication Publication Date Title
JP2002043576A (ja) 半導体装置
JP4678877B2 (ja) Si:C−OIおよびSGOI上のシリコン・デバイスならびに製造方法
US6974735B2 (en) Dual layer Semiconductor Devices
JP3376211B2 (ja) 半導体装置、半導体基板の製造方法及び半導体装置の製造方法
JP3512701B2 (ja) 半導体装置及びその製造方法
US6911379B2 (en) Method of forming strained silicon on insulator substrate
JP4130652B2 (ja) 半導体構造およびその製造方法
JP3372158B2 (ja) 半導体装置及びその製造方法
US6709909B2 (en) Semiconductor device and method of manufacturing the same
US7528056B2 (en) Low-cost strained SOI substrate for high-performance CMOS technology
US9076867B2 (en) Semiconductor device structures including strained transistor channels
CN102820253B (zh) 一种基于soi衬底的高迁移率双沟道材料的制备方法
US20050233552A1 (en) Strained channel on insulator device
US20070262361A1 (en) Structure and method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
JPH10270685A (ja) 電界効果トランジスタとその製造方法、半導体装置とその製造方法、その半導体装置を含む論理回路および半導体基板
JPH10308503A (ja) 絶縁体上にひずみ層を形成する方法
JP2002270826A (ja) 半導体装置
JPH1092947A (ja) 半導体装置及びその製造方法
JPH11163343A (ja) 半導体装置およびその製造方法
EP1415337B1 (en) Dual layer cmos devices
JP2001332745A (ja) 半導体装置の製造方法及び半導体装置
JPS63224362A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20040617

A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20041115