JP4678877B2 - Si:C−OIおよびSGOI上のシリコン・デバイスならびに製造方法 - Google Patents

Si:C−OIおよびSGOI上のシリコン・デバイスならびに製造方法 Download PDF

Info

Publication number
JP4678877B2
JP4678877B2 JP2006541116A JP2006541116A JP4678877B2 JP 4678877 B2 JP4678877 B2 JP 4678877B2 JP 2006541116 A JP2006541116 A JP 2006541116A JP 2006541116 A JP2006541116 A JP 2006541116A JP 4678877 B2 JP4678877 B2 JP 4678877B2
Authority
JP
Japan
Prior art keywords
island
sige
substrate
layer
lattice constant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2006541116A
Other languages
English (en)
Other versions
JP2007533119A (ja
Inventor
チダンバーラオ、デュレセティ
ドクマチ、オマー、エイチ
グルシェンコフ、オレグ、ジー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007533119A publication Critical patent/JP2007533119A/ja
Application granted granted Critical
Publication of JP4678877B2 publication Critical patent/JP4678877B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8213Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using SiC technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/737Hetero-junction transistors
    • H01L29/7371Vertical transistors
    • H01L29/7378Vertical transistors comprising lattice mismatched active layers, e.g. SiGe strained layer transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/808Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a PN junction gate, e.g. PN homojunction gate
    • H01L29/8086Thin film JFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Element Separation (AREA)
  • Recrystallisation Techniques (AREA)
  • Electroluminescent Light Sources (AREA)
  • Illuminated Signs And Luminous Advertising (AREA)

Description

本発明は、一般に半導体デバイスおよび製造方法に関し、さらに詳細には、半導体デバイス、ならびにデバイス製造中に当該デバイスに引張り応力および圧縮応力を与える製造方法に関する。
半導体デバイス基板内の機械的応力は、デバイスの性能を調整することができる。すなわち、半導体デバイス内の応力は、半導体デバイスの特性を向上させることが分かっている。したがって、半導体デバイスの特性を改善するために、n型デバイス(例えばnFET)またはp型デバイス(例えばpFET)あるいはその両方のチャネル内で引張り応力または圧縮応力あるいはその両方を発生させる。しかし、引張り応力または圧縮応力のいずれであっても、同じ応力成分がn型デバイスとp型デバイスの特性に及ぼす影響は異なる。
集積回路(IC)チップ内のnFETおよびpFETの性能を最大限に高めるためには、nFETおよびpFETに対して異なる応力成分を設計し、印加しなければならない。すなわち、nFETの性能にとって有利なタイプの応力は、一般にpFETの性能にとっては不利である。さらに詳細には、デバイスが(例えばプレーナ・デバイスの電流の方向に)引っ張られているとき、nFETの性能特性は向上するが、pFETの性能特性は低下する。nFET内では引張り応力を、pFET内では圧縮応力を選択的に発生させるために、異なるプロセスおよび異なる材料の組合せを使用する。
例えば、nFETおよびpFETでそれぞれ適当な応力を形成するトレンチ分離構造が提案されている。この方法を使用するときには、nFETデバイスの分離領域は、第1のタイプの機械的応力を長手方向(例えば電流の方向と平行な方向)および横方向(例えば電流の方向と垂直な方向)にnFETデバイスに印加する第1の分離材料を含有する。さらに、pFETに対しては第1の分離領域および第2の分離領域を設け、pFETデバイスのこれらの分離領域はそれぞれ、特有の機械的応力を横方向および長手方向にpFETデバイスに印加する。
あるいは、これらのFETデバイスのチャネル内で適当な応力を選択的に誘導するライナをゲート側壁に設けることも提案されている(例えばOotsuka et al.、IEDM 2000、p.575参照)。ライナを設けることにより、トレンチ分離充填技術の場合よりもデバイスの近くに適当な応力が印加される。
また、引張り応力および圧縮応力を用いてnFETおよびpFETのデバイス性能をそれぞれ改善するための提案が数多くなされている。これらの提案は、スペーサ固有の応力およびSTI(浅いトレンチ分離)材料の変化を、2つのMOSFETのそれぞれに対してマスクを用いて調整することを含む。この応力を印加する手段として、緩和SiGe上に引張り歪みSiを設けることも提案されている。残念ながら、緩和SiGe上の引張り歪みSiは、積層形態(stack form)で使用されるSiキャップに2軸引張り応力しか印加することができない。これにより、pFETの応力に対する感度の性質上、有用なGe%の方法(regime)が制約される。nFETの性能は、2軸引張りによって単調に改善されるが、pFETは、改善が始まる約3GPaになるまで2軸引張りによって性能が低下する。
pFETおよびnFETの両方を同時に改善するためには、Ge%が約25〜30%超と高くなければならない(応力に換算すると約3〜4Gpa超)。このレベルのGe%をプロセス中で実現することは困難であり、また大きな問題があって、製造性もそれほど高くない。いくつか例を挙げると、表面の粗さ、プロセスの複雑さ、欠陥および歩留まりの制御などの問題がある。高いGe%をpFETに使用することが困難である(張力レベルが比較的低いため好ましくないから)ということを考えると、デバイスの性能を高めるためには、その他の方法を考案しなければならない。
さらに、Si:Cは、本質的に伸張性(tensile)である場合には、Si上でエピタキシャルに成長することが分かっている。Si:C/Si材料の積層においてCの含有量を1%にすれば、Si:C中で500MPa程度の引張り応力レベルを生じさせることができる。これに対して、SiGe/Si系では、500MPaの圧縮を生じさせるために約6%必要である。この1%レベルのCは、Ernst et al.、VLSI symp.、2002、p.92に示されるように、エピタキシャル成長中にSi中に組み込むことができる。Ernstの文献では、nFETの層状チャネル中にSi/Si:C/Siを置く。しかし、この構造体のSi:C部分は緩和していない。その代わりにErnstの文献では、緩和していないSi:Cを、それ自体非常に薄いSiキャップを備えたチャネルの一部分として使用する。この手法の問題は、移動度が向上せず、C含有量によっては散乱によって抑制されることである。
Ootsuka et al.、IEDM 2000、p.575 Ernst et al.、VLSI symp.、2002、p.92
これらの方法は、nFETデバイスには引張り応力が印加され、pFETデバイスにはその長手方向に圧縮応力が印加される構造体を提供するが、付加的な材料またはより複雑な処理あるいはその両方が必要となることもあり、したがってコストが高くなる。さらに、これらの状況で印加することができる応力のレベルは、通常は中程度(すなわち数百MPa程度)である。したがって、より費用効果が高くより簡略な、大きな引張り応力および圧縮応力をそれぞれnFETおよびpFETのチャネル中で生成する方法を提供することが望ましい。
本発明の第1の態様では、構造体を製造する方法は、基板中に浅いトレンチ分離(STI)を形成するステップと、基板上に第1の材料および第2の材料を設けるステップとを含む。第1の材料および第2の材料は、熱アニール・プロセスによって基板中に混合され、それぞれnFET領域に第1のアイランドを、pFET領域に第2のアイランドを形成する。第1のアイランドおよび第2のアイランドの上に、異なった材料層を形成する。STIは、緩和して、第1のアイランドおよび第2のアイランドの緩和を促進する。一実施形態では、第1の材料は、堆積または成長させたGe材料であり、第2の材料は、堆積または成長させたSi:CまたはCである。
別の態様では、構造体を製造する方法は、基板を形成し、この基板中に第1の材料で浅いトレンチ分離を形成するステップを含む。pFET領域およびnFET領域の上に第2の材料を形成し、次いで、この第2の材料を基板中に熱アニールして、混合材料の第1のアイランドおよび第2のアイランドを形成する。第1の領域内の第1のアイランド上にSi層を成長させる。このSi層を歪ませる。
さらに別の態様では、構造体を製造する方法は、基板を形成し、この基板中に高温安定アモルファス材料、好ましくは酸化物の浅いトレンチ分離を形成するステップを含む。この方法はさらに、少なくとも1つの材料を基板中に熱アニールして、混合材料の第1のアイランドおよび第2のアイランドを形成するステップと、少なくとも第1のアイランド上にSi層を成長させるステップとを含む。このSi層を歪ませる。実施形態によって、以下のいずれかを実施することができる。
(i)少なくとも1つの材料がGeであり、第1のアイランドおよび第2のアイランドが、実質的に緩和SiGeの混合材料で構成される。
(ii)少なくとも1つの材料がCまたはSi:Cであり、第1のアイランドおよび第2のアイランドが、実質的に緩和Si:Cの混合材料で構成される。
(iii)少なくとも1つの材料がGeおよびSi:CまたはCであり、第1のアイランドが実質的にSiGeで構成され、第2のアイランドが実質的にSi:Cで構成される。
本発明の別の態様では、半導体構造は、基板と、この基板中に形成された高温安定アモルファス材料、好ましくは酸化物で構成された緩和した浅いトレンチ分離とを含む。この基板中のpFET領域には、熱アニール混合材料の第1のアイランドが形成され、この基板中のnFET領域には、熱アニール混合材料の第2のアイランドが形成される。第1のアイランドおよび第2のアイランドの少なくとも一方の上に、歪みSi層が形成される。
本発明は、半導体デバイス、ならびにデバイス性能を改善するためにCMOSデバイスのnFETチャネル内およびpFETチャネル内に所望の応力を形成する製造方法に関する。1つの手法では、堆積させたGe材料をSOI薄膜中に熱混合することによって、SiGeアイランドを得る。同様に、堆積させたSi:CまたはCをSiまたはSOIの薄膜中に熱混合することによって、Si:Cアイランドを得る。本発明の方法を用いることにより、所要のGe%が大きくならないので、欠陥の問題を生じない。また、本発明では、pFETおよびnFETのチャネル内のSiGeアイランドまたはSi:Cアイランドあるいはその両方の緩和を達成することができるので、ブランケット(SiGeまたはSi:C)基板に比べて性能が改善される。これは、本発明の実施態様では、例えば高温の熱混合ステップを設けて、浅いトレンチ分離(STI)が緩和して、SiGeアイランドおよびSi:Cアイランドの緩和を促進することができるようにしているからである。
従来は、アイランドのサイズが比較的大きな場合に、ウェハ接合技術によって異なる緩和結晶格子(原子間の寸法が異なる)を有する少なくとも2つの結晶アイランドを配置することしかできなかった。しかし、本発明の方法では、緩和した異なる結晶構造を有する複数の小さな結晶アイランドを有する特有の基板が得られる。一実施態様では、このような基板の重要な要素は、アイランド間で例えばSiOなどの高温安定アモルファス材料を使用すること、およびクリスタル・オン・インシュレータ構造を使用することである。この様々な(結晶)アイランドを備えた特有の構造体により、任意選択で異なる結晶の様々な歪みを有する層を配置することができる。第1の態様では、様々な歪みを有する層は、引張りSi層および圧縮Si層である。本発明の別の態様では、これらの様々な層は、引張りSi層およびSiGe層、または圧縮Si層およびSi:C層である。
本発明は、複数の結晶格子定数を有する複数のアイランドを絶縁体上に備えた基板を作製する技術に対して、将来性のある重要な寄与をするものである。本発明では、例えば、第1のアイランド(結晶1)は、格子定数a≧aSiを有し、第2のアイランド(結晶2)は、格子定数a≦aSiを有する。本発明の一態様では、以下でさらに詳細に述べるように、本発明のSiエピタキシャル層を選択的に成長させることができ、このSiエピタキシャル層は、SiGe上では引張り歪みが生じ、Si:C上では圧縮歪みが生じる。この特定の適用例は、例えば歪みプレーナnFETおよびpFETに適している。
さらに、SiGeにおいてはホールが高い移動度を有することが分かっているが、信頼性の高い熱ベース酸化物をこの材料上で形成することは困難であることを理解されたい。しかし、本発明の一実施態様では、例えば高K誘電体材料を堆積させて、緩和SiGe(結晶1)のみをpFETに使用し、同時に引張り歪みSiを有する結晶1(やはり緩和SiGe)をnFETに使用することができるようにする。本発明では、圧縮歪みSiを有するSi:CをpFETに使用することも考えられる。したがって、本発明は、複数の結晶格子を有するアイランドを備えた基板の概念を一般化することができる。
図1を参照すると、シリコン・ウェハが示してある。このようなウェハは、様々なディスクリート半導体デバイスおよび集積回路(IC)半導体デバイスの分野のための市販の開始基板である。一実施態様では、SIMOX(separation by IMplanted OXygen;酸素注入分離)プロセスによってシリコン・オン・インシュレータ(SOI)ウェハを作製することができる。SIMOXプロセスは、酸素の高ドーズ・イオン注入および高温アニールを利用して、バルク・ウェハ中にBOX層を形成するプロセスである。別の例では、デバイス品質シリコン・ウェハを、その表面に酸化物層を有する別のシリコン・ウェハ(基板層)に接合することによって、ウェハを作製することができる。次いで、このウェハ対を、(開始ウェハの厚さに比べて)薄い単結晶シリコンのデバイス品質層を基板層上の酸化物層(この時点でBOXとなっている)の上に残すプロセスを用いて分離する。SOIウェハは、その他のプロセスを用いて形成することもできる。
さらに図1を参照すると、Si層20を形成し、このSi層20を、パッド酸化、パッド窒化物堆積、リソグラフィによるパターン形成、窒化物、酸化物およびシリコンからなる積層の埋込み酸化物までの反応性イオン・エッチング(RIE)、エッジ酸化、ライナ堆積、充填堆積、および化学機械的研磨といった標準的な技術を用いてパターン形成して、浅いトレンチ分離(STI)25を形成する。このSTI形成プロセスは、当技術分野では周知である。一実施態様では、例えばSiOなどの高温安定アモルファス材料をSTIに使用する。
図2を参照して、化学蒸着法(化学的気相堆積法)など従来の技術を使用して、この構造体の表面の上にエピタキシャルGe材料(層)30を堆積させる。例えば、超高真空化学蒸着(UHVCVD)を従来の方法で使用して、Ge層30を堆積させることができる。その他の従来の技術としては、急熱化学蒸着(RTCVD)、制限反応処理CVD(LRPCVD)、および分子線エピタキシ(MBE)などがある。一実施形態では、Ge材料の厚さは、5から50ナノメートルの範囲にすることができる。あるいは、例えば30から100ナノメートルの範囲とすることができるその下のSi層の厚さに応じて、その他の寸法にすることもできる。
nFETハード・マスク35を、Ge層30の一部分(例えばこれからnFETが形成される位置)の上に設ける。nFETハード・マスク35は、スピン・オン・コーティング、CVD、プラズマCVD、超高真空化学蒸着(UHVCVD)、急熱化学蒸着(RTCVD)、制限反応処理CVD(LRPCVD)、およびその他の同様の堆積プロセスなど、従来の堆積プロセスを用いて形成した窒化物ハード・マスクにすることができる。
図3では、当技術分野で既知の技術を用いて、露出したGe層30をエッチングし、nFETマスク35を剥離する。例えば、Ge層30は、RIE、ウェット・エッチングまたはドライ・エッチングを用いて選択的にエッチングすることができる。
図4に示すように、エピタキシャル成長させたGe材料30の上も含めて、この構造体の上に、Si:C材料40(または必要に応じてC)が堆積させる。例えば、超高真空化学蒸着(UHVCVD)を従来の方法で使用して、Si:C(または必要に応じてC)材料40を堆積させることができる。その他の従来の技術としては、急熱化学蒸着(RTCVD)、制限反応処理CVD(LRPCVD)などのプロセスがある。一実施形態では、Si:CまたはC材料の厚さは、5から50ナノメートルの範囲とすることができる。あるいは、30から100ナノメートルの範囲とすることができるその下のSi層の厚さに応じて、その他の寸法にすることもできる。別の態様では、Cを用いるときには、その厚さを1から30ナノメートルの範囲とすることができる。
pFETハード・マスク45を、Si:C材料40の一部分の上であって、これからpFETを形成する位置に設ける。pFETハード・マスク45は、スピン・オン・コーティング、CVD、プラズマCVD、超高真空化学蒸着(UHVCVD)、急熱化学蒸着(RTCVD)、制限反応処理CVD(LRPCVD)、およびその他の同様の堆積プロセスなど、従来の堆積プロセスを用いて形成した窒化物ハード・マスクにすることができる。
図5に示すように、次いで、当技術分野で既知の技術を用いて、露出したSi:C層40をエッチングし、pFETマスク45を剥離する。例えば、Si:CおよびpFETは、RIE、ウェット・エッチングまたはドライ・エッチングなどの標準的なエッチング技術を用いてエッチングすることができる。
図6では、次いで、この構造体に熱アニール・プロセスを施す。このプロセスの間に、nFETデバイスでは、堆積させたGe材料30がその下のSOI膜中に混合して、実質的にSiGe材料のアイランド50を形成する。同様に、このプロセスの間に、pFETでは、堆積させたSi:C材料、または場合によってはC材料が、その下のSOI膜中に混合して、実質的にSi:C材料のアイランド55を形成する。この熱アニール・プロセスは、例えば約1200℃から1350℃で1時間から10時間行われる。一実施態様では、1200℃で約5時間行われる。
本発明の方法を用いることにより、nFETの所要のGe%が大きくならず(例えば25%未満、一実施態様では10から20%)、したがって、欠陥の問題を生じない。また、高温熱混合ステップにより、例えば、STI25が緩和し、SiGeアイランド50およびSi:Cアイランド55の緩和を促進することができる。これは、1つには、STIが、高温で粘性材料である、例えば高温で低粘性材料となる酸化物材料を含むという理由による。
また、SiGeアイランド50およびSi:Cアイランド55が、小さな結晶アイランドを備えた特有の基板を生じる、異なる緩和結晶格子(原子間の寸法が異なる)を有することも理解されたい。SiGeアイランド50およびSi:Cアイランド55の緩和により、ブランケット(SiGeまたはSi:C)基板に比べて性能が改善される。一実施態様では、本発明によれば、SiGeアイランド50とSi:Cアイランド55の間でSiOなどの高温安定アモルファス材料を使用し、またクリスタル・オン・インシュレータ構造を使用する。
さらに図6に示すように、既知のプロセスにより、SiGeアイランド50およびSi:Cアイランド55の上に、Siエピタキシャル層60を選択的に成長させる。本発明の一態様では、選択的に成長させたSiエピタキシャル層60は、SiGeアイランド上で引張り歪みが生じ、Si:Cアイランド上で圧縮歪みが生じる。Si層60の厚さは、例えば5から20ナノメートルの範囲とすることができる。全ての寸法などと同様に、本発明では、例えばその下の基板の厚さに応じて、その他の寸法や温度などを使用することができるものとする。
次に、実施に際しては、SiGeアイランド50は、格子定数a≧aSiを有し、Si:Cアイランド55は、格子定数a≦aSiを有する。すなわち、単独では、Siは、通常はSiGe層より低い格子定数を有する。すなわち、Si材料の格子定数は、SiGe層の格子定数と整合しない。しかし、本発明の構造体では、Si層の格子構造は、SiGeアイランドの格子構造と整合する傾向にある。したがって、このようにSiの格子(通常はSiGeより小さい)がSiGe層の格子と整合することにより、引張り応力のある状態でSi層が配置される。この領域は、nFETの歪みチャネルとして機能することになる。一実施形態では、SiGe層のGe含有量は、Si含有量に対する比率で25%未満とすることができる。
また、単独では、Siは、通常はSi:Cアイランドより大きな格子定数を有する。すなわち、Si材料の格子定数は、Si:Cの格子定数と整合しない。しかし、本発明の構造体では、Si層の格子構造は、Si:Cの格子構造と整合する傾向にある。このようにSiの格子(通常はSi:Cより大きい)がSi:Cアイランドの格子と整合することにより、圧縮応力のある状態でSi層が配置される。すなわち、SiGeの場合の現象と同様に、Si:Cアイランドの周囲の領域が平衡状態になろうとするので、Si:Cアイランド上に形成されたエピタキシャルSi層に圧縮応力が生じることになる。この領域は、pFETの歪みチャネルとして機能することになる。一実施形態では、堆積時に、Cの含有量は、Si含有量に対する比率で約4%以下とすることができる。
図7から図11は、本発明の別の態様を示す図である。図7には、SOIなどのシリコン・ウェハが示してある。前述の構造体と同様に、SOIは、SIMOXプロセスまたはその他の周知のプロセスを用いて作製することができる。パッド酸化、パッド窒化物堆積、リソグラフィによるパターン形成、窒化物、酸化物およびシリコンからなる積層の埋込み酸化物までの反応性イオン・エッチング(RIE)、エッジ酸化、ライナ堆積、充填堆積、および化学機械的研磨といった標準的な技術を用いて、Si層20をパターン形成して、浅いトレンチ分離(STI)25を形成する。STI形成プロセスは、当技術分野で周知である。
図8を参照して、pFETマスク45を、この構造体の一部分の上であって、これからpFETが形成される位置に設ける。このpFETハード・マスクは、化学蒸着法などの従来の技術を用いて堆積させることができる。例えば、このような技術としては、スピン・オン・コーティング、CVD、プラズマCVD、真空蒸着、超高真空化学蒸着(UHVCVD)、急熱化学蒸着(RTCVD)、制限反応処理CVD(LRPCVD)、およびその他の同様の堆積プロセスなどがある。
従来の技術を用いて、これからnFETを形成する露出表面の上に、エピタキシャルGe層30を選択的に成長させる。一実施形態では、Ge材料の厚さは、5から50ナノメートルの範囲とすることができる。あるいは、例えば30から100ナノメートルの範囲とすることができるその下のSi層の厚さに応じて、その他の寸法にすることもできる。上述のように、周知のプロセスを用いて、ハード・マスク45を剥離する。
図9において、この構造体の一部分の上であって、これからnFETを形成する位置にnFETマスク35を設ける。nFETハード・マスクは、化学蒸着法など、上述した、当業者には既知であるはずの従来の技術を用いて堆積させることができる。
上述のように化学蒸着法などの従来の技術を用いて、Si:C層40を、この構造体の露出した表面の上、これからpFETを形成する位置に設ける。一実施形態では、Si:C材料の厚さは、5から50ナノメートルの範囲とすることができる。あるいは、例えば30から100ナノメートルの範囲とすることができるその下のSi層の厚さに応じて、その他の寸法にすることもできる。Cの場合は、1から50ナノメートルの範囲内でこれよりさらに薄くすることもできる。
図10に示すように、次いで、周知のプロセスを用いてnFETハード・マスク35を除去する。次いで、この構造体に熱アニール・プロセスを施す。アニール・プロセスの間に、nFETデバイスでは、Ge材料30がSOI膜中に混合して、実質的にSiGeである材料のアイランド50を形成する。同様に、pFETでは、Si:C材料、または場合によってはC材料が、SOI膜中に混合して、実質的にSi:C材料のアイランド55を形成する。このプロセスは、基板などBOX層も形成する。この熱アニール・プロセスは、例えば約1200℃から1350℃で1時間から10時間行われる。一実施態様では、1200℃で約5時間行われる。
上述のように、また上述の実施態様と同様に、本発明の方法を用いることにより、所要のGe%が大きくならず(例えば25%未満、一実施態様では10から20%)、したがって、欠陥の問題を生じない。また、高温熱混合により、例えば、STI25が緩和し、SiGeアイランド50およびSi:Cアイランド55の緩和を促進することができる。前述のように、SiGeアイランドおよびSi:Cアイランドの緩和により、ブランケット(SiGeまたはSi:C)基板に比べて性能が改善される。本発明の一実施態様では、この構造体の重要な点は、アイランド間で例えばSiOなどの高温安定アモルファス材料を使用し、またクリスタル・オン・インシュレータ構造を使用することである。
さらに図11に示すように、SiGeアイランド50およびSi:Cアイランド55の上に、Siエピタキシャル層60を選択的に成長させる。Si層60の厚さは、例えば5から20ナノメートルの範囲とすることができる。本発明のこの態様では、様々な層が引張りSi層または圧縮Si層となる。引張りSi層は、nFETの歪みチャネルとして機能し、圧縮Si層は、pFETの歪みチャネルとして機能する。
本発明の別の態様では、pFET領域にCを高ドーズで注入することができ、これにより熱アニール後のSi:C中のC濃度1〜4%よりはるかに高い濃度を生じることができる。ドーズ量は、5E16/cmなど、約1E16/cm以上にすることができる。
図12に示す例では、一実施態様では、Si:CまたはCを除外して、SiGeをnFETおよびpFETの両方に使用することができる。この実施態様では、歪みSiをnFET領域上に配置するが、pFET領域上には配置しない。この場合、製造後に、nFETは引張り応力を受けることになる。ただし、この場合、デバイスの製造プロセスを開始するために、高K誘電体100をこの構造体の上に選択的に成長させる。すなわち、高K誘電体100を、歪みSi層および露出SiGe層の上に成長させることができる。高K誘電体100としては、例えば酸化ジルコニウムまたは酸化アルミニウムを用いることができる。
あるいは、SiGeを除外して、Si:CをnFETおよびpFETの両方に使用することもできる。この実施態様では、歪みSiをpFET領域上に配置するが、nFET領域上には配置しない。この場合、製造後に、pFETは圧縮応力を受けることになる。ただし、この場合、デバイスの製造プロセスを開始するために、高K誘電体100をこの構造体の上に選択的に成長させる。すなわち、高K誘電体100を、歪みSi層および露出Si:C層の上に成長させることができる。高K誘電体100としては、例えば酸化ジルコニウムまたは酸化アルミニウムを用いることができる。これも図13に示す。Si:CまたはSiGeを用いるプロセスは、上述のように同じである。
図6、図11、図12および図13に示すように、形成された構造体は、本発明の原理による、pFETやnFETなどの半導体デバイスの形成に適応した中間構造体である。最終的なデバイスを形成するために、当技術分野で周知のように、標準的なCMOSプロセスを実行して電界効果トランジスタなどのデバイスをこの構造体上に形成することができる。例えば、これらのデバイスは、歪みSi(またはSiおよびSiGeならびにSiおよびSi:C)の半導体チャネルで分離されたソース領域およびドレイン領域のイオン注入を含むことができる。すなわち、引張り歪みSiチャネルの上にnFETが形成され、圧縮歪みSiチャネルの上にpFETが形成される。歪みSiチャネルの上にはゲート酸化物が設けられ、ゲート酸化物の上にはゲート導体が設けられる。スペーサも設けられる。これらの構成要素は、通常の電界効果トランジスタで見られるものであり、FETデバイスの製造プロセスを容易に理解する当業者には、さらに説明することは不要である。
実施形態を例に挙げて本発明について説明したが、添付の特許請求の範囲の趣旨および範囲内で様々な修正を加えて本発明を実施することができることは、当業者なら理解するであろう。例えば、本発明は、バルク基板にも容易に適用することができる。
本発明は、半導体デバイスの分野で有用であり、特に、半導体デバイス、ならびに製造中に半導体デバイスに引張り応力および圧縮応力を与える製造方法に有用である。
本発明による中間構造体を形成する製造プロセスを示す図である。 本発明による中間構造体を形成する製造プロセスを示す図である。 本発明による中間構造体を形成する製造プロセスを示す図である。 本発明による中間構造体を形成する製造プロセスを示す図である。 本発明による中間構造体を形成する製造プロセスを示す図である。 本発明による中間構造体を形成する製造プロセスを示す図である。 本発明の別の態様による、中間構造体を形成する製造プロセスを示す図である。 本発明の別の態様による、中間構造体を形成する製造プロセスを示す図である。 本発明の別の態様による、中間構造体を形成する製造プロセスを示す図である。 本発明の別の態様による、中間構造体を形成する製造プロセスを示す図である。 本発明の別の態様による、中間構造体を形成する製造プロセスを示す図である。 本発明の代表的な構造体を示す図である。 本発明の代表的な構造体を示す図である。

Claims (13)

  1. 構造体を製造する方法であって、
    基板中に浅いトレンチ分離(STI)(25)を形成するステップと、
    前記基板上に、Siよりも格子定数が大きいGeからなる第1の材料(30)を設けるステップと、
    前記基板上に、Siよりも格子定数が小さいSi:CまたはCからなる第2の材料(40)を設けるステップと、
    前記第1の材料(30)および前記第2の材料(40)を熱アニール・プロセスによって前記基板中に混合し、nFET領域に第1のアイランド(50)を、pFET領域に第2のアイランド(5)をそれぞれ形成して、前記浅いトレンチ分離(25)を緩和し、前記第1のアイランド(50)および前記第2のアイランド(55)の緩和を促進するステップと、
    前記第1のアイランド(50)および前記第2のアイランド(55)の上に、前記第1のアイランド(50)および前記第2のアイランド(55)とは異なる格子定数を有するSi材料層を形成するステップとを含む方法。
  2. 前記第1の材料(30)が堆積させたGe材料であり、前記第2の材料(40)が堆積させたSi:CまたはCである、請求項1に記載の方法。
  3. 前記第1のアイランド(50)がSiGeで構成され、前記第2のアイランド(55)がSi:Cで構成され、前記Si材料層が歪み層である、請求項に記載の方法。
  4. 前記浅いトレンチ分離(25)が、温度が上昇するにつれて粘度が低下する材料で構成される、請求項1に記載の方法。
  5. 前記Si材料層が、引張り応力のある状態で第1のアイランド(50)上に配置され、圧縮応力のある状態で第2のアイランド(55)上に配置される、請求項に記載の方法。
  6. 前記SiGeのGe含有量がSi含有量に対して25%未満であり、前記Si:CのC含有量がSi含有量に対して4%以下である、請求項に記載の方法。
  7. 前記第1のアイランド(50)および前記第2のアイランド(55)が、異なる緩和結晶格子を有する、請求項1に記載の方法。
  8. 前記浅いトレンチ分離(25)が、高温安定アモルファス材料である、請求項1に記載の方法。
  9. 前記第1の材料(30)および前記第2の材料(40)を、前記混合の前に前記基板上に堆積させるまたは前記基板上に成長させる、請求項1に記載の方法。
  10. 前記第2の材料(40)が炭素Cであって、前記熱アニール・プロセス後に1%を超えるC濃度のSi:Cを生成するドーズ量で注入される、請求項1に記載の方法。
  11. 前記Si材料層が、前記第1のアイランド(50)および前記第2のアイランド(55)の上に選択的に成長させたSiエピタキシャル層を含み、前記選択的に成長させたSiエピタキシャル層において、第1のアイランド(50)上では引張り歪みが生じ、前記第2のアイランド(55)上では圧縮歪みが生じるように、前記Siエピタキシャル層が第1のアイランド(50)および第2のアイランド(55)とは異なる格子定数を有する、請求項1に記載の方法。
  12. 前記第1のアイランド(50)の格子定数はSiの格子定数よりも大きく、前記第2のアイランド(55)の格子定数がSiの格子定数よりも小さい、請求項1に記載の方法。
  13. 前記第1のアイランド(50)がSiGeで構成され、前記第2のアイランド(55)がSi:Cで構成され、前記SiGeのアイランドおよび前記Si:Cのアイランド上のエピタキシャル成長層が、それぞれSiGeおよびSi:Cに対する格子整合により、それぞれ引張り応力および圧縮応力のある状態で配置される、請求項12に記載の方法。
JP2006541116A 2003-11-19 2004-06-30 Si:C−OIおよびSGOI上のシリコン・デバイスならびに製造方法 Expired - Lifetime JP4678877B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/715,400 US7247534B2 (en) 2003-11-19 2003-11-19 Silicon device on Si:C-OI and SGOI and method of manufacture
PCT/US2004/020904 WO2005057612A2 (en) 2003-11-19 2004-06-30 SILICON DEVICE ON Si:C-OI and SGOI AND METHOD OF MANUFACTURE

Publications (2)

Publication Number Publication Date
JP2007533119A JP2007533119A (ja) 2007-11-15
JP4678877B2 true JP4678877B2 (ja) 2011-04-27

Family

ID=34574211

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006541116A Expired - Lifetime JP4678877B2 (ja) 2003-11-19 2004-06-30 Si:C−OIおよびSGOI上のシリコン・デバイスならびに製造方法

Country Status (8)

Country Link
US (5) US7247534B2 (ja)
EP (1) EP1685584B1 (ja)
JP (1) JP4678877B2 (ja)
KR (1) KR100818899B1 (ja)
CN (1) CN101208794B (ja)
AT (1) ATE455370T1 (ja)
DE (1) DE602004025135D1 (ja)
WO (1) WO2005057612A2 (ja)

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7482252B1 (en) * 2003-12-22 2009-01-27 Advanced Micro Devices, Inc. Method for reducing floating body effects in SOI semiconductor device without degrading mobility
US7662689B2 (en) * 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
JP2005197405A (ja) * 2004-01-06 2005-07-21 Toshiba Corp 半導体装置とその製造方法
JP4177775B2 (ja) 2004-03-16 2008-11-05 株式会社東芝 半導体基板及びその製造方法並びに半導体装置
US7842537B2 (en) * 2005-02-14 2010-11-30 Intel Corporation Stressed semiconductor using carbon and method for producing the same
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
JP4239203B2 (ja) * 2005-05-31 2009-03-18 株式会社東芝 半導体装置とその製造方法
US7528028B2 (en) * 2005-06-17 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Super anneal for process induced strain modulation
US20070063277A1 (en) * 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
FR2892733B1 (fr) * 2005-10-28 2008-02-01 Soitec Silicon On Insulator Relaxation de couches
FR2893181B1 (fr) * 2005-11-09 2008-01-11 Commissariat Energie Atomique Procede de realisation de premieres et secondes zones actives semi-conductrices distinctes et utilisation pour la fabrication de structures de type c-mos
US7560318B2 (en) * 2006-03-13 2009-07-14 Freescale Semiconductor, Inc. Process for forming an electronic device including semiconductor layers having different stresses
WO2007112066A2 (en) 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US20070238267A1 (en) * 2006-03-28 2007-10-11 International Business Machines Corporation Epitaxy of Silicon-Carbon Substitutional Solid Solutions by Ultra-Fast Annealing of Amorphous Material
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US20080187018A1 (en) 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
US8053327B2 (en) * 2006-12-21 2011-11-08 Globalfoundries Singapore Pte. Ltd. Method of manufacture of an integrated circuit system with self-aligned isolation structures
US8217423B2 (en) 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
US7888197B2 (en) * 2007-01-11 2011-02-15 International Business Machines Corporation Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
US7598142B2 (en) * 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
US7485519B2 (en) * 2007-03-30 2009-02-03 International Business Machines Corporation After gate fabrication of field effect transistor having tensile and compressive regions
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US20110084308A1 (en) * 2007-08-08 2011-04-14 Ter-Hoe Loh Semiconductor arrangement and a method for manufacturing the same
US8344242B2 (en) 2007-09-07 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
US7622341B2 (en) * 2008-01-16 2009-11-24 International Business Machines Corporation Sige channel epitaxial development for high-k PFET manufacturability
US7993998B2 (en) 2008-03-06 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices having dual high-mobility channels
US7968910B2 (en) * 2008-04-15 2011-06-28 International Business Machines Corporation Complementary field effect transistors having embedded silicon source and drain regions
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
EP2151852B1 (en) * 2008-08-06 2020-01-15 Soitec Relaxation and transfer of strained layers
WO2010033813A2 (en) 2008-09-19 2010-03-25 Amberwave System Corporation Formation of devices by epitaxial layer overgrowth
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
SG171987A1 (en) 2009-04-02 2011-07-28 Taiwan Semiconductor Mfg Devices formed from a non-polar plane of a crystalline material and method of making the same
US20110010761A1 (en) * 2009-07-09 2011-01-13 Qualcomm Incorporated Connectivity dependent application security for remote devices
US20110031503A1 (en) * 2009-08-10 2011-02-10 International Business Machines Corporation Device with stressed channel
JP2011108692A (ja) * 2009-11-12 2011-06-02 Ulvac Japan Ltd Cmosデバイス用シリコンウェハの製造方法
US8361867B2 (en) * 2010-03-19 2013-01-29 Acorn Technologies, Inc. Biaxial strained field effect transistor devices
US8592292B2 (en) 2010-09-02 2013-11-26 National Semiconductor Corporation Growth of multi-layer group III-nitride buffers on large-area silicon substrates and other substrates
JP5852863B2 (ja) * 2011-11-28 2016-02-03 株式会社日立製作所 4h−SiC半導体素子及び半導体装置
CN102437127A (zh) * 2011-11-30 2012-05-02 上海华力微电子有限公司 基于硅-锗硅异质结的单晶体管dram单元及其制备方法
CN102437126A (zh) * 2011-11-30 2012-05-02 上海华力微电子有限公司 基于源体异质结的单晶体管dram单元及其制备方法
US8471342B1 (en) * 2011-12-09 2013-06-25 GlobalFoundries, Inc. Integrated circuits formed on strained substrates and including relaxed buffer layers and methods for the manufacture thereof
US8610172B2 (en) * 2011-12-15 2013-12-17 International Business Machines Corporation FETs with hybrid channel materials
US8828851B2 (en) * 2012-02-01 2014-09-09 Stmicroeletronics, Inc. Method to enable the formation of silicon germanium channel of FDSOI devices for PFET threshold voltage engineering
CN103367154B (zh) * 2012-03-31 2016-03-16 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN102610530B (zh) * 2012-04-13 2014-08-27 电子科技大学 一种具有高锗组分的锗硅沟道pmos的制备方法
US8680576B2 (en) * 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN102916039B (zh) * 2012-10-19 2016-01-20 清华大学 具有氧化铍的半导体结构
US8836041B2 (en) 2012-11-16 2014-09-16 Stmicroelectronics, Inc. Dual EPI CMOS integration for planar substrates
US8865561B2 (en) 2013-03-14 2014-10-21 International Business Machines Corporation Back-gated substrate and semiconductor device, and related method of fabrication
US8927363B2 (en) 2013-05-17 2015-01-06 International Business Machines Corporation Integrating channel SiGe into pFET structures
US9536746B2 (en) * 2014-03-13 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Recess and epitaxial layer to improve transistor performance
US9406751B2 (en) * 2014-06-05 2016-08-02 Stmicroelectronics, Inc. Method for making strained semiconductor device and related methods
US9219150B1 (en) * 2014-09-18 2015-12-22 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
US9818761B2 (en) 2015-06-25 2017-11-14 International Business Machines Corporation Selective oxidation for making relaxed silicon germanium on insulator structures
US10361219B2 (en) 2015-06-30 2019-07-23 International Business Machines Corporation Implementing a hybrid finFET device and nanowire device utilizing selective SGOI
CN106356303B (zh) * 2015-07-24 2019-12-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
US9472671B1 (en) * 2015-10-31 2016-10-18 International Business Machines Corporation Method and structure for forming dually strained silicon
US9530669B1 (en) * 2015-11-30 2016-12-27 International Business Machines Corporation Method of making a semiconductor device having a semiconductor material on a relaxed semiconductor including replacing a strained, selective etchable material, with a low density dielectric in a cavity
US9704958B1 (en) 2015-12-18 2017-07-11 International Business Machines Corporation III-V field effect transistor on a dielectric layer
US9905672B2 (en) * 2016-05-23 2018-02-27 Samsung Electronics Co., Ltd. Method of forming internal dielectric spacers for horizontal nanosheet FET architectures
US9735062B1 (en) 2016-06-03 2017-08-15 International Business Machines Corporation Defect reduction in channel silicon germanium on patterned silicon
US9842929B1 (en) 2016-06-09 2017-12-12 International Business Machines Corporation Strained silicon complementary metal oxide semiconductor including a silicon containing tensile N-type fin field effect transistor and silicon containing compressive P-type fin field effect transistor formed using a dual relaxed substrate
CN107507806B (zh) * 2016-06-14 2020-06-05 西安电子科技大学 基于沟道晶向选择的压应变Si CMOS器件及其制备方法
KR102260807B1 (ko) * 2016-11-30 2021-06-07 가부시키가이샤 리코 산화물 또는 산질화물 절연체 막 형성용 도포액, 산화물 또는 산질화물 절연체 막, 전계 효과형 트랜지스터 및 이들의 제조 방법
US10937876B2 (en) * 2018-10-26 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature to contact interfaces
US10847508B2 (en) * 2018-12-27 2020-11-24 Micron Technology, Inc. Apparatus with a current-gain layout
CN110676158B (zh) * 2019-09-30 2022-06-14 闽南师范大学 一种实现晶格阻断的零气泡Ge/Si异质混合集成方法

Family Cites Families (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3095564B2 (ja) * 1992-05-29 2000-10-03 株式会社東芝 半導体装置及び半導体装置の製造方法
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
JPS58100441A (ja) 1981-12-10 1983-06-15 Toshiba Corp 半導体装置の製造方法
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
DE3676781D1 (de) 1985-09-13 1991-02-14 Siemens Ag Integrierte bipolar- und komplementaere mos-transistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5461243A (en) * 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
JPH07183237A (ja) * 1993-11-10 1995-07-21 Hitachi Ltd 半導体への不純物導入方法及び装置
JPH0897163A (ja) * 1994-07-28 1996-04-12 Hitachi Ltd 半導体ウエハの製造方法、半導体ウエハ、半導体集積回路装置の製造方法および半導体集積回路装置
JP3361922B2 (ja) * 1994-09-13 2003-01-07 株式会社東芝 半導体装置
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
TW389999B (en) * 1995-11-21 2000-05-11 Toshiba Corp Substrate having shallow trench isolation and method of manufacturing the same
KR100213196B1 (ko) 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5763905A (en) * 1996-07-09 1998-06-09 Abb Research Ltd. Semiconductor device having a passivation layer
TW335558B (en) * 1996-09-03 1998-07-01 Ibm High temperature superconductivity in strained SiSiGe
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
JP3300339B1 (ja) * 1996-09-17 2002-07-08 松下電器産業株式会社 半導体装置
US5879996A (en) * 1996-09-18 1999-03-09 Micron Technology, Inc. Silicon-germanium devices for CMOS formed by ion implantation and solid phase epitaxial regrowth
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
TW200746302A (en) * 1997-03-05 2007-12-16 Hitachi Ltd Method of making semiconductor IC device
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US5981356A (en) 1997-07-28 1999-11-09 Integrated Device Technology, Inc. Isolation trenches with protected corners
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
US6191451B1 (en) 1998-01-30 2001-02-20 International Business Machines Corporation Semiconductor device with decoupling capacitance
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
JP4258034B2 (ja) * 1998-05-27 2009-04-30 ソニー株式会社 半導体装置及び半導体装置の製造方法
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
EP1042512A2 (en) * 1998-10-26 2000-10-11 Yale University Allele frequency differences method for phenotype cloning
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6080637A (en) 1998-12-07 2000-06-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation technology to eliminate a kink effect
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6255214B1 (en) * 1999-02-24 2001-07-03 Advanced Micro Devices, Inc. Method of forming junction-leakage free metal silicide in a semiconductor wafer by amorphization of source and drain regions
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
DE19945855A1 (de) * 1999-09-24 2001-03-29 Bosch Gmbh Robert Mikrospule
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6271100B1 (en) * 2000-02-24 2001-08-07 International Business Machines Corporation Chemically enhanced anneal for removing trench stress resulting in improved bipolar yield
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
JP2001332630A (ja) * 2000-05-19 2001-11-30 Sharp Corp 半導体装置の製造方法
US6743680B1 (en) * 2000-06-22 2004-06-01 Advanced Micro Devices, Inc. Process for manufacturing transistors having silicon/germanium channel regions
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
CN1449585A (zh) * 2000-11-22 2003-10-15 株式会社日立制作所 半导体器件及其制造方法
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
JP2002198525A (ja) * 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
JP3488914B2 (ja) * 2001-01-19 2004-01-19 名古屋大学長 半導体装置製造方法
US6410371B1 (en) * 2001-02-26 2002-06-25 Advanced Micro Devices, Inc. Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer
US6445016B1 (en) * 2001-02-28 2002-09-03 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) transistor having partial hetero source/drain junctions fabricated with high energy germanium implantation
US6900103B2 (en) * 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
JP3678661B2 (ja) * 2001-03-08 2005-08-03 シャープ株式会社 半導体装置
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6498383B2 (en) * 2001-05-23 2002-12-24 International Business Machines Corporation Oxynitride shallow trench isolation and method of formation
US6358806B1 (en) * 2001-06-29 2002-03-19 Lsi Logic Corporation Silicon carbide CMOS channel
US6583060B2 (en) 2001-07-13 2003-06-24 Micron Technology, Inc. Dual depth trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
AU2002349881A1 (en) 2001-09-21 2003-04-01 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6703271B2 (en) * 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6743705B2 (en) * 2001-12-06 2004-06-01 Texas Instruments Incorporated Transistor with improved source/drain extension dopant concentration
KR20030058571A (ko) * 2001-12-31 2003-07-07 주식회사 하이닉스반도체 반도체소자의 제조방법
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6610571B1 (en) * 2002-02-07 2003-08-26 Taiwan Semiconductor Manufacturing Company Approach to prevent spacer undercut by low temperature nitridation
US6620664B2 (en) * 2002-02-07 2003-09-16 Sharp Laboratories Of America, Inc. Silicon-germanium MOSFET with deposited gate dielectric and metal gate electrode and method for making the same
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
WO2003105204A2 (en) * 2002-06-07 2003-12-18 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6764908B1 (en) * 2002-06-19 2004-07-20 Advanced Micro Devices, Inc. Narrow width CMOS devices fabricated on strained lattice semiconductor substrates with maximized NMOS and PMOS drive currents
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
JP3874716B2 (ja) * 2002-11-14 2007-01-31 株式会社東芝 半導体装置の製造方法
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6696348B1 (en) * 2002-12-09 2004-02-24 Advanced Micro Devices, Inc. Wide neck shallow trench isolation region to prevent strain relaxation at shallow trench isolation region edges
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6878611B2 (en) * 2003-01-02 2005-04-12 International Business Machines Corporation Patterned strained silicon for high performance circuits
US6903384B2 (en) * 2003-01-15 2005-06-07 Sharp Laboratories Of America, Inc. System and method for isolating silicon germanium dislocation regions in strained-silicon CMOS applications
US6825086B2 (en) * 2003-01-17 2004-11-30 Sharp Laboratories Of America, Inc. Strained-silicon channel CMOS with sacrificial shallow trench isolation oxide liner
US7157774B2 (en) * 2003-01-31 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Strained silicon-on-insulator transistors with mesa isolation
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US6982229B2 (en) * 2003-04-18 2006-01-03 Lsi Logic Corporation Ion recoil implantation and enhanced carrier mobility in CMOS device
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US6891229B2 (en) * 2003-04-30 2005-05-10 Freescale Semiconductor, Inc. Inverted isolation formed with spacers
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US7049660B2 (en) * 2003-05-30 2006-05-23 International Business Machines Corporation High-quality SGOI by oxidation near the alloy melting temperature
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US6927414B2 (en) * 2003-06-17 2005-08-09 International Business Machines Corporation High speed lateral heterojunction MISFETs realized by 2-dimensional bandgap engineering and methods thereof
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
JP3927165B2 (ja) * 2003-07-03 2007-06-06 株式会社東芝 半導体装置
US6905923B1 (en) * 2003-07-15 2005-06-14 Advanced Micro Devices, Inc. Offset spacer process for forming N-type transistors
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
WO2005013375A1 (ja) * 2003-08-05 2005-02-10 Fujitsu Limited 半導体装置及びその製造方法
US7342289B2 (en) * 2003-08-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon MOS devices
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
CN101359598B (zh) * 2003-09-04 2010-06-09 台湾积体电路制造股份有限公司 应变沟道半导体结构的制造方法
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7034362B2 (en) * 2003-10-17 2006-04-25 International Business Machines Corporation Double silicon-on-insulator (SOI) metal oxide semiconductor field effect transistor (MOSFET) structures
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7023055B2 (en) * 2003-10-29 2006-04-04 International Business Machines Corporation CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US6939814B2 (en) * 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods
US8035168B2 (en) * 2006-02-27 2011-10-11 Synopsys, Inc. Elevation of transistor channels to reduce impact of shallow trench isolation on transistor performance

Also Published As

Publication number Publication date
DE602004025135D1 (de) 2010-03-04
US9040373B2 (en) 2015-05-26
KR100818899B1 (ko) 2008-04-04
US20050104131A1 (en) 2005-05-19
WO2005057612A2 (en) 2005-06-23
US20070228472A1 (en) 2007-10-04
EP1685584A2 (en) 2006-08-02
WO2005057612A3 (en) 2008-01-03
KR20060100433A (ko) 2006-09-20
US8119472B2 (en) 2012-02-21
US8232153B2 (en) 2012-07-31
US20070231979A1 (en) 2007-10-04
US8633071B2 (en) 2014-01-21
US20120052653A1 (en) 2012-03-01
EP1685584A4 (en) 2008-12-31
EP1685584B1 (en) 2010-01-13
CN101208794B (zh) 2010-04-28
US7247534B2 (en) 2007-07-24
JP2007533119A (ja) 2007-11-15
ATE455370T1 (de) 2010-01-15
CN101208794A (zh) 2008-06-25
US20140103366A1 (en) 2014-04-17

Similar Documents

Publication Publication Date Title
JP4678877B2 (ja) Si:C−OIおよびSGOI上のシリコン・デバイスならびに製造方法
JP4130652B2 (ja) 半導体構造およびその製造方法
US6911379B2 (en) Method of forming strained silicon on insulator substrate
US7029994B2 (en) Strained channel on insulator device
US7528050B2 (en) High performance field effect transistors on SOI substrate with stress-inducing material as buried insulator and methods
US7560328B2 (en) Strained Si on multiple materials for bulk or SOI substrates
US7902008B2 (en) Methods for fabricating a stressed MOS device
US7271043B2 (en) Method for manufacturing strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
US7528056B2 (en) Low-cost strained SOI substrate for high-performance CMOS technology
US20070269963A1 (en) STRAINED HOT (HYBRID ORIENTATION TECHNOLOGY) MOSFETs
US7220626B2 (en) Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels
Mooney Improved CMOS performance via enhanced carrier mobility

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100506

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100727

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101027

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20101027

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20101029

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101126

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101202

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110125

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20110126

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110131

R150 Certificate of patent or registration of utility model

Ref document number: 4678877

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140210

Year of fee payment: 3