KR100818899B1 - SiC-OI 및 SGOI상의 실리콘 장치 및 그 제조 방법 - Google Patents

SiC-OI 및 SGOI상의 실리콘 장치 및 그 제조 방법

Info

Publication number
KR100818899B1
KR100818899B1 KR1020067009835A KR20067009835A KR100818899B1 KR 100818899 B1 KR100818899 B1 KR 100818899B1 KR 1020067009835 A KR1020067009835 A KR 1020067009835A KR 20067009835 A KR20067009835 A KR 20067009835A KR 100818899 B1 KR100818899 B1 KR 100818899B1
Authority
KR
South Korea
Prior art keywords
island
sic
layer
substrate
abandoned
Prior art date
Application number
KR1020067009835A
Other languages
English (en)
Other versions
KR20060100433A (ko
Inventor
듀레세티 치담바라오
오머 에이취 도쿠마치
올레그 쥐 글루쉔코브
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20060100433A publication Critical patent/KR20060100433A/ko
Application granted granted Critical
Publication of KR100818899B1 publication Critical patent/KR100818899B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8213Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using SiC technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66651Lateral single gate silicon transistors with a single crystalline channel formed on the silicon substrate after insulating device isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/737Hetero-junction transistors
    • H01L29/7371Vertical transistors
    • H01L29/7378Vertical transistors comprising lattice mismatched active layers, e.g. SiGe strained layer transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/808Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a PN junction gate, e.g. PN homojunction gate
    • H01L29/8086Thin film JFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)
  • Electroluminescent Light Sources (AREA)
  • Illuminated Signs And Luminous Advertising (AREA)

Abstract

반도체 구조 및 제조 방법이 제공된다. 이 제조 방법은 기판에 얕은 트렌치 격리(STI)(25)를 형성하는 단계와 상기 기판 상에 제1 재료(30) 및 제2 재료(40)를 제공하는 단계를 포함한다. 제1 재료(30) 및 제2 재료(40)는 열적 어닐링 공정에 의해 기판 내에 혼합되어 각각 nFET 영역 및 pFET 영역에서 제1 아일랜드(50) 및 제2 아일랜드(55)를 형성한다. 상이한 재료층이 제1 아일랜드(50) 및 제2 아일랜드(55) 상에 형성된다. STI는 릴랙스되고 제1 아일랜드(50) 및 제2 아일랜드(55)의 릴랙스를 용이하게 한다. 제1 재료(30)는 Ge 재료로 피착되거나 성장되고, 제2 재료(40)는 SiC 또는 C로 피착되거나 성장된다. 스트레인드 Si층은 제1 아일랜드(50) 및 제2 아일랜드(55) 중 적어도 하나 상에 형성된다.

Description

SiC-OI 및 SGOI상의 실리콘 장치 및 그 제조 방법{SILICON DEVICE ON SiC-OI AND SGOI AND METHOD OF MANUFACTURE}
본 발명은 대체로 반도체 장치 및 제조 방법에 관한 것으로, 더 구체적으로, 장치 제조 동안에 장치에 인장성 및 압축성 스트레스를 가하는 반도체 장치 및 제조 방법에 관한 것이다.
반도체 장치 기판 내의 기계적 스트레스는 장치 성능을 변화시킬 수 있다. 즉, 반도체 장치 내의 스트레스는 반도체 장치 특성을 향상시키는 것으로 알려져 있다. 따라서, 반도체 장치의 특성을 개선시키기 위해, n-타입 장치들(예를 들어, nFET) 및/또는 p-타입 장치들(예를 들어, pFET)의 채널에 인장성 및/또는 압축성 스트레스가 생성된다. 그러나, 인장성 스트레스던 압축성 스트레스던, 그 스트레스 성분은 n-타입 장치 및 p-타입 장치의 특성에 상이하게 영향을 미친다.
집적 회로 칩(IC) 내의 nFET 및 pFET 양자 모두의 성능을 최대화하기 위해, 스트레스 성분들이 연구되어 nFET 및 pFET에 상이하게 인가되어야 한다. 이것은, nFET의 성능에 이득을 주는 스트레스 타입은 일반적으로 pFET의 성능에 해를 미치기 때문이다. 더 구체적으로, 장치가 인장력을 받을 때(예를 들어, 평면형 장치에서 전류 흐름의 방향으로), nFET의 성능 특성은 향상되는 반면 pFET의 성능 특성은 감소된다. nFET에는 인장성 스트레스를 생성하고 pFET에는 압축성 스트레스를 생성하기 위해서는, 특유의 공정과 상이한 재료의 조합이 사용된다.
예를 들어, 각각 nFET와 pFET에서 적절한 스트레스를 형성하기 위한 트렌치 격리 구조가 제안되어 왔다. 이 방법이 사용될 때, nFET 장치에 대한 격리 영역은 세로 방향(예를 들어, 전류 흐름의 방향에 평행한 방향) 및 가로 방향(예를 들어, 전류 흐름의 방향에 수직한 방향)에서 nFET 장치 상에 제1 타입의 기계적 스트레스를 인가하는 제1 격리 재료를 포함한다. 또한, pFET에 대해 제1 격리 영역 및 제2 격리 영역이 제공되고, pFET 장치의 격리 영역들 각각은 가로 방향 및 세로 방향에서 pFET 장치 상에 고유한 기계적 스트레스를 인가한다.
대안으로서, FET 장치의 채널에 적절한 스트레스를 선택적으로 도입하기 위해 게이트 측벽 상의 라이너(liner)들이 제안되어 왔다(예를 들어, Ootsuka등의 IEDM 2000, p575를 참고). 라이너를 제공함으로써, 트렌치 격리 충전 기술의 결과로서 인가되는 스트레스보다 더 가깝게 적절한 스트레스가 장치에 인가된다.
또한, 인장성 및 압축성 스트레스를 이용하여 nFET 및 pFET 양자 모두를 개선시키고자 하는 많은 제안들로서, 2개의 MOSFET에 대해 마스크를 사용하여 개별적으로 스페이서 진성 스트레스 및 STI(Shallow Trench Isolation) 재료를 변경하는 방법들이 있어왔다. 릴랙스된 SiGe 상의 인장성 스트레인드 Si는 이러한 스트레스를 인가하는 수단으로서 제안되어 왔다. 불행하게도, 인장성 스트레인드 Si는 스택 형태로 사용될 때 2축성 인장 스트레스만을 Si 캡상에 가할 수 있다. 이것은 스트레스에 대한 pFET 감도의 성질 때문에 쓸모있는 Ge%의 체제를 포함한다. nFET 성능은 2축 인장력과 더불어 단조적으로 개선된다; 그러나, pFET는 개선이 이루어지기 시작하는 3GPa까지의 2축 인장력까지는 열화된다.
pFET 및 nFET 양자 모두를 동시에 개선하기 위해서는, Ge%는 약 25~30%정도(또는 스트레스가 3~4 GPa 정도)까지 높아질 필요가 있다. 이러한 Ge%의 레벨은 공정으로 구현하기 어려우며, 표면 거칠어짐, 처리 복잡성, 결함 및 수율 제어 등을 포함한 주요한 문제점들 때문에 제조하기가 어렵다. pEFT에 대해 높은 Ge%를 사용하기 어렵다면(비교적 낮은 레벨의 인장력 때문에 해로울 것이기 때문에), 장치 성능을 개선시키기 위해 다른 방법이 강구되어야만 한다.
추가적으로, SiC는 고유하게 인장성인 Si 상에서 에피텍셜 성장되는 것으로 알려져 있다. SiC/Si 재료 스택에서 1%의 C 함량은 SiC에서 500 MPa 정도의 인장성 스트레스 레벨을 유발할 수 있다. 이러한 1%의 C 레벨은, Ernst등에 의한 VLSI Symp., 2002, p.92에 도시된 바와 같이, 에피텍셜 성장 동안에 Si 내에 포함될 수 있다. 이 문헌에서, Si/SiC/Si는 nFET에 대한 층형 채널(layered channel)로 되어 있다. 그러나, SiC 부분은 릴랙스되지 않는다. 그 대신, 이 문헌에서, 릴랙스되지 않은 SiC는 매우 가는 Si 캡과 함께 그 자체로서 채널의 일부로서 사용된다. 이러한 접근법에서의 문제점은, 스캐터링으로부터의 C 함량에 따라 이동성이 향상되지 않고 지연된다는 점이다.
이러한 방법들은, nFET 장치에 적용되는 인장성 스트레스와 pEFT 장치에 적용되는 세로 방향의 압축성 스트레스를 갖는 구조를 제공하지만, 이들은 추가적인 재료 및/또는 더욱 복잡한 처리를 요구한다. 따라서, 그 결과, 비용이 높아진다. 또한, 이들 상황에서 인가될 수 있는 스트레스 레벨은 전형적으로 보통 수준이다(즉, MPa의 100s 정도). 따라서, 채널 nFET 및 pFET에서 더 큰 인장성 및 압축성 스트레스를 생성하기 위해 비용면에서 더욱 효과적이고 간단한 방법을 제공하는 것이 바람직하다.
도 1 내지 도 6은 본 발명에 따른 중간 구조를 형성하는 제조 공정을 도시하는 도면.
도 7 내지 도 11은 본 발명의 또 다른 면에 따라 중간 구조를 형성하는 제조 공정을 도시한 도면.
도 12a 및 12b는 본 발명의 대표 구조를 도시하는 도면.
본 발명의 제1 면에서, 기판에 얕은 트렌치 격리(STI)를 형성하는 단계와 상기 기판 상에 제1 재료 및 제2 재료를 제공하는 단계를 포함하는, 소정의 구조를 제조하기 위한 방법이 제공된다. 상기 제1 재료 및 제2 재료는, 각각 nFET 영역 및 pFET 영역에서 제1 아일랜드 및 제2 아일랜드를 형성하기 위해 열적 어닐링 공정에 의해 기판 내에 혼합된다. 상이한 재료의 층이 제1 아일랜드와 제2 아일랜드 상에서 형성된다. STI는 릴랙스되어 제1 아일랜드 및 제2 아일랜드의 릴랙스를 용이하게 한다. 한 실시예에서, 제1 재료는 Ge 재료로 피착되거나 성장되고, 제2 재료는 SiC 또는 C로 피착되거나 성장된다.
또 다른 면에서, 기판을 형성하고 상기 기판에 제1 재료로 얕은 트렌치를 형성하는 단계를 포함하는, 소정의 구조를 제조하는 방법이 제공된다. pFET 영역 및 nFET 영역 위에 제2 재료가 형성되고, 그 다음, 상기 기판 내에 열적으로 어닐링되어, 혼합된 재료의 제1 아일랜드 및 제2 아일랜드를 형성한다. Si 층은 제1 영역의 제1 아일랜드 상에서 성장된다. Si 층은 스트레인된다(strained).
역시 또 다른 면에서, 기판을 형성하고 상기 기판에 고온 안정된 아몰퍼스 재료, 양호하게는 산화물의 얕은 트렌치 격리를 형성하는 단계를 포함하는 제조 방법이 제공된다. 이 방법은, 적어도 하나의 재료를 기판 속으로 열적 어닐링하여 혼합된 재료의 제1 아일랜드 및 제2 아일랜드를 형성하고, 적어도 제1 아일랜드 상에 Si 층을 성장시키는 단계를 포함한다. Si 층은 스트레인된다. 실시예들에서:
(i) 상기 적어도 하나의 재료는 Ge이고 제1 아일랜드 및 제2 아일랜드는 실질적으로, 릴랙스된 SiGe의 혼합된 재료로 구성된다.
(ii) 상기 적어도 하나의 재료는 C 또는 SiC이고 제1 아일랜드 및 제2 아일랜드는 실질적으로, 릴랙스된 SiC의 혼합된 재료로 구성된다.
(iii) 상기 적어도 하나의 재료는 Ge 및 SiC 또는 C이고 제1 아일랜드는 실질적으로 SiGe로 구성되고, 제2 아일랜드는 실질적으로 SiC로 구성된다.
본 발명의 또 다른 면에서, 반도체 구조는, 기판과, 상기 기판에 형성된 고온 안정된, 양호하게는 산화물의 릴랙스된 얕은 트렌치 격리를 포함한다. 열적으로 어닐링된 혼합된 재료의 제1 아일랜드가 pFET 영역에서 기판에 형성되고, 열적으로 어닐링된 혼합된 재료의 제2 아일랜드가 nFET 영역에서 기판에 형성된다. 스트레인드 Si 층은 제1 아일랜드 및 제2 아일랜드 중 적어도 하나 상에 형성된다.
본 발명은 개선된 장치 성능을 위해 CMOS 장치의 nFET 채널 및 pFET 채널에서 원하는 스트레스를 제공하는 반도체 장치 및 그 제조 방법에 관한 것이다. 한 접근법에서, SiGe 아일랜드는, 피착된 Ge 재료를 SOI 박막에 열적으로 혼합함으로써 얻어진다. 유사하게, SiC 아일랜드는 피착된 SiC 또는 C를 Si 또는 SOI 박막에 열적으로 혼합함으로써 얻어진다. 본 발명의 방법을 이용함으로써, 필요한 Ge%는 크지 않고 그에 따라 결함 문제를 유발하지 않는다. 또한, pFET 및 nFET에서의 SiGe의 릴랙스 및/또는 SiC 아일랜드는, 각각 본 발명에 의해 달성될 수 있어, 블랭킷(SiGe 또는 SiC) 기판에 비해 개선된 성능을 제공한다. 이것은, 본 발명의 구현에서, 예를 들어, 얕은 트렌치 격리(STI)가 릴랙스될 수 있도록 하고 또한 SiGe 및 SiC 아일랜드의 릴랙스를 용이하게 하도록, 고온의 열적 혼합 단계가 제공되기 때문이다.
본 발명의 이전에는, 서로 다른(원자들간의 크기가 다른) 릴랙스된 결정 격자를 갖는 적어도 2개의 결정 아일랜드의 배치는, 아일랜드들이 비교적 큰 크기를 갖는 웨이퍼 본딩 기술에 의해서만 가능성이 있었다; 그러나, 본 발명에서, 이 방법들은, 릴랙스된 그러나 상이한 결정 구조를 갖는, 작은 결정 아일랜드의 고유한 기판을 산출한다. 한 실시예에서, 이와 같은 구조의 중요한 요소는, 절연체 구조 상의 결정과 아일랜드들 사이에, 예를 들어, SiO2와 같은 고온 안정적 아몰퍼스 재료를 사용하는 것이다. 상이한 (결정) 아일랜드를 갖는 고유한 구조는, 선택적으로 상이한 결정의 상이한 스트레인드 층들의 배치를 허용한다. 제1 면에서, 상이한 스트레인 층들은 인장성 Si층 및 압축성 Si 층이다. 본 발명의 또 다른 면에서, 상이한 층들은 인장성 Si층 및 SiGe층 또는 압축성 Si층 및 SiC 층이다.
본 발명은, 복수의 결정 격자 상수를 갖는 절연체 상의 아일랜드를 갖는 기판을 제조하는 기술에 독창적이고 중요한 기여를 한다. 본 발명에서, 예를 들어, 제1 아일랜드(결정 1)은 격자 상수 a ≥ aSi를 가지며, 제2 아일랜드(결정 2)는 격자 상수 a ≤ aSi를 가진다. 본 발명의 한 면에서, 이하에서 더욱 상세히 설명하는 바와 같이, 본 발명의 Si 에피텍셜층은 선택적으로 성장될 수 있고, 이것이 SiGe 및 SiC 상에 인장성 및 압축성 변형을 가할 것이다. 이 특정한 애플리케이션은 예를 들어 스트레인드 평면형 nFET 및 pFET에서 적합할 것이다.
추가적으로, SiGe에서 홀(hole)은 뛰어난 이동성을 갖고 있는 것으로 알려져 있으나, 이 재료 상에 신뢰성있는 열적 기반의 산화물을 생성하기는 어렵다. 본 발명의 한 구현에서는, 예를 들어, nFET에 대해서는 인장성 스트레인드 Si를 사용하면서 pFET에 대해 릴랙스된 SiGe(결정 1)만을 사용하는 것이 가능하도록, 유전체의 고 K 재료가 피착된다. pFET에 대해 압축성 스트레스가 인가된 Si를 갖는 SiC를 사용하는 것도 역시 본 발명에 의해 고려되었다. 따라서, 본 발명은 복수 격자 상수 아일랜드 기판의 개념을 일반화할 수 있다.
도 1을 참조하면, 실리콘 웨이퍼가 도시되어 있다. 이와 같은 웨이퍼들은 다양한 이산 및 집적 회로(IC) 반도체 장치 애플리케이션을 위한 시작 기판(starting substrate)으로서 시판되고 있다. 한 실시예에서, 실리콘 온 글래스(SOI) 웨이퍼는, 산소와 고온 어닐링의 고 주입량 이온 주입을 채택하여 벌크 웨이퍼 내에 BOX층을 형성하는, SIMOX(Separation by IMplanted OXygen) 공정을 이용하여 제조될 수 있다. 또 다른 예로서, 웨이퍼는 그 표면 상에 산화물층을 갖는 또 다른 실리콘 웨이퍼(기판 층)에 장치 품질 실리콘 웨이퍼(device quality silicon wafer)를 접합시켜 제조될 수 있다. 그 다음, 기판 층 상의 산화물 층(이제는 BOX층에 해당됨)의 상부에 (시작 기판의 두께에 비해) 얇은 단결정 실린콘의 장치-품질층을 남겨두는 공정을 사용하여, 쌍이 분리된다. SOI 웨이퍼는 또한 다른 공정드을 사용하여 형성될 수 있다.
여전히 도 1을 참조하면, Si 층(20)이 형성되고 패터닝되어, 패드 산화, 패드 질화물 피착, 리소그래피 기반의 패터닝, 매립된 산화물에 대한 질화물, 산화물, 및 실리콘으로 구성된 스택의 반응성 이온 에칭(RIE), 에지 산화, 라이너 피착, 충전 피착, 화학적 기계적 폴리싱 등의 표준 기술을 사용하여, 얕은 트렌치 격리(STI, 25)를 형성한다. STI 형성 공정은 본 분야에서 잘 알려져 있다. 한 구현에서, 고온 안정된 아몰퍼스 재료, 예를 들어, SiO2가 STI에 대해 사용된다.
도 2를 참조하면, 화학적 증기 피착 방법과 같은 종래의 기술을 사용하여 에피텍셜 Ge 재료(층)(30)이 구조물의 표면 위에 피착된다. 예를 들어, Ge 층(30)을 피착하기 위해 초고진공 화학적 증기 피착(UHVCVD)이 종래의 방식으로 이용될 수 있다. 다른 종래 기술들로서, RTCVD(Rapid Thermal Chemical Vapor Deposition), LRPCVD(Limited Reaction Processing CVD), 및 MBE(Molecular Beam Epitaxy)가 있다. 한 실시예에서, Ge 재료의 두께는 5 내지 50 나노미터 범위 내에 있거나, 또는, 예를 들어 30 내지 100 나노미터 범위에 있는 하부 Si층의 두께에 따라 달라질 수 있다.
nFET 하드 마스크(35)는 Ge 층(30)의 일부 상에(예를 들어, 형성될 예정의 nFET 장치의 위치에) 제공된다. nFET 하드 마스크(35)는, 스핀-온 코팅, CVD, 플라즈마-보조 CVD, 초고진공 화학적 증기 피착(UHVCVD), 급속 열 화학적 증기 피착법(RTCVD), 제한된 반응 공정 CVD(LRPCVD) 등의 종래의 피착 공정을 사용하여 질화물 하드 마스크 형성될 수 있다.
도 3에서, 노출된 Ge 층(30)이 에칭되고, nFET 마스크(35)가 공지된 기술을 사용하여 스트라이핑된다. 예를 들어, Ge 층(30)은 RIE, 습식 또는 건식 에칭을 사용하여 선택적으로 에칭된다.
도 4에 도시된 바와 같이, SiC 재료(40)(또는 선택사항으로서 C)가, 에피텍셜적으로 피착된 Ge 재료(35) 위를 포함하여, 구조물 상에 피착된다. 예를 들어, SiC (또는 선택사항으로서 C) 재료(40)를 피착하기 위해 초고진공 증기 화학적 피착(UHVCVD)이 종래의 방식으로 사용될 수 있다. 다른 종래 기술들로는, RTCVD(Rapid Thermal Chemical Vapor Deposition), LRPCVD(Limited Reaction Processing CVD)등의 방법이 포함된다. 한 실시예에서, SiC 또는 C 재료의 두께는 5 내지 50 나노미터 범위내에 있거나, 또는, 예를 들어, 30 내지 100 나노미터의 범위 내에 있는 하부 Si층의 두께에 따라 달라질 수 있다. 또 다른 면에서, C를 사용할 때, 두께는 1 내지 30 나노미터의 범위에 있다.
pFET 하드 마스크(45)는 형성될 예정의 pFET의 위치에서 SiC 재료(40)의 일부 상에 제공된다. pFET 하드 마스크(45)는, 스핀-온 코팅, CVD, 플라즈마-보조 CVD, 초고진공 화학적 증기 피착(UHVCVD), 급속 열 화학적 증기 피착법(RTCVD), 제한된 반응 공정 CVD(LRPCVD) 등의 종래의 피착 고정을 사용하여 질화물 하드 마스크 형성될 수 있다.
도 5에 도시된 바와 같이, 그 다음, 노출된 SiC 층(40)이 에칭되고 pFET 마스크(45)가 공지된 기술을 사용하여 에칭된다. 예를 들어, SiC 및 pFET는 예를 들어, RIE, 습식 또는 건칙 에칭등과 같은 표준 기술을 사용하여 에칭될 수 있다.
도 6에서, 구조물에는 열적 어닐링 공정이 가해진다. 이 공정 동안에, nFET 장치의 경우, 피착된 Ge 재료(30)이 하부 SOI막 내에 혼합되어 실질적 SiGe 재료의 아일랜드(50)를 형성한다. 열적 어닐링 공정은, 예를 들어, 약 1200℃ 내지 1350℃에서 1시간 내지 10시간 동안, 한 예로서, 약 5시간 동안 1200℃에서 이루어진다.
본 발명의 방법을 사용함으로써, nFET에 대해 필요한 Ge%는 크지 않으며(예를 들어, 25%이하, 한 예에서는 10 내지 20%), 그에 따라 결함 문제를 유발하지 않는다. 또한, 예를 들어, 고온의 열적 혼합 단계로 인해, STI(25)는 릴랙스될 수 있고 SiGe 아일랜드(50) 및 SiC 아일랜드(55)의 릴랙스를 용이하게 한다. 이것은, 부분적으로, STI가, 고온에서 점성 재료가 되는, 예를 들어, 고온에서 낮은 점성 재료가 되는 산화물 재료를 포함하기 때문이다.
또한, SiGe 아일랜드(50) 및 SiC 아일랜드(55)는 상이한 (원자들간의 크기가 상이한) 릴랙스된 결정 격자를 가지며, 이것은 작은 결정 아일랜드를 갖는 고유한 기판을 산출한다. SiGe 아일랜드(50) 및 SiC 아일랜드(55)의 릴랙스는 블랭킷(SiGe 또는 SiC) 기판에 비해 개선된 성능을 제공한다. 한 구현에서, SiGe 아일랜드(50) 및 SiC 아일랜드(55) 사이의 고온 안정된 아몰퍼스 재료, 예를 들어, SiO2와, 절연체 구조 상의 결정이 본 발명에 따라 이용된다.
도 6에 도시된 바와 같이, SiGe 아일랜드(50) 및 SiC 아일랜드(55) 상에서 Si 에피텍셜 층(60)이 공지된 공정에 의해 선택적으로 성장된다. 본 발명의 한 면에서, 선택적으로 성장된 Si 층(60)은 각각 SiGe 아일랜드 및 SiC 아일랜드 상에서 인장성 및 압축성으로 스트레인 될 것이다. Si 층(60)은 그 두께가 예를 들어 5 내지 50 나노미터 범위에 있고, 예를 들어, 하부 기판의 두께에 따라 다른 두께나 온도가 본 발명에 사용될 수도 있다.
이제, 구현시에, SiGe 아일랜드(50)은 격자 상수 a≥aSi를 가지며, SiC 아일랜드(55)는 격자 상수 a≤aSi를 가진다. 즉, 단독으로 보았을 때, Si는 대개 SiGe 층보다 낮은 격자 상수를 가진다; 즉, Si 재료의 격자 상수는 SiGe 층의 격자 상수와 정합하지 않는다. 그러나, 본 발명의 구조에서, Si층의 격자 상수는 SiGe 층의 격자 상수와 정합될려는 경항이 있다. 따라서, (격자 상수가 더 작은) Si를 SiGe 층에 격자 정합시킴으로서, Si층은 인장성 스트레스하에 놓이게 된다. 이 영역은 nFET에 대한 스트레인드 채널로서 역할한다. 한 실시예에서, SiGe층의 Ge 함량은 Si 함량에 대해 그 비율이 25%보다 작을 것이다.
또한, 단독으로, Si는 대개 SiC 아일랜드보다 더 큰 격자 상수를 가진다. 즉, Si 재료의 격자 상수는 SiC의 격자 상수와 정합하지 않는다. 그러나, Si층의 격자 상수는 SiC의 격자 상수와 정합하려는 경향이 있다. (격자 상수가 더 큰) Si를 SiC 아일랜드에 정합시킴으로써, Si층은 압축성 스트레스하에 놓인다. 즉, SiGe의 경우와 유사하게, SiC 아일랜드를 포위하는 영역은 평형 상태를 얻으려 할 것이고, 그 결과 SiC 아일랜드 상에 형성된 에피텍셜 Si층에는 압축성 스트레스가 유발된다. 이 영역은 pFET에 대한 스트레인드 채널로서 역할할 것이다. 한 실시예에서, 피착시에, C 함량은 Si 함량에 대해 그 비율이 4%까지 될 수 있다.
도 7 내지 도 11은 본 발명의 다른 면을 도시한다. 도 7에서, SOI와 같은 실리콘 웨이퍼가 도시되어 있다. 앞서 기술된 구조에서와 같이, SOI는 SIMOX 공정 또는 기타 공지된 공정을 이용하여 제조될 수 있다. Si층(70)은 패터닝되어, 패드 산화, 패드 질화물 피착, 리소그래피 기반의 패터닝, 매립된 산화물에 대한 질화물, 산화물, 및 실리콘으로 구성된 스택의 반응성 이온 에칭(RIE), 에지 산화, 라이너 피착, 충전 피착, 및 화학적 기계적 폴리싱 등의 표준 기술을 사용하여, 얕은 트렌치 격리(STI, 25)를 형성한다. STI 형성 공정은 공지되어 있다.
도 8을 참조하면, 형성될 예정의 pFET 영역에서 구조물의 일부 상에 pFET 마스크(40)가 제공된다. pFET 하드 마스크는 화학적 증기 피착 방법과 같은 종래의 기술을 사용하여 피착될 수 있다. 예를 들어, 이와 같은 기술들에는, 스핀-온 코팅, CVD, 플라즈마-보조 CVD, 초고진공 화학적 증기 피착(UHVCVD), 급속 열 화학적 증기 피착법(RTCVD), 제한된 반응 공정 CVD(LRPCVD)등의 피착 공정이 포함된다.
에피텍셜 Ge 층(30)은 종래 기술을 사용하여 형성될 예정의 nFET의 노출된 표면 위에 선택적으로 성장된다. 한 실시예에서, Ge 재료의 두께는 5 내지 50 나노미터의 범위에 있거나, 또는 예를 들어, 30 내지 100 나노미터 범위의 하부 Si층의 두께에 따라 달라질 수 있다. 하드 마스크(45)는 상기 논의한 공지된 공정을 사용하여 스트라이핑된다.
도 9에서, 형성될 예정의 nFET 위치에서 구조물의 일부분 상에 nFET 마스크(35)가 제공된다. nFET 하드 마스크는 당업자에게 공지된 화학적 증기 피착법과 같은 종래 기술을 사용하여 피착될 수 있다.
SiC층(40)은 상술한 바와 같이 화학적 증기 피착 방법과 같은 종래 기술을 이용하여 형성될 예정인 pFET 위치에서 구조물의 노출된 표면 위에서 선택적으로 성장된다. 한 실시예에서, SiC 재료의 두께는 5 내지 50 나노미터 범위에 있거나, 또는 30 내지 100 나노미터의 범위에 있는 하부 Si층의 두께에 따라 달라질 수 있다. C는 1 내지 50 나노미터의 범위에서 더 두꺼울 수 있다.
도 10에 도시된 바와 같이, nFET 하드 마스크(35)는 공지된 방법을 사용하여 제거된다. 그 다음, 구조물은 열적 어닐링 공정에 놓인다. 어닐링 공정 동안에, nFET 장치의 경우, Ge 재료(30)는 SOI 막에 혼합되어 실질적 SiGe 재료의 아일랜드(50)를 형성한다. 이 공정은 또한 기판으로서 BOX층을 형성한다. 열적 어닐링 공정은 예를 들어 약 1200℃ 내지 1350℃의 온도에서 1시간 내지 10시간 동안, 한 예로서, 1200℃에서 약 5시간 동안 수행된다.
상기 논의한 바와 같이, 이전의 구현에서와 유사하게, 본 발명의 방법을 사용함으로써, 필요한 Ge%는 크지 않고(예를 들어, 25% 이하, 한 구현에서는 10% 내지 20%), 그에 따라, 결함 문제를 유발하지 않는다. 또한, 고온의 열적 혼합에 기인하여, 예를 들어, STI(25)는 릴랙스될 수 있고, SiGe 아일랜드(50) 및 SiC 아일랜드(55)의 릴랙스를 용이하게 한다. 앞서 언급한 바와 같이, SiGe 및 SiC의 릴랙스는 블랭킷(SiGe 또는 SiC) 기판에 비해 개선된 성능을 제공한다. 본 발명의 한 구현에서, 이와 같은 구조의 요소는, 절연체 구조의 결정과 아일랜드 사이에서, SiO2와 같은 고온 안정된 아몰퍼스 재료를 사용하는 것이다.
도 11에 도시된 바와 같이, SiGe 아일랜드(50) 및 SiC 아일랜드(55) 상에 Si 에피텍셜 재료(60)가 선택적으로 성장된다. Si층(60)은 그 두께가 예를 들어 5 내지 20 나노미터 범위에 있다. 본 발명의 이러한 특징에서, 상이한 층들이 인장성 Si층 또는 압축성 Si층이 된다. 인장성 Si층은 nFET에 대한 스트레인드 채널로서 역할할 것이고, 압축성 Si층은 pFET에 대한 스트레인드 채널로서 역할할 것이다.
본 발명의 또 다른 면에서, C는 pFET 영역에 높은 주입량으로 주입될 수 있다. 이것은 열적 어닐링시에 SiC에서 1-4%보다 훨씬 큰 농도를 생성할 수 있다. 이 주입량은 약 1 e 16 개/㎠ 이거나 5 e 16 개/㎠보다 클 것이다.
도 12a의 예에서, 한 실시예로서, nFET 및 pFET 양자 모두에 대해, SiC 또는 C를 배제하고, SiGe가 사용될 수 있다. 이 구현에서, 스트레인드 Si가 nFET 영역 상에 놓이나 pFET 영역 상에는 놓이지 않는다. 제조시에, nFET은 인장성 스트레스에 놓인다. 그 다음, 장치의 제조 공정을 시작하기 위해, 고 K 유전체(100)가 구조물 상에서 선택적으로 성장된다; 즉, 고 K 유전체(100)은 스트레인드 Si 층 및 노출된 SiGe 층 위에서 성장된다. 고 K 유전체(100)는 예를 들어 지르코늄 산화물 또는 알루미늄 산화물일 수 있다.
대안으로서, nFET 및 pFET 양자 모두에 대해 SiGe를 배제하고, SiC가 사용될 수 있다. 이 구현에서, 스트레인드 Si가 pFET 영역에 놓이지만, nFET 영역에는 놓이지 않는다. 제조시에, pFET가 압축성 스트레스에 놓일 것이다. 그 다음, 제조 공정을 시작하기 위해, 고 K 유전체(100)가 구조물 상에서 선택적으로 성장된다; 즉, 고 K 유전체(100)는 스트레인드 Si층과 노출된 SiC 층 위에서 성장된다. 고 K 유전체(100)는 예를 들어, 지르코늄 또는 알루미늄 산화물일 수 있다. SiC 또는 SiGe를 시용하기 위한 공정은 앞서 논의한 바와 동일하다.
도 6, 11, 12a 및 12b에 도시된 바와 같이 형성된 구조물은, 본 발명의 원리에 따라 pFET 및 nFET와 같은 반도체 장치의 형성을 수용하는 중간 구조물이다. 최종 장치를 형성하기 위해, 당업자에게 공지된 바와 같이, 표준 CMOS 공정이 수행되어 구조물 상에 전계 효과 트랜지스터와 같은 장치를 형성한다. 예를 들어, 장치는, 스트레인드 Si(또는 Si 및 SiGe와 Si 및 SiC)의 반도체 채널에 의해 분리된 소스 및 드레인 영역의 이온 주입을 포함할 것이다. 즉, nFET은 인장성 스트레인드 Si 채널 위에 형성될 것이고 pFET은 압축성 스트레인드 Si 채널 위에 형성될 것이다. 게이트 산화물은 스트레인드 Si 채널 꼭대기에 제공되고, 게이트 도전체는 게이트 산화물의 상부에 제공된다. 스페이서들도 역시 제공된다. 이들 컴포넌트들은 전형적인 전계 효과 트랜지스터들에서 발견되며, 이에 대한 상세한 설명은 당업자가 FET 장치의 제조 공정을 용이하게 이해하는데 필요하지 않다.
본 발명이 실시예들의 관점에서 기술되었지만, 당업자라면 본 발명이 첨부된 특허청구범위의 사상과 범위 내에서 수정되어 실시될 수 있다는 것을 이해할 것이다. 예를 들어, 본 발명은 벌크 기판들에 용이하게 적용될 수 있다.
본 발명은 반도체 장치 분야에 유용하며, 더 구체적으로는 제조 동안에 반도체 장치에서 인장성 및 압축성 스트레스를 부과하는 제조 반도체 장치 및 그 제조 방법에 특히 유용하다.

Claims (22)

  1. 구조물의 제조 방법에 있어서,
    얕은 트렌치 격리(STI, 25)를 기판에 형성하는 단계;
    제1 재료(30)를 상기 기판 상에 제공하는 단계;
    제2 재료(40)를 상기 기판 상에 제공하는 단계;
    각각 nFET 영역 및 pFET 영역에서 제1 아일랜드(50) 및 제2 아일랜드(55)를 형성하기 위해 상기 제1 재료(30)와 상기 제2 재료(40)를 열적 어닐링 공정에 의해 상기 기판 내에 혼합하는 단계; 및
    상기 제1 아일랜드(50) 및 제2 아일랜드(55)와는 상이한 격자 상수를 갖는 재료층을 상기 제1 아일랜드(50) 및 상기 제2 아일랜드(55) 상에 형성하는 단계를 포함하며,
    상기 STI(25)는 릴랙스되어 상기 제1 아일랜드(50) 및 제2 아일랜드(55)의 릴랙스(relaxation)를 용이하게 하는 것인, 구조물 제조 방법.
  2. 제1항에 있어서, 상기 제1 재료(30)는 Ge 재료로 피착되며 상기 제2 재료(40)는 SiC 또는 C로 피착되는 것인, 구조물 제조 방법.
  3. 제1항에 있어서, 상기 열적 어닐링 공정은 약 1200℃ 내지 1350℃에서 수행되는 것인, 구조물 제조 방법.
  4. 제1항에 있어서, 상기 재료층을 형성하는 단계는 상기 제1 아일랜드(50) 및 상기 제2 아일랜드(55) 상에 Si 재료층을 성장시키는 것인, 구조물 제조 방법.
  5. 청구항 5은(는) 설정등록료 납부시 포기되었습니다.
    제4항에 있어서, 상기 제1 아일랜드(50)는 실질적으로 SiGe를 포함하고, 상기 제2 아일랜드(55)는 실질적으로 SiC를 포함하고, 상기 Si층은 스트레인드 층(strained layer)인 것인, 구조물 제조 방법.
  6. 청구항 6은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 STI(25)는, 온도가 상승함에 따라 점성이 낮아지는 재료로 형성되는 것인, 구조물 제조 방법.
  7. 제4항에 있어서, 상기 Si 재료는 상기 제1 아일랜드(50) 상에서 인장성 스트레스(tensile stress)에 놓이고, 상기 제2 아일랜드(55) 상에서는 압축성 스트레스(compressive stress)에 놓이는 것인, 구조물 제조 방법.
  8. 청구항 8은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 제1 재료(30)는 Ge로서, 기판에 대해 약 25%보다 작은 Ge%를 갖는 것인, 구조물 제조 방법.
  9. 제1항에 있어서, 상기 제1 아일랜드(50) 및 상기 제2 아일랜드(55)는 서로 다른 릴랙스된 결정 격자(relaxed crystal lattice)를 갖는 것인, 구조물 제조 방법.
  10. 청구항 10은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 STI(25)는, 고온 안정된 아몰퍼스 재료인 것인, 구조물 제조 방법.
  11. 청구항 11은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 제1 재료(30) 및 상기 제2 재료(40)는 상기 혼합 단계 이전에 상기 기판 상에 피착되는 것인, 구조물 제조 방법.
  12. 청구항 12은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 제1 재료(30) 및 상기 제2 재료(40)는 상기 혼합 단계 이전에 상기 기판 상에서 성장되는 것인, 구조물 제조 방법.
  13. 청구항 13은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 제2 재료(40)는 상기 열적 어닐링 공정시에 1~2%보다 큰 SiC의 농도를 생성하는 주입량(dose)으로 C가 주입되는(implant) 것인, 구조물 제조 방법.
  14. 제1항에 있어서, 상기 재료층은 상기 제1 아일랜드(50) 및 상기 제2 아일랜드(55) 상에 Si 에피텍셜층을 선택적으로 성장시키는 단계를 포함하고, 상기 Si 에피텍셜층은 상기 제1 아일랜드(50) 및 상기 제2 아일랜드(55)와는 상이한 격자 상수를 가져, 상기 선택적으로 성장된 Si 에피텍셜 층이 각각 제1 아일랜드(50) 및 제2 아일랜드(55) 상에서 인장성 및 압축성으로 스트레인(strained)되도록 하는 것인, 구조물 제조 방법.
  15. 청구항 15은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 제1 아일랜드(50)는 격자 상수 a ≥ aSi를 가지며, 제2 아일랜드(55)는 격자 상수 a ≤ aSi를 갖는 것인, 구조물 제조 방법.
  16. 청구항 16은(는) 설정등록료 납부시 포기되었습니다.
    제15항에 있어서, 상기 제1 아일랜드(50)는 실질적으로 SiGe로 구성되며, 상기 제2 아일랜드는 실질적으로 SiC와 상기 Si:Ge 아일랜드 위의 에피텍셜 성장된 층으로 구성되며, 상기 SiC 층은, 에피텍셜 성장된 층을 상기 SiGe 및 SiC에 대해 격자 정합시킴으로써, 각각 인장성 스트레스 및 압축성 스트레스하에 놓이는 것인, 구조물 제조 방법.
  17. 청구항 17은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 제2 아일랜드(55)는 실질적으로 SiC로 구성되며, C는 상기 열적 어닐링 공정시에 약 1~4%의 범위를 갖는 것인, 반도체 장치 제조 방법.
  18. 반도체 구조를 제조하는 방법에 있어서,
    기판을 형성하는 단계;
    상기 기판에 고온 안정된 아몰퍼스 재료의 얕은 트렌치 격리를 형성하는 단계;
    혼합된 재료의 제1 아일랜드(50) 및 제2 아일랜드(55)를 형성하기 위해 상기 기판에 적어도 하나의 재료를 열적으로 어닐링하는 단계;
    적어도 상기 제1 아일랜드(50) 상에서 Si층을 성장시키는 단계; 및
    상기 Si층을 압축성 스트레스 또는 인장성 스트레스 중 하나로 스트레인하는 단계
    를 포함하는, 반도체 구조 제조 방법.
  19. 청구항 19은(는) 설정등록료 납부시 포기되었습니다.
    제18항에 있어서, 상기 재료는 Ge 및 Si 또는 SiC 중 적어도 하나인 것인, 반도체 장치 제조 방법.
  20. 제18항에 있어서,
    상기 적어도 하나의 재료는 Ge이고, 상기 제1 아일랜드(50) 및 상기 제2 아일랜드(55)는 실질적으로, 릴랙스된 SiGe의 혼합된 재료로 구성되는 경우,
    상기 적어도 하나의 재료는 C 또는 SiC이고, 상기 제1 아일랜드(50) 및 상기 제2 아일랜드(55)는 실질적으로, 릴랙스된 SiC의 혼합된 재료로 구성되는 경우,
    상기 적어도 하나의 재료는 Ge 및 SiC 또는 C이고, 상기 제1 아일랜드(50)는 실질적으로 SiGe로 구성되며, 상기 제2 아일랜드(55)는 실질적으로 SiC로 구성되는 경우 중 하나인 것인, 반도체 장치 제조 방법.
  21. 청구항 21은(는) 설정등록료 납부시 포기되었습니다.
    제20항에 있어서, 상기 Si층은 SiGe 재료 및 SiC 재료와는 상이한 격자 상수를 가지며, 상기 기판은 고온 안정된 아몰퍼스 재료로 형성되는 것인, 반도체 장치 제조 방법.
  22. 제18항의 방법에 의해 형성된 반도체 구조.
KR1020067009835A 2003-11-19 2004-06-30 SiC-OI 및 SGOI상의 실리콘 장치 및 그 제조 방법 KR100818899B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/715,400 2003-11-19
US10/715,400 US7247534B2 (en) 2003-11-19 2003-11-19 Silicon device on Si:C-OI and SGOI and method of manufacture

Publications (2)

Publication Number Publication Date
KR20060100433A KR20060100433A (ko) 2006-09-20
KR100818899B1 true KR100818899B1 (ko) 2008-04-04

Family

ID=34574211

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067009835A KR100818899B1 (ko) 2003-11-19 2004-06-30 SiC-OI 및 SGOI상의 실리콘 장치 및 그 제조 방법

Country Status (8)

Country Link
US (5) US7247534B2 (ko)
EP (1) EP1685584B1 (ko)
JP (1) JP4678877B2 (ko)
KR (1) KR100818899B1 (ko)
CN (1) CN101208794B (ko)
AT (1) ATE455370T1 (ko)
DE (1) DE602004025135D1 (ko)
WO (1) WO2005057612A2 (ko)

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7482252B1 (en) * 2003-12-22 2009-01-27 Advanced Micro Devices, Inc. Method for reducing floating body effects in SOI semiconductor device without degrading mobility
US7662689B2 (en) * 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
JP2005197405A (ja) * 2004-01-06 2005-07-21 Toshiba Corp 半導体装置とその製造方法
JP4177775B2 (ja) 2004-03-16 2008-11-05 株式会社東芝 半導体基板及びその製造方法並びに半導体装置
US7842537B2 (en) * 2005-02-14 2010-11-30 Intel Corporation Stressed semiconductor using carbon and method for producing the same
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
JP4239203B2 (ja) * 2005-05-31 2009-03-18 株式会社東芝 半導体装置とその製造方法
US7528028B2 (en) * 2005-06-17 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Super anneal for process induced strain modulation
US20070063277A1 (en) * 2005-09-22 2007-03-22 International Business Machines Corporation Multiple low and high k gate oxides on single gate for lower miller capacitance and improved drive current
FR2892733B1 (fr) * 2005-10-28 2008-02-01 Soitec Silicon On Insulator Relaxation de couches
FR2893181B1 (fr) * 2005-11-09 2008-01-11 Commissariat Energie Atomique Procede de realisation de premieres et secondes zones actives semi-conductrices distinctes et utilisation pour la fabrication de structures de type c-mos
US7560318B2 (en) * 2006-03-13 2009-07-14 Freescale Semiconductor, Inc. Process for forming an electronic device including semiconductor layers having different stresses
WO2007112066A2 (en) 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US20070238267A1 (en) * 2006-03-28 2007-10-11 International Business Machines Corporation Epitaxy of Silicon-Carbon Substitutional Solid Solutions by Ultra-Fast Annealing of Amorphous Material
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
WO2008030574A1 (en) 2006-09-07 2008-03-13 Amberwave Systems Corporation Defect reduction using aspect ratio trapping
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
WO2008051503A2 (en) 2006-10-19 2008-05-02 Amberwave Systems Corporation Light-emitter-based devices with lattice-mismatched semiconductor structures
US8053327B2 (en) * 2006-12-21 2011-11-08 Globalfoundries Singapore Pte. Ltd. Method of manufacture of an integrated circuit system with self-aligned isolation structures
US8217423B2 (en) 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
US7888197B2 (en) * 2007-01-11 2011-02-15 International Business Machines Corporation Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
US7598142B2 (en) * 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
US7485519B2 (en) * 2007-03-30 2009-02-03 International Business Machines Corporation After gate fabrication of field effect transistor having tensile and compressive regions
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US20110084308A1 (en) * 2007-08-08 2011-04-14 Ter-Hoe Loh Semiconductor arrangement and a method for manufacturing the same
KR101093588B1 (ko) 2007-09-07 2011-12-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 멀티-정션 솔라 셀
US7622341B2 (en) * 2008-01-16 2009-11-24 International Business Machines Corporation Sige channel epitaxial development for high-k PFET manufacturability
US7993998B2 (en) * 2008-03-06 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices having dual high-mobility channels
US7968910B2 (en) * 2008-04-15 2011-06-28 International Business Machines Corporation Complementary field effect transistors having embedded silicon source and drain regions
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
EP2151852B1 (en) * 2008-08-06 2020-01-15 Soitec Relaxation and transfer of strained layers
EP2335273A4 (en) 2008-09-19 2012-01-25 Taiwan Semiconductor Mfg FORMATION OF EQUIPMENT BY EXCESSIVE GROWTH OF THE EPITAXIAL LAYER
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
KR101450956B1 (ko) 2009-04-02 2014-10-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 결정질 재료의 비극성 평면으로부터 형성된 소자 및 이의 제조 방법
US20110010761A1 (en) * 2009-07-09 2011-01-13 Qualcomm Incorporated Connectivity dependent application security for remote devices
US20110031503A1 (en) * 2009-08-10 2011-02-10 International Business Machines Corporation Device with stressed channel
JP2011108692A (ja) * 2009-11-12 2011-06-02 Ulvac Japan Ltd Cmosデバイス用シリコンウェハの製造方法
US8361867B2 (en) * 2010-03-19 2013-01-29 Acorn Technologies, Inc. Biaxial strained field effect transistor devices
US8592292B2 (en) 2010-09-02 2013-11-26 National Semiconductor Corporation Growth of multi-layer group III-nitride buffers on large-area silicon substrates and other substrates
JP5852863B2 (ja) * 2011-11-28 2016-02-03 株式会社日立製作所 4h−SiC半導体素子及び半導体装置
CN102437126A (zh) * 2011-11-30 2012-05-02 上海华力微电子有限公司 基于源体异质结的单晶体管dram单元及其制备方法
CN102437127A (zh) * 2011-11-30 2012-05-02 上海华力微电子有限公司 基于硅-锗硅异质结的单晶体管dram单元及其制备方法
US8471342B1 (en) * 2011-12-09 2013-06-25 GlobalFoundries, Inc. Integrated circuits formed on strained substrates and including relaxed buffer layers and methods for the manufacture thereof
US8610172B2 (en) * 2011-12-15 2013-12-17 International Business Machines Corporation FETs with hybrid channel materials
US8828851B2 (en) * 2012-02-01 2014-09-09 Stmicroeletronics, Inc. Method to enable the formation of silicon germanium channel of FDSOI devices for PFET threshold voltage engineering
CN103367154B (zh) * 2012-03-31 2016-03-16 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN102610530B (zh) * 2012-04-13 2014-08-27 电子科技大学 一种具有高锗组分的锗硅沟道pmos的制备方法
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN102916039B (zh) * 2012-10-19 2016-01-20 清华大学 具有氧化铍的半导体结构
US8836041B2 (en) * 2012-11-16 2014-09-16 Stmicroelectronics, Inc. Dual EPI CMOS integration for planar substrates
US8865561B2 (en) 2013-03-14 2014-10-21 International Business Machines Corporation Back-gated substrate and semiconductor device, and related method of fabrication
US8927363B2 (en) 2013-05-17 2015-01-06 International Business Machines Corporation Integrating channel SiGe into pFET structures
US9536746B2 (en) * 2014-03-13 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Recess and epitaxial layer to improve transistor performance
US9406751B2 (en) * 2014-06-05 2016-08-02 Stmicroelectronics, Inc. Method for making strained semiconductor device and related methods
US9219150B1 (en) * 2014-09-18 2015-12-22 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
US9818761B2 (en) 2015-06-25 2017-11-14 International Business Machines Corporation Selective oxidation for making relaxed silicon germanium on insulator structures
US10361219B2 (en) 2015-06-30 2019-07-23 International Business Machines Corporation Implementing a hybrid finFET device and nanowire device utilizing selective SGOI
CN106356303B (zh) * 2015-07-24 2019-12-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
US9472671B1 (en) * 2015-10-31 2016-10-18 International Business Machines Corporation Method and structure for forming dually strained silicon
US9530669B1 (en) * 2015-11-30 2016-12-27 International Business Machines Corporation Method of making a semiconductor device having a semiconductor material on a relaxed semiconductor including replacing a strained, selective etchable material, with a low density dielectric in a cavity
US9704958B1 (en) 2015-12-18 2017-07-11 International Business Machines Corporation III-V field effect transistor on a dielectric layer
US9905672B2 (en) * 2016-05-23 2018-02-27 Samsung Electronics Co., Ltd. Method of forming internal dielectric spacers for horizontal nanosheet FET architectures
US9735062B1 (en) 2016-06-03 2017-08-15 International Business Machines Corporation Defect reduction in channel silicon germanium on patterned silicon
US9842929B1 (en) 2016-06-09 2017-12-12 International Business Machines Corporation Strained silicon complementary metal oxide semiconductor including a silicon containing tensile N-type fin field effect transistor and silicon containing compressive P-type fin field effect transistor formed using a dual relaxed substrate
CN107507806B (zh) * 2016-06-14 2020-06-05 西安电子科技大学 基于沟道晶向选择的压应变Si CMOS器件及其制备方法
KR102260807B1 (ko) * 2016-11-30 2021-06-07 가부시키가이샤 리코 산화물 또는 산질화물 절연체 막 형성용 도포액, 산화물 또는 산질화물 절연체 막, 전계 효과형 트랜지스터 및 이들의 제조 방법
US10937876B2 (en) * 2018-10-26 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature to contact interfaces
US10847508B2 (en) * 2018-12-27 2020-11-24 Micron Technology, Inc. Apparatus with a current-gain layout
CN110676158B (zh) * 2019-09-30 2022-06-14 闽南师范大学 一种实现晶格阻断的零气泡Ge/Si异质混合集成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980024235A (ko) * 1996-09-03 1998-07-06 포만 제프리 엘 초전도체 구조 및 이의 응용 장치
KR20030058571A (ko) * 2001-12-31 2003-07-07 주식회사 하이닉스반도체 반도체소자의 제조방법

Family Cites Families (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3095564B2 (ja) * 1992-05-29 2000-10-03 株式会社東芝 半導体装置及び半導体装置の製造方法
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
JPS58100441A (ja) * 1981-12-10 1983-06-15 Toshiba Corp 半導体装置の製造方法
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5461243A (en) * 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
JPH07183237A (ja) * 1993-11-10 1995-07-21 Hitachi Ltd 半導体への不純物導入方法及び装置
JPH0897163A (ja) * 1994-07-28 1996-04-12 Hitachi Ltd 半導体ウエハの製造方法、半導体ウエハ、半導体集積回路装置の製造方法および半導体集積回路装置
JP3361922B2 (ja) 1994-09-13 2003-01-07 株式会社東芝 半導体装置
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
TW389999B (en) * 1995-11-21 2000-05-11 Toshiba Corp Substrate having shallow trench isolation and method of manufacturing the same
KR100213196B1 (ko) 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5763905A (en) * 1996-07-09 1998-06-09 Abb Research Ltd. Semiconductor device having a passivation layer
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
JP3300339B1 (ja) * 1996-09-17 2002-07-08 松下電器産業株式会社 半導体装置
US5879996A (en) * 1996-09-18 1999-03-09 Micron Technology, Inc. Silicon-germanium devices for CMOS formed by ion implantation and solid phase epitaxial regrowth
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
TW577129B (en) * 1997-03-05 2004-02-21 Hitachi Ltd Method for fabricating semiconductor integrated circuit device
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US5891769A (en) * 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
US5981356A (en) 1997-07-28 1999-11-09 Integrated Device Technology, Inc. Isolation trenches with protected corners
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
US6191451B1 (en) * 1998-01-30 2001-02-20 International Business Machines Corporation Semiconductor device with decoupling capacitance
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
JP4258034B2 (ja) * 1998-05-27 2009-04-30 ソニー株式会社 半導体装置及び半導体装置の製造方法
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
EP1042512A2 (en) * 1998-10-26 2000-10-11 Yale University Allele frequency differences method for phenotype cloning
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6080637A (en) 1998-12-07 2000-06-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation technology to eliminate a kink effect
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6255214B1 (en) * 1999-02-24 2001-07-03 Advanced Micro Devices, Inc. Method of forming junction-leakage free metal silicide in a semiconductor wafer by amorphization of source and drain regions
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
DE19945855A1 (de) * 1999-09-24 2001-03-29 Bosch Gmbh Robert Mikrospule
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6271100B1 (en) * 2000-02-24 2001-08-07 International Business Machines Corporation Chemically enhanced anneal for removing trench stress resulting in improved bipolar yield
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
JP2001332630A (ja) * 2000-05-19 2001-11-30 Sharp Corp 半導体装置の製造方法
US6743680B1 (en) * 2000-06-22 2004-06-01 Advanced Micro Devices, Inc. Process for manufacturing transistors having silicon/germanium channel regions
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
WO2002043151A1 (en) * 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
JP2002198525A (ja) * 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
JP3488914B2 (ja) * 2001-01-19 2004-01-19 名古屋大学長 半導体装置製造方法
US6410371B1 (en) * 2001-02-26 2002-06-25 Advanced Micro Devices, Inc. Method of fabrication of semiconductor-on-insulator (SOI) wafer having a Si/SiGe/Si active layer
US6445016B1 (en) * 2001-02-28 2002-09-03 Advanced Micro Devices, Inc. Silicon-on-insulator (SOI) transistor having partial hetero source/drain junctions fabricated with high energy germanium implantation
US6900103B2 (en) * 2001-03-02 2005-05-31 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
JP3678661B2 (ja) * 2001-03-08 2005-08-03 シャープ株式会社 半導体装置
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6498383B2 (en) 2001-05-23 2002-12-24 International Business Machines Corporation Oxynitride shallow trench isolation and method of formation
US6358806B1 (en) * 2001-06-29 2002-03-19 Lsi Logic Corporation Silicon carbide CMOS channel
US6583060B2 (en) 2001-07-13 2003-06-24 Micron Technology, Inc. Dual depth trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6703271B2 (en) * 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
US6743705B2 (en) * 2001-12-06 2004-06-01 Texas Instruments Incorporated Transistor with improved source/drain extension dopant concentration
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6620664B2 (en) * 2002-02-07 2003-09-16 Sharp Laboratories Of America, Inc. Silicon-germanium MOSFET with deposited gate dielectric and metal gate electrode and method for making the same
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6610571B1 (en) * 2002-02-07 2003-08-26 Taiwan Semiconductor Manufacturing Company Approach to prevent spacer undercut by low temperature nitridation
US7138310B2 (en) * 2002-06-07 2006-11-21 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US6764908B1 (en) * 2002-06-19 2004-07-20 Advanced Micro Devices, Inc. Narrow width CMOS devices fabricated on strained lattice semiconductor substrates with maximized NMOS and PMOS drive currents
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
JP3874716B2 (ja) * 2002-11-14 2007-01-31 株式会社東芝 半導体装置の製造方法
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6696348B1 (en) * 2002-12-09 2004-02-24 Advanced Micro Devices, Inc. Wide neck shallow trench isolation region to prevent strain relaxation at shallow trench isolation region edges
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6878611B2 (en) * 2003-01-02 2005-04-12 International Business Machines Corporation Patterned strained silicon for high performance circuits
US6903384B2 (en) * 2003-01-15 2005-06-07 Sharp Laboratories Of America, Inc. System and method for isolating silicon germanium dislocation regions in strained-silicon CMOS applications
US6825086B2 (en) * 2003-01-17 2004-11-30 Sharp Laboratories Of America, Inc. Strained-silicon channel CMOS with sacrificial shallow trench isolation oxide liner
US7157774B2 (en) * 2003-01-31 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Strained silicon-on-insulator transistors with mesa isolation
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US6982229B2 (en) * 2003-04-18 2006-01-03 Lsi Logic Corporation Ion recoil implantation and enhanced carrier mobility in CMOS device
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US6891229B2 (en) * 2003-04-30 2005-05-10 Freescale Semiconductor, Inc. Inverted isolation formed with spacers
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6887798B2 (en) 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7049660B2 (en) 2003-05-30 2006-05-23 International Business Machines Corporation High-quality SGOI by oxidation near the alloy melting temperature
US6982433B2 (en) * 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US6927414B2 (en) * 2003-06-17 2005-08-09 International Business Machines Corporation High speed lateral heterojunction MISFETs realized by 2-dimensional bandgap engineering and methods thereof
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US7279746B2 (en) 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
JP3927165B2 (ja) * 2003-07-03 2007-06-06 株式会社東芝 半導体装置
US6905923B1 (en) * 2003-07-15 2005-06-14 Advanced Micro Devices, Inc. Offset spacer process for forming N-type transistors
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
CN100536167C (zh) * 2003-08-05 2009-09-02 富士通微电子株式会社 半导体装置及其制造方法
US7342289B2 (en) * 2003-08-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon MOS devices
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
CN100446272C (zh) * 2003-09-04 2008-12-24 台湾积体电路制造股份有限公司 应变沟道半导体结构
US7119403B2 (en) 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7034362B2 (en) * 2003-10-17 2006-04-25 International Business Machines Corporation Double silicon-on-insulator (SOI) metal oxide semiconductor field effect transistor (MOSFET) structures
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7023055B2 (en) * 2003-10-29 2006-04-04 International Business Machines Corporation CMOS on hybrid substrate with different crystal orientations using silicon-to-silicon direct wafer bonding
US8008724B2 (en) 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US6939814B2 (en) * 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods
US8035168B2 (en) * 2006-02-27 2011-10-11 Synopsys, Inc. Elevation of transistor channels to reduce impact of shallow trench isolation on transistor performance

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980024235A (ko) * 1996-09-03 1998-07-06 포만 제프리 엘 초전도체 구조 및 이의 응용 장치
KR20030058571A (ko) * 2001-12-31 2003-07-07 주식회사 하이닉스반도체 반도체소자의 제조방법

Also Published As

Publication number Publication date
US20070228472A1 (en) 2007-10-04
EP1685584B1 (en) 2010-01-13
CN101208794A (zh) 2008-06-25
DE602004025135D1 (de) 2010-03-04
US20120052653A1 (en) 2012-03-01
JP2007533119A (ja) 2007-11-15
US20070231979A1 (en) 2007-10-04
US8119472B2 (en) 2012-02-21
EP1685584A4 (en) 2008-12-31
JP4678877B2 (ja) 2011-04-27
US8633071B2 (en) 2014-01-21
EP1685584A2 (en) 2006-08-02
CN101208794B (zh) 2010-04-28
US7247534B2 (en) 2007-07-24
WO2005057612A3 (en) 2008-01-03
US9040373B2 (en) 2015-05-26
US20140103366A1 (en) 2014-04-17
KR20060100433A (ko) 2006-09-20
US8232153B2 (en) 2012-07-31
WO2005057612A2 (en) 2005-06-23
ATE455370T1 (de) 2010-01-15
US20050104131A1 (en) 2005-05-19

Similar Documents

Publication Publication Date Title
KR100818899B1 (ko) SiC-OI 및 SGOI상의 실리콘 장치 및 그 제조 방법
US7198995B2 (en) Strained finFETs and method of manufacture
US7902008B2 (en) Methods for fabricating a stressed MOS device
US9401424B2 (en) High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US6911379B2 (en) Method of forming strained silicon on insulator substrate
US7423303B2 (en) Strained silicon directly-on-insulator substrate with hybrid crystalline orientation and different stress levels
US7560328B2 (en) Strained Si on multiple materials for bulk or SOI substrates
US7037770B2 (en) Method of manufacturing strained dislocation-free channels for CMOS
US7220626B2 (en) Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels
US20050233552A1 (en) Strained channel on insulator device
US20060073646A1 (en) Hybrid orientation CMOS with partial insulation process
US8440539B2 (en) Isolation trench processing for strain control

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120229

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee