JP5446558B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP5446558B2
JP5446558B2 JP2009181166A JP2009181166A JP5446558B2 JP 5446558 B2 JP5446558 B2 JP 5446558B2 JP 2009181166 A JP2009181166 A JP 2009181166A JP 2009181166 A JP2009181166 A JP 2009181166A JP 5446558 B2 JP5446558 B2 JP 5446558B2
Authority
JP
Japan
Prior art keywords
film
gate electrode
forming
mask
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009181166A
Other languages
English (en)
Other versions
JP2011035217A (ja
Inventor
貢 田島
孝江 助川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2009181166A priority Critical patent/JP5446558B2/ja
Priority to US12/849,795 priority patent/US7989300B2/en
Publication of JP2011035217A publication Critical patent/JP2011035217A/ja
Application granted granted Critical
Publication of JP5446558B2 publication Critical patent/JP5446558B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Description

本発明は、半導体装置の製造方法に関し、特に微細化されたMOSトランジスタを有する半導体装置の製造方法に関する。
通常のMOSトランジスタは、ポリSiのゲート電極をパターニングした後、ゲート電極の両側にエクステンション領域をイオン注入し、ゲート電極側壁上にサイドウォールスペーサを形成した後、より深い低抵抗ソース/ドレイン領域をイオン注入し、低抵抗ソース/ドレイン領域とゲート電極にシリサイドを形成し、その上に下部層間絶縁膜を堆積し、下部層間絶縁膜を貫通して低抵抗ソース/ドレイン領域に達するコンタクト孔を形成し、コンタクト孔内に導電性プラグを埋め込む。
半導体集積回路装置の集積度の向上と共に、単位面積あたりのMOSトランジスタの集積度は向上を続け、より微細な加工が要求されている。配線間隔がより狭くなり、露光時の位置合わせマージンも厳しくなる傾向にある。ゲート・ゲート間ピッチも狭く(例えば、200nm前後と)なり、ちょっとした位置合わせずれも問題となる。
低抵抗ソース/ドレイン領域に接続する導電性プラグとゲート電極との間の距離が短くなると、導電性プラグの位置合わせずれがゲート・ソース/ドレイン領域間のリーク/ショートを起こす原因となり得る。
低抵抗ソース/ドレイン領域とゲート電極のシリサイド反応は、ほぼ必須のプロセスになりつつある。シリサイド反応によりゲート電極頂部は横方向にも膨らむ。低抵抗ソース/ドレイン領域に接続する導電性プラグとゲート電極との間の距離が減少することになり、リーク/ショートの可能性が増大する。
微細化したMOSトランジスタを信頼性高く製造するため、種々の研究、開発がされている。
特開平11−238879号公報、 特開2008−78403号公報
微細化したMOSトランジスタを含む半導体装置において、リーク/ショートの可能性を抑制することが望まれる。
実施例の1観点によれば、
半導体基板の活性領域上に、ゲート絶縁膜とシリコン膜とを形成する工程と、
前記シリコン膜上方にゲート電極用レジストパターンを形成する工程と、
前記レジストパターンをマスクとして、前記シリコン膜を厚さの途中までエッチングし、前記レジストパターン下方に凸部を残す工程と、
前記レジストパターンを除去した後、前記シリコン膜を覆うダミー膜を形成する工程と、
前記ダミー膜を異方性エッチングして、前記凸部の側壁上に前記ダミー膜を残存させ、平坦面上の前記ダミー膜を除去する工程と、
前記ダミー膜をマスクとして、前記シリコン膜の残りの厚さをエッチングしてゲート電極を形成する工程と、
前記ゲート電極両側の半導体基板に、ソース/ドレイン領域を形成する工程と、
前記ソース/ドレイン領域と前記ゲート電極にシリサイド領域を形成する工程と
を有し、
前記ゲート絶縁膜と前記シリコン膜とを形成する工程の後であって、前記ゲート電極用レジストパターンを形成する工程の前に、前記シリコン膜上にマスク膜を堆積する工程をさらに有し、
前記凸部を残す工程が、前記マスク膜と前記シリコン膜の積層の凸部を形成する工程であり、
前記ダミー膜は、前記シリコン膜と前記マスク膜の形成する凸部を覆うものであり、
前記シリコン膜の残りの厚さをエッチングしてゲート電極を形成する工程が、前記マスク膜と前記ダミー膜をマスクとして前記シリコン膜の残りの厚さをエッチングする工程であり、
前記シリコン膜の残りの厚さをエッチングする工程の後、前記ソース/ドレイン領域を形成する工程の前に、前記マスク膜を除去する工程
をさらに有する半導体装置の製造方法
が提供される。
リーク/ショートの可能性を抑制した半導体装置を製造することが可能となる。
と、 と、 と、 図1A〜図1Nは、本発明の実施例1による半導体装置の製造方法の主要工程を示す断面図である。 図2Aは実施例1の特徴を示すグラフ、図2BはサンプルのSEM像のスケッチ、図2Cはサンプルの平面形状の設計地を示す平面図、図2Dはサンプルにおけるリーク電流の測定値を示すグラフである。 と、 図3A〜図3Eは、実施例2による半導体装置の製造方法の主要工程を示す断面図である。 図4A〜図4Cは、予備実験によるMOSトランジスタの製造工程を概略的に示す断面図である。 図5は、予備実験において作成したサンプルのSEM像のスケッチである。
本発明者は、まず微細化したMOSトランジスタのシリサイド工程を検討した。
図4Aに示すように、シリコン基板subにシャロートレンチアイソレーションによる素子分離領域STIを形成する。STIで囲まれた活性領域にイオン注入によってp型ウェルPWを形成する。ゲート絶縁膜GI、ポリシリコンゲート電極PGを積層し、ゲート電極をパターニングする。ゲート電極両側の活性領域にn型不純物をイオン注入し、エクステンション領域Extを形成する。ゲート電極側壁上にサイドウォールスペーサSWを形成する。サイドウォールスペーサ外側の活性領域に高濃度のn型不純物を深くイオン注入し、低抵抗ソースドレイン領域S/Dを形成する。シリサイド反応のため、ゲート電極を覆って、シリコン基板上にメタル(Ni)膜Mを堆積する。
図4Bに示すように、アニール処理により、メタル膜Mと下地のSiとの間でシリサイド反応を生じさせ、未反応部分はウォッシュアウトする。低抵抗シリサイドを形成した状態で、シリサイドはシリコンより体積を増加する。低抵抗ソース/ドレイン領域S/Dのシリサイド領域S/D・Sは主に上方に隆起する。ゲート電極のシリサイド領域GSは上方に隆起するとともに側方にも膨張した形状となる。MOSトランジスタ構造が形成される。
図4Cに示すように、MOSトランジスタを覆って層間絶縁膜ILDを堆積する。層間絶縁膜を貫通して低抵抗ソース/ドレイン領域に達するコンタクト孔を形成する。コンタクト孔に導電性プラグPLを埋め込む。図には、コンタクト孔形成工程において、位置合わせずれが生じ、コンタクト孔がゲート電極に近づいた状態を示した。導電性プラグPLとゲート電極のシリサイド領域GSとの間の距離が短くなると、リーク乃至ショートが発生する。
図5は、位置合わせずれが生じ、リーク乃至ショートが発生したサンプルのSEM像のスケッチを示す。ゲート電極のシリサイド領域GSと導電性プラグPLとが近付きすぎ、リーク乃至ショートが生じていると考えられる。ゲート電極のシリサイド領域GSの横方向の膨らみがなければ、リーク乃至ショートを抑制できると考えられる。
そこで、本発明者はポリシリコンゲート電極の内、シリサイド反応の対象となるポリシリコン層上部のソース−ドレイン方向(ゲート長方向)の幅を下方よりも狭くすることを考えた。
図1A〜1Nは、実施例1による半導体装置の製造方法の主要工程を示す半導体基板の断面図である。
図1Aに示すように、窒化シリコンのハードマスクをエッチングマスクとして、シリコン基板11を深さ250nm〜350nm、例えば280nm〜300nm程度、エッチングして素子分離溝を形成する。溝幅は狭いところで例えば140nm程度である。溝表面を熱酸化した後、高密度プラズマ(HDP)化学気相堆積(CVD)により酸化シリコン膜を堆積して溝を埋め戻し、不要部を化学機械研磨(CMP)で除去する。ハードマスクも除去して、シャロートレンチアイソレーション(STI)による素子分離領域12を形成する。素子分離領域に囲まれた活性領域が画定される。
活性領域はNMOSトランジスタ領域とPMOSトランジスタ領域を含む。PMOSトランジスタ領域をフォトレジストマスクで覆い、p型不純物をイオン注入してp型ウェルPWを形成する。また、NMOSトランジスタ領域をフォトレジストマスクで覆い、n型不純物をイオン注入してn型ウェルNWを形成する。以下、NMOSトランジスタを形成するp型ウェルPWを例にとって説明する。
図1Bに示すように、酸素を200sccm〜300sccm流し、800℃〜900℃でシリコン表面を熱酸化することにより、活性領域表面に酸化シリコン膜を形成する。窒素プラズマ中で酸化シリコン膜に窒素を導入する。流量800sccm〜1000sccmのN/O混合ガス、又はNガス雰囲気中,900℃〜1100℃のアニールを10秒程度行なう。このような工程で、膜厚1.0nm〜1.5nm程度のゲート絶縁膜13を形成する。さらに、酸化シリコン、酸化窒化シリコンより誘電率の高い、HfO,SiHfO等の高誘電率絶縁膜を積層してもよい。
ゲート絶縁膜の上に、基板温度620℃以上、例えば約650℃で、モノシラン、ジクロルシラン等のシラン系材料を用いたCVDにより、ポリシリコン膜14を厚さ90nm〜110nm、例えば105nm堆積する。ポリシリコン膜に代え、580℃以下の温度でアモルファスシリコン膜を形成してもよい。ポリシリコン膜14の上に、CVDによりテトラエトキシシラン(TEOS)と酸素を用いたCVDにより酸化シリコン膜をハードマスク膜15として厚さ30nm〜50nm形成する。酸化シリコン膜に代え、シラン系材料とアンモニアを用いたCVDにより窒化シリコン膜を形成してもよい。なお、ハードマスク膜は必須ではない。
図1Cに示すように、ハードマスク膜15の上に、下部反射防止膜(BARC膜)16,フォトレジスト膜17を塗布し、露光現像してフォトレジストパターンを形成する。パターン幅は、例えば70nm〜100nm程度である。BARCは、レジスト類似の組成を有する。フォトレジストパターン17をマスクとして、BARC膜16をSO/O(流量3/20sccm〜15/20sccm)を用いたドライエッチングでパターニングする。20%〜100%のオーバーエッチにより、パターン幅を狭くする。
図1Dに示すように、フォトレジストパターン17、BARCパターン16をエッチングマスクとして、ハードマスク膜15をCF,CHFなどを用いたドライエッチングでエッチングする。ハードマスク15のパターニングができれば、レジストパターン17、BARCパターン16は消滅してもよいし、ここで除去してもよい。
図1Eに示すように、ハードマスク膜15をエッチングマスクとして、ポリシリコン膜14をハーフエッチする。HBr/O,Cl/HBr/O、CF/Cl/HBr/O等を用いたドライエッチングを行い、時間制御でエッチング深さを制御する。ポリシリコン膜14の厚さの40%〜80%を残すのが望ましい。ゲート電極の上部となる凸部18が形成される。
図1Fに示すように、凸部18を形成したポリシリコン膜14の上にポリマのダミー膜19を形成する。例えば圧力5mTorr〜10mTorrのCHガス(流量100sccm〜200sccm)中、300W〜500Wの電力を投入してプラズマを形成し、チャンバ内温度50℃〜60℃で、厚さ10nm〜30nm程度の、ポリマのダミー膜19を堆積させる。凸部18の側壁上のダミー膜19の厚さが、ゲート電極の下部の幅を決めることになる。
図2Aは、ポリマ膜厚の処理時間に対する関係を示すグラフである。処理時間の増加とともにポリマ膜厚は、リニアに増大している。処理時間によってポリマ膜厚を制御するのが容易である。なお、ポリマ膜は、CHガスに限らず、CF,CHなどを用いて形成することもできる。
図1Gに示すように、ダミー膜19を異方性エッチングする。ポリマ膜は、ポリシリコンと同様の条件でエッチされる。例えば、HBr/O,Cl/HBr/O、CF/Cl/HBr/O等を用いてエッチする。平坦部上のポリマ膜が除去されると、凸部18の側壁上にポリマ膜がサイドウォールスペーサ状に残る。その後は、ポリマ膜19とハーフエッチされたポリシリコン膜14がエッチされていく。エッチング時間を制御して、平坦面上のポリシリコン膜14が厚さ20nm程度残るようにコントロールエッチする。
図1Hに示すように、ポリシリコンと酸化シリコンとで選択性の高いエッチングで残りのポリシリコン膜14をエッチし、オーバエッチして平坦面上のポリシリコンを完全に除去する。フォトレジスト膜17、BARC膜16が残っている場合、アッシングして除去する。ポリマ膜19もアッシングで除去される。ハードマスク15も除去する。例えば、酸化シリコンのハードマスクを希弗酸で除去する。露出したゲート絶縁膜13も除去する。下部で所定の幅(ゲート長)を有し、上部で幅が狭くなったゲート電極Gが形成される。なお、窒化シリコンのハードマスクの場合は、熱燐酸で除去する。
なお、ハードマスク15を酸化シリコンで形成し、図1Dにおいてハードマスク15をパターニングした後、フォトレジストパターン17、BARCパターン16を除去し、ポリシリコン膜14を途中までエッチングして凸部18を形成し、ダミー膜19として酸化シリコン膜を堆積し、サイドウォールスペーサ状に加工してもよい。図1Hの段階で、希弗酸でエッチすることにより、ハードマスク、サイドウォールスペーサを一緒に除去できる。
図1Iに示すように、ゲート電極Gをマスクとして両側のp型活性領域にn型不純物を浅くイオン注入し、エクステンション領域21を形成する。ゲート電極Gのポリシリコンにもn型不純物がイオン注入される。
図1Jに示すように、CVDにより酸化シリコン膜を厚さ10nm〜30nm堆積し、異方性エッチングによって平坦部上から除去してサイドウォールスペーサ22を形成する。
図1Kに示すように、ゲート電極G,サイドウォールスペーサ22をマスクとして、活性領域にn型不純物を高濃度で深く、イオン注入し、低抵抗ソース/ドレイン領域23を形成する。ゲート電極のポリシリコンにもn型不純物がイオン注入される。
図1Lに示すように、厚さ10nm〜30nmのNi膜をスパッタリングし、200℃〜300℃でアニールして下地Siとの間でシリサイド反応を生じさせ、低抵抗ソース/ドレイン領域のシリサイド領域24、ゲート電極Gのシリサイド領域25を形成する。シリサイド領域25は、厚さ方向で隆起を示し、横方向でも膨張を示す。ポリシリコンゲート電極14上部の幅を細くしてあるため、シリサイド領域25が横方向に膨張しても下部のゲート電極と同程度以内に収まる。
図1Mに示すように、シリコン基板上にコンタクトエッチストッパとして厚さ50nm〜100nmの窒化シリコン膜26、厚さ200nm〜250nmのTEOS酸化シリコン膜27を堆積して、層間絶縁膜を形成する。層間絶縁膜を貫通して低抵抗ソース/ドレイン領域のシリサイド領域24に達する、径70nm〜100nmのコンタクトホールを形成する。厚さ5nm〜10nmのTi膜、厚さ5nm〜10nmのTiN膜をスパッタし、W膜をCVDで形成し、層間絶縁膜上の不要金属膜をCMPで除去して、導電性プラグ28を形成する。ゲート電極のシリサイド領域25が横方向で突出していないので、導電性プラグに対するクリアランスを確保し易い。多少位置ずれを生じても、導電性プラグ28とゲート電極とのショートは抑制できる。
図1Nに示すように、Al膜を堆積し、パターニングして導電性プラグ28に接続されたAl配線29を形成する。なお、絶縁膜を堆積し、配線溝を形成し、銅配線を埋め込むこともできる。
図2Bは、作成したサンプルのSEM写真のスケッチを示す。導電性プラグは存在しない位置の断面であり、ゲート電極のシリサイド領域GSとその両側のソース/ドレイン領域のシリサイド領域S/D・Sが示されている。ゲート電極の幅は、50nm程度と考えられる。ゲート電極のシリサイド領域GSがゲート電極幅内に収まっており、ショートを生じにくいであろうと期待させる。
図2Cはサンプルの平面配置を示す平面図である。ゲート電極Gと導電性プラグ28との間の距離が10nmに設定してある。
図2Dは、多数のサンプルにおいて測定したリーク電流の累積確率を示すグラフである。横軸がリーク電流値を単位Aで示し、縦軸が累積確率を標準偏差シグマで示す。サンプルにおいては最上部を除いて、ほぼ垂直に近い直線状の分布を示している。リーク電流は許容範囲といえる。従来構造を用いた比較例においては、半分より下の位置からリーク電流が高くなる方向に折れ曲がり、2桁以上高いリーク電流を示すものも多い。多数のものがリークの高い不良品となることを示している。
なお、実施例1において導電型を反転すればPMOSトランジスタを形成することができる。PMOSトランジスタにおいては、ソース/ドレイン領域にSi−Ge結晶を埋め込んでチャネル領域にチャネル長方向の圧縮応力を印加する構造も広く採用される。
図3A〜3Eは、実施例2による半導体装置の製造方法を示す断面図である。
図3Aの左側は、実施例1に従って作成された、図1Kの状態のNMOSトランジスタを示している。p型ウェルPWにn型エクステンション領域21と低抵抗n型ソース/ドレイン領域23が形成され、活性領域表面上のn型ゲート電極Gnは下部で所定の幅、上部で狭められた幅を有し、側面はサイドウォールスペーサ22で覆われている。図の右側に示すPMOSトランジスタは、導電型を反転した構造である。n型ウェルNWにp型エクステンション領域31と低抵抗p型ソース/ドレイン領域33が形成され、活性領域表面上のp型ゲート電極Gpは下部で所定の幅、上部で狭められた幅を有し、側面はサイドウォールスペーサ22で覆われている。厚さ10nm〜30nmの酸化シリコン膜をCVDで堆積し、パターニングして、NMOSトランジスタ領域を覆うカバー膜CFを形成する。PMOSトランジスタ領域にSi−Ge領域を埋め込む際、NMOSトランジスタ領域を保護するためのマスクとなる膜である。
図3Bに示すように、露出しているSi領域をエッチングする。例えばHBr/O、Cl/HBr/O等を用いてソース/ドレイン領域を深さ20nm〜60nm程度ドライエッチングして凹部34を形成する。なお、ポリシリコンのゲート電極Gpもエッチされ、サイドウォールスペーサ22間に凹部35を形成する。
図3Cに示すように、ゲルマン、シラン系材料を用いて、ソース/ドレイン領域の凹部34にSi−Geをエピタキシャル成長する。Si−Ge単結晶を、シリコン基板表面から隆起するまで成長する。絶縁膜上には成長しないように成長条件を選択する。なお、エッチされたゲート電極上にもSi−Ge多結晶37が成長する。
図3Dに示すように、酸化シリコンをエッチバックして、カバー膜CFを除去する。他の酸化シリコン膜もエッチされる。PMOSトランジスタのサイドウォールスペーサ22は、高さが低くなる。
図3Eに示すように、Ni膜をスパッタし、アニールによりシリサイド反応を生じさせ、NMOSトランジスタのシリサイド膜24,25同様、PMOSトランジスタのソース/ドレイン領域のSi−Ge単結晶領域36、ゲート電極のSi−Ge多結晶領域37にシリサイド領域38,39を形成する。
ポリシリコンゲート電極の上部の幅を狭くし、その側壁上にサイドウォールスペーサを形成したため、エッチバックされるゲート電極領域も幅が狭く、そこに埋め戻されるSi−Ge多結晶領域の幅も狭くなり、シリサイド領域の幅も狭くなる。ソース/ドレイン領域に接続する導電性プラグを形成する際、導電性プラグとゲート電極とのリーク/ショートを抑制できる。
以上実施例に沿って説明したが、本発明はこれらに制限されるものではない。例えば種々の変更、置換、改良、組み合わせなどが可能なことは、当業者に自明であろう。
11 シリコン基板、
12 素子分離領域、
13 ゲート絶縁膜、
14 ポリシリコン膜、
15 ハードマスク膜、
16 BARC膜、
17 フォトレジスト膜、
18 凸部、
19 ダミー膜(ポリマ膜)、
21 エクステンション領域、
22 サイドウォールスペーサ、
23 低抵抗ソース/ドレイン領域、
24,25 シリサイド領域、
26 コンタクトエッチストッパ膜、
27 絶縁膜、
28 導電性プラグ、
29 配線、
31 エクステンション領域、
33 低抵抗ソース/ドレイン領域、
34、35 凹部、
36,37 Si−Ge領域、
38,39 シリサイド領域、
STI 素子分離領域、
GI ゲート絶縁膜、
PG ポリシリコンゲート電極、
G ゲート電極、
Ext エクステンション領域、
S/D 低抵抗ソース/ドレイン領域、
SW サイドウォールスペーサ、
M メタル膜、
PL 導電性プラグ、
GS ゲート電極のシリサイド領域、
S/D・S ソース/ドレイン領域のシリサイド領域。

Claims (7)

  1. 半導体基板の活性領域上に、ゲート絶縁膜とシリコン膜とを形成する工程と、
    前記シリコン膜上方にゲート電極用レジストパターンを形成する工程と、
    前記レジストパターンをマスクとして、前記シリコン膜を厚さの途中までエッチングし、前記レジストパターン下方に凸部を残す工程と、
    前記レジストパターンを除去した後、前記シリコン膜を覆うダミー膜を形成する工程と、
    前記ダミー膜を異方性エッチングして、前記凸部の側壁上に前記ダミー膜を残存させ、平坦面上の前記ダミー膜を除去する工程と、
    前記ダミー膜をマスクとして、前記シリコン膜の残りの厚さをエッチングしてゲート電極を形成する工程と、
    前記ゲート電極両側の半導体基板に、ソース/ドレイン領域を形成する工程と、
    前記ソース/ドレイン領域と前記ゲート電極にシリサイド領域を形成する工程と
    を有し、
    前記ゲート絶縁膜と前記シリコン膜とを形成する工程の後であって、前記ゲート電極用レジストパターンを形成する工程の前に、前記シリコン膜上にマスク膜を堆積する工程をさらに有し、
    前記凸部を残す工程が、前記マスク膜と前記シリコン膜の積層の凸部を形成する工程であり、
    前記ダミー膜は、前記シリコン膜と前記マスク膜の形成する凸部を覆うものであり、
    前記シリコン膜の残りの厚さをエッチングしてゲート電極を形成する工程が、前記マスク膜と前記ダミー膜をマスクとして前記シリコン膜の残りの厚さをエッチングする工程であり、
    前記シリコン膜の残りの厚さをエッチングする工程の後、前記ソース/ドレイン領域を形成する工程の前に、前記マスク膜を除去する工程
    をさらに有する半導体装置の製造方法。
  2. 半導体基板の活性領域上に、ゲート絶縁膜とシリコン膜とを形成する工程と、
    前記シリコン膜上方にゲート電極用レジストパターンを形成する工程と、
    前記レジストパターンをマスクとして、前記シリコン膜を厚さの途中までエッチングし、前記レジストパターン下方に凸部を残す工程と、
    前記レジストパターンを除去した後、前記シリコン膜を覆うダミー膜を形成する工程と、
    前記ダミー膜を異方性エッチングして、前記凸部の側壁上に前記ダミー膜を残存させ、平坦面上の前記ダミー膜を除去する工程と、
    前記ダミー膜をマスクとして、前記シリコン膜の残りの厚さをエッチングしてゲート電極を形成する工程と、
    前記ゲート電極両側の半導体基板に、ソース/ドレイン領域を形成する工程と、
    前記ソース/ドレイン領域と前記ゲート電極にシリサイド領域を形成する工程と
    を有し、
    前記ダミー膜が、CH,CF,CHのいずれかを用いた気相反応で堆積したポリマ膜であり、シリコンのエッチングでエッチされ、アッシングで除去されるものである
    半導体装置の製造方法。
  3. 前記マスク膜、前記ダミー膜が無機絶縁膜であり、前記マスク膜を除去する工程で同時に除去される請求項記載の半導体装置の製造方法。
  4. 前記ソース/ドレイン領域を形成する工程の後、前記ゲート電極を覆って前記半導体基板上に層間絶縁膜を形成する工程と、
    前記層間絶縁膜を貫通して、前記ソース/ドレイン領域に達するコンタクト孔を形成する工程と、
    前記コンタクト孔内に導電性プラグを埋め込む工程と、
    を有する請求項1乃至のいずれか1項に記載の半導体装置の製造方法。
  5. 前記ソース/ドレイン領域を形成する工程が、
    前記ゲート電極をマスクとして前記半導体基板にエクステンション領域をイオン注入し、
    前記ゲート電極側壁上に絶縁物のサイドウォールスペーサを形成し、
    前記ゲート電極、前記サイドウォールスペーサをマスクとして前記半導体基板に低抵抗ソース/ドレイン領域を形成する
    請求項1乃至のいずれか1項に記載の半導体装置の製造方法。
  6. 前記ソース/ドレイン領域がp型であり、
    前記p型ソース/ドレイン領域内のシリコンをエッチングして凹部を形成し、
    前記凹部にSi−Geをエピタキシャル成長させ、前記半導体基板表面より隆起するSi−Ge領域を形成する、
    請求項1乃至のいずれか1項に記載の半導体装置の製造方法。
  7. 前記p型ソース/ドレイン領域内のシリコンを前記エッチングする際、前記ゲート電極も同時にエッチングされ、
    前記凹部に前記Si−Geを前記エピタキシャル成長させる際、前記エッチングされたゲート電極上に多結晶のSi−Geが成長する、
    請求項記載の半導体装置の製造方法。
JP2009181166A 2009-08-04 2009-08-04 半導体装置の製造方法 Expired - Fee Related JP5446558B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2009181166A JP5446558B2 (ja) 2009-08-04 2009-08-04 半導体装置の製造方法
US12/849,795 US7989300B2 (en) 2009-08-04 2010-08-03 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009181166A JP5446558B2 (ja) 2009-08-04 2009-08-04 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2011035217A JP2011035217A (ja) 2011-02-17
JP5446558B2 true JP5446558B2 (ja) 2014-03-19

Family

ID=43535119

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009181166A Expired - Fee Related JP5446558B2 (ja) 2009-08-04 2009-08-04 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US7989300B2 (ja)
JP (1) JP5446558B2 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130270614A1 (en) * 2012-04-17 2013-10-17 Toshiba America Electronic Components, Inc. Formation of a trench silicide
US9614053B2 (en) * 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0232539A (ja) * 1988-07-22 1990-02-02 Hitachi Ltd 半導体装置の製造方法及びエッチング方法
JPH039529A (ja) * 1989-06-07 1991-01-17 Matsushita Electron Corp Mosトランジスタの製造方法
JP3063276B2 (ja) * 1991-09-13 2000-07-12 松下電器産業株式会社 半導体装置の製造方法
JP3325717B2 (ja) * 1994-09-09 2002-09-17 三菱電機株式会社 半導体装置の製造方法
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US6022782A (en) * 1997-05-30 2000-02-08 Stmicroelectronics, Inc. Method for forming integrated circuit transistors using sacrificial spacer
JPH11238879A (ja) * 1998-02-20 1999-08-31 Sharp Corp 半導体装置の製造方法及び半導体装置
JP4270719B2 (ja) * 1999-06-30 2009-06-03 株式会社東芝 半導体装置及びその製造方法
JP2002329861A (ja) * 2001-05-01 2002-11-15 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
JP2004095639A (ja) * 2002-08-29 2004-03-25 Fujitsu Ltd 半導体装置及びその製造方法
US6818519B2 (en) * 2002-09-23 2004-11-16 Infineon Technologies Ag Method of forming organic spacers and using organic spacers to form semiconductor device features
DE10351006B4 (de) * 2003-10-31 2010-01-21 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Transistors mit erhöhten Drain- und Source-Gebieten, wobei eine reduzierte Anzahl von Prozessschritten erforderlich ist
KR100579850B1 (ko) * 2003-12-31 2006-05-12 동부일렉트로닉스 주식회사 모스 전계효과 트랜지스터의 제조 방법
JP4237660B2 (ja) * 2004-03-19 2009-03-11 株式会社東芝 半導体装置の製造方法
JP4837902B2 (ja) * 2004-06-24 2011-12-14 富士通セミコンダクター株式会社 半導体装置
US7723235B2 (en) * 2004-09-17 2010-05-25 Renesas Technology Corp. Method for smoothing a resist pattern prior to etching a layer using the resist pattern
KR100685893B1 (ko) * 2005-06-22 2007-02-26 동부일렉트로닉스 주식회사 플래시 메모리 소자 및 그 제조방법
JP2007059812A (ja) * 2005-08-26 2007-03-08 Toshiba Corp 半導体装置およびその製造方法
US7381610B2 (en) * 2005-11-04 2008-06-03 International Business Machines Corporation Semiconductor transistors with contact holes close to gates
JP2007200972A (ja) * 2006-01-24 2007-08-09 Nec Electronics Corp 半導体装置およびその製造方法
JP2008078403A (ja) 2006-09-21 2008-04-03 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP5040286B2 (ja) * 2006-12-13 2012-10-03 富士通セミコンダクター株式会社 半導体装置および半導体装置の製造方法
US7592262B2 (en) * 2007-03-21 2009-09-22 United Microelectronics Corp. Method for manufacturing MOS transistors utilizing a hybrid hard mask
US8791001B2 (en) * 2008-09-08 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for HK metal gate protection
US8093116B2 (en) * 2008-10-06 2012-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for N/P patterning in a gate last process

Also Published As

Publication number Publication date
JP2011035217A (ja) 2011-02-17
US7989300B2 (en) 2011-08-02
US20110033997A1 (en) 2011-02-10

Similar Documents

Publication Publication Date Title
KR101888306B1 (ko) 핀 구조체를 포함하는 반도체 소자 및 그 제조 방법
US7521314B2 (en) Method for selective removal of a layer
JP2005353675A (ja) 半導体装置とその製造方法
JP5315889B2 (ja) 半導体装置の製造方法
US9870951B2 (en) Method of fabricating semiconductor structure with self-aligned spacers
TWI827712B (zh) 半導體裝置與其形成方法
JP2005072316A (ja) 半導体装置の製造方法
TWI807104B (zh) 半導體裝置及其製造方法
JP2009038103A (ja) 半導体装置の製造方法と半導体装置
TWI564970B (zh) 半導體裝置及其製造方法
JP2011086679A (ja) 半導体装置および半導体装置の製造方法
JP2009055027A (ja) Mosトランジスタの製造方法、および、これにより製造されたmosトランジスタ
JP5446558B2 (ja) 半導体装置の製造方法
JP2009238877A (ja) 半導体装置の製造方法
CN109427540B (zh) 半导体器件及其形成方法
JP2007067425A (ja) 半導体装置の製造方法
JP2005353892A (ja) 半導体基板、半導体装置及びその製造方法
JP2012028562A (ja) 半導体装置の製造方法
JP5119696B2 (ja) 半導体装置の製造方法
JP2008124441A (ja) 半導体装置の製造方法
KR100567879B1 (ko) 살리사이드를 갖는 반도체 소자 제조 방법
WO2009104507A1 (ja) 薄膜およびその薄膜を用いた半導体装置の製造方法
KR100591178B1 (ko) 반도체 소자의 제조 방법
JP2009094458A (ja) 薄膜およびその薄膜を用いた半導体装置の製造方法
JP2005217151A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120509

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130619

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130625

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130903

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131203

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131216

R150 Certificate of patent or registration of utility model

Ref document number: 5446558

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees