TWI555109B - 用於基材固持件的輻射防護 - Google Patents

用於基材固持件的輻射防護 Download PDF

Info

Publication number
TWI555109B
TWI555109B TW101142582A TW101142582A TWI555109B TW I555109 B TWI555109 B TW I555109B TW 101142582 A TW101142582 A TW 101142582A TW 101142582 A TW101142582 A TW 101142582A TW I555109 B TWI555109 B TW I555109B
Authority
TW
Taiwan
Prior art keywords
region
substrate
shield
reaction chamber
substrate support
Prior art date
Application number
TW101142582A
Other languages
English (en)
Other versions
TW201338074A (zh
Inventor
艾瑞克 席羅
麥可 哈爾平
傑利 溫克勒
Original Assignee
Asm智慧財產控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm智慧財產控股公司 filed Critical Asm智慧財產控股公司
Publication of TW201338074A publication Critical patent/TW201338074A/zh
Application granted granted Critical
Publication of TWI555109B publication Critical patent/TWI555109B/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/68Heating arrangements specially adapted for cooking plates or analogous hot-plates

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Description

用於基材固持件的輻射防護 【相關申請案之交互參照】
本申請案主張2011年11月23日申請之美國臨時申請案第61/563,428號之權益,其揭露內容據此以引用方式納入本文中。
本發明係關於用於處理基材之反應容室
可能難以加熱在基材處理工具中所處理之基材。基材加熱之變化可能導致基材內的溫度變化。此等基材內溫度變化可能導致基材內的處理非均一性。在一些設置中,展現此等非均一性之基材可能產生有缺陷之裝置。此外,沈積產物可沈積在下處理容室中,從而導致反應容室中之溫度降低且因此增加功率消耗以克服不充分的加熱。另外,沈積產物於容室中之累積可導致需要過早清潔容室及成本增加。
本文件之觀點係關於用於處理基材之反應容室。在一觀點中,反應容室包括:基材支撐構件,其定位於該反應容室內;該反應容室,其具有第一區域及第二區域;防護罩,其定位於第二區域內且可隨該基材支撐構件移動,且其中防護罩鄰接基材支撐構件之至少一底表面。
在一實施中,防護罩可能鄰接基材支撐構件之側壁。第一區域可為基材處理區域且第二區域可為基材裝載區域。可將第一區域定位於 反應容室中第二區域上方。反應容室可進一步包括至少部分地將第一區域及第二區域隔離之隔離裝置。反應容室可進一步包括形成於防護罩與隔離裝置之間的間隙。間隙可介於5mm與10mm之間。防護罩可與基材支撐構件間隔5mm與20mm之間。
防護罩可進一步包括底部構件及側壁構件。底部構件與側壁構件可以近似90度之角度彼此連接。底部構件與側壁構件可以近似25與65度之間的角度彼此連接。可將防護罩固定至基材支撐構件之軸。防護罩可保持由基材支撐總成產生之熱量。基材支撐總成可進一步包括加熱器。
在另一觀點中,用於處理基材之防護罩可包括:底部構件,其具有孔以圍繞基材支撐構件軸;側壁構件,其以一角度自底部構件向上延伸,其中底部構件定位於基材支撐構件之下且側壁構件定位於基材支撐構件周圍,且其中防護罩隨基材支撐構件垂直移動。
在一實施中,防護罩可與基材支撐構件間隔5mm與20mm之間。防護罩側壁構件可避免與反應容室壁接觸。側壁可進一步包括與反應容室表面間隔近似5mm與10mm之間的頂表面。
在另一觀點中,反應容室可包括第一區域、第二區域,及第三區域,其中第一區域定位於第二區域及第三區域上方且適合於處理基材,第二區域定位於第一區域之下且適合於將基材裝載於反應容室中,第三區域定位於第一區域與第二區域之間,且其中第三區域可於第二區域內移動。
在一實施中,反應容室可進一步包括界定第二區域與第三區域之間的障壁之防護罩。防護罩可於第二區域內移動。第三區域體積基於 基材支撐構件之位置而變化。
在另一觀點中,一種加熱處理區域中之基材之方法包括:將防護罩提供於處理容室內基材支撐構件之下,將基材裝載於處理容室之處理區域中,啟動加熱器及將熱量自防護罩輻射至基材支撐構件。
在一實施中,方法可進一步包括以下步驟:將基材支撐構件自裝載位置移動至處理位置。方法可進一步包括以下步驟:監視基材支撐構件與防護罩之間的空腔之溫度。
以下在圖式及詳細描述中描述本文呈現之本發明之觀點及實施。除非明確指示,否則說明書及申請專利範圍中之用詞及片語意欲被賦予其對於可適用技術中之一般技藝人士而言為平常、普通及習慣之含義。發明者完全瞭解必要時該等用詞及片語可為其自身之辭典編纂釋義。發明者根據其自身之辭典編纂釋義來明確選擇在說明書及申請專利範圍中僅使用術語之平常及普通含義,除非其另外明確陳述且接著進一步明確闡述彼術語之「特定」定義且說明其如何不同於平常及普通含義。在不存在意圖應用「特定」定義之此等明確陳述情況下,發明者之意圖及意願在於將術語之簡單、平常及普通含義應用於解釋說明書及申請專利範圍。
發明者亦瞭解英文文法之標準教規。因此,若名詞、術語或片語意欲進一步以某一方式表徵、規定或縮小範圍,則此名詞、術語或片語將明確包括根據英文文法之標準教規之額外形容詞、描述性術語或其他修飾語。如上所述,在不使用此等形容詞、描述性術語或修飾語之情況下,意圖在於此等名詞、術語或片語被賦予其對於可適用技術中之一般技藝人士而言為平常及普通之英語含義。
上述及其他觀點、特徵及優勢將為該項技術中之一般技藝人士根據【發明說明書】及【圖式】以及【申請專利範圍】所顯而易知的。
100‧‧‧基材處理容室
102‧‧‧上反應器/上容室
103‧‧‧處理區域
104‧‧‧下反應器
105‧‧‧基材裝載區域
106‧‧‧可移動底座/底座
107‧‧‧基材
108‧‧‧基材轉移開口
110‧‧‧承載台加熱器總成
111‧‧‧承載台支撐表面
112‧‧‧加熱器總成
114‧‧‧基座
116‧‧‧電阻發熱元件
117‧‧‧基材凹穴
118‧‧‧升降機
120‧‧‧防護罩
122‧‧‧底表面
124‧‧‧側表面
126a‧‧‧垂直間隙
126b‧‧‧水平間隙
128‧‧‧倒角表面
202‧‧‧間距
204‧‧‧箭頭
206‧‧‧隔離裝置
208‧‧‧側壁
210‧‧‧底壁
212‧‧‧防護罩中心孔/中心孔
214‧‧‧平坦表面
216‧‧‧孔洞
218‧‧‧上升部分
220‧‧‧對準凸耳
222‧‧‧凹槽型或齒型部分/凹槽型部分
224‧‧‧凹陷部分
226‧‧‧間隔物
228‧‧‧頂表面
230‧‧‧凹陷表面
232‧‧‧開口
234‧‧‧對準突起
235‧‧‧凹槽
236‧‧‧平坦表面
238‧‧‧底表面
240‧‧‧對準孔
242‧‧‧鎖緊夾具
244‧‧‧嚙合突起
245‧‧‧安裝孔洞
246‧‧‧內周/嚙合表面
248‧‧‧釋放凸耳
250‧‧‧間隙
252‧‧‧安裝表面
254‧‧‧間隔壁
260‧‧‧移除工具
262‧‧‧釋放銷
262a‧‧‧第一端
262b‧‧‧第二端
270‧‧‧夾緊構件
272‧‧‧凸緣/螺紋孔
274‧‧‧螺紋孔洞
276‧‧‧間隔構件
278‧‧‧夾持臂
280‧‧‧墊圈
282‧‧‧螺紋孔
284‧‧‧孔
290‧‧‧螺栓
300‧‧‧箭頭
302‧‧‧箭頭
304‧‧‧箭頭
306‧‧‧箭頭/彎曲力
308‧‧‧箭頭
400‧‧‧基材支撐總成
402‧‧‧底座加熱器
404‧‧‧可分離承載台
406‧‧‧晶圓
408‧‧‧防護罩
410‧‧‧側壁
412‧‧‧底壁
414‧‧‧底座加熱器軸
416‧‧‧夾具
418‧‧‧凹槽
702‧‧‧資料
704‧‧‧資料
706‧‧‧承載台溫度設置
708‧‧‧承載台溫度設置
710‧‧‧加熱器溫度
712‧‧‧加熱器溫度
802‧‧‧資料
804‧‧‧資料
806‧‧‧平均基材溫度
808‧‧‧平均溫度
810‧‧‧基材溫度範圍
812‧‧‧基材溫度範圍
1300‧‧‧方法
1302‧‧‧步驟
1304‧‧‧步驟
1306‧‧‧步驟
1308‧‧‧步驟
1310‧‧‧步驟
1312‧‧‧步驟
在下文中,本發明之實施例將結合隨附圖式加以描述,其中相同符號表示相同元件,且:圖1示意地展示根據本揭露內容之實施例的處於基材裝載位置之包括輻射防護罩的基材處理容室。
圖2示意地展示根據本揭露內容之實施例的處於基材處理位置之包括輻射防護罩的基材處理容室。
圖3示意地展示圖1中示出之輻射防護罩之一部分的底部透視圖。
圖4示意地展示圖1中示出之輻射防護罩的透視分解圖。
圖5示意地展示圖2中標以圖5之區域的剖視圖。
圖6示意地展示圖5中標以圖6之區域的剖視圖。
圖7示意地展示圖2中標以圖5之區域的剖視圖及輻射防護罩之移除。
圖8展示無輻射防護罩之承載台加熱器總成之示範性溫度資料。
圖9展示具有本揭露內容之輻射防護罩的承載台加熱器總成之示範性溫度資料。
圖10展示無輻射防護罩之晶圓基材之示範性溫度資料。
圖11展示具有輻射防護罩之晶圓基材之示範性溫度資料。
圖12示意地展示本揭露內容之一第二實施例輻射防護罩的剖視圖。
圖13展示根據本揭露內容之一實施例之處理基材的方法之流程圖。
一些基材處理工具可包括其中所反射之入射輻射量會變化之環境。例如,各種材料、表面後處理、表面塗層及/或環境幾何學可影響基材處理工具內反射之熱輻射之量,潛在地導致在基材處理工具中被處理之基材內產生非均一的溫度場。
例如,由承載台加熱器總成(其由一或多個電阻加熱器加熱)支撐之基材可經由向基材處理工具內低壓環境之熱輻射而損失熱量。當承載台加熱器總成之溫度增加時,此輻射損失可增加。此外,因為承載台加熱器總成與周圍低壓環境之間的區域可在一些設置下為非均一的,所以基材處理工具環境之輻射俘獲性質可能影響來自承載台加熱器總成之輻射損失。經受不均勻輻射俘獲環境之基材又可能於基材內產生非均一溫度概況。如文中使用的,輻射俘獲係指物件或環境俘獲熱輻射之能力。因為一些基材處理操作可能取決於溫度,所以此非均一溫度概況可能導致經處理基材中之非均一性。例如,經受薄膜沈積製程之半導體基材可能由於非均一之溫度而顯示凸出、凹陷或歪斜之薄膜厚度輪廓,從而可能產生缺陷及可能導致不良半導體裝置。
減小周圍輻射俘獲環境對基材內的溫度場上之作用的一些前述方法已使用了定位於處理工具之部分內的固定輻射防護罩。然而,此 等固定防護罩經常具有允許基材轉移機器人移動工具內的基材之間隙,或者提供不完整的及/或不一致的輻射俘獲環境。在一些其他情形中,此等固定防護罩可經不規則地成型,使得在承載台加熱器總成與固定防護罩之間可能存在非均一之視角因數。
因此,揭示之實施例係關於輻射防護罩,其經定位以反射自承載台加熱器總成發射之熱輻射(包括一或多個紅外輻射波長)及/或熱量,該承載台加熱器總成係用於支撐及加熱基材處理容室內的基材。例如,揭示之實施例提供一種輻射防護罩,該輻射防護罩由用於移動基材處理容室內的承載台加熱器總成之結構支撐,使得當將承載台加熱器總成於基材處理容室內自第一位置移動至第二位置時,輻射防護罩隨承載台加熱器總成移動。作為另一實例,揭示之實施例提供輻射防護罩,其連接至承載台加熱器總成,其中輻射防護罩經配置以將由承載台加熱器總成發出之熱輻射及/或熱量反射至承載台加熱器總成之至少兩個不同側。藉由保持預定的輻射俘獲環境(在一些實施例中,為均一輻射俘獲環境),此等輻射防護罩可增強基材內的溫度均一性。又可增強基材內的處理均一性(如,沈積速率、蝕刻速率等),潛在地提高由基材處理容室及/或在下游處理操作中提供之基材沈積薄膜品質。此外,在一些實例中,增加反射至承載台加熱器總成之熱輻射及/或熱量之量可減小由承載台加熱器總成中包括之加熱器所消耗之功率。因此,在一些實施例中,可實現增強的加熱器控制及/或延長的壽命。此外,亦可獲得降低的容室清潔頻率。
圖1示意地展示處於基材裝載/卸載安裝位置之用於處理半導體基材之基材處理容室100的實施例的橫截面。在一些實施例中,可將 基材處理容室100包括在適合的基材處理工具中。經由任何適合之製程,如,薄膜沈積、薄膜蝕刻及類似物,可將基材處理容室100用於處理半導體基材。雖然圖1描繪之基材處理容室100之實施例展示單個容室,但應瞭解,可將任何適合數量之處理容室包括在處理工具中,使得可在處理容室之間轉移基材而不暴露於周圍條件。例如,一些處理工具可僅包括一個容室,而其他處理工具可包括兩個或兩個以上容室。在此等實例中,每一反應容室可僅包括單個區域或複數個區域。雖然圖1未示出,但可使用各種裝載鎖、裝載埠及基材轉移操作機器人以於基材處理之前、期間及之後在周圍條件與基材處理容室100之間轉移基材。
如圖1及圖2所示,基材處理容室100包括上反應器102,其中在基材處理發生之處形成反應區或處理區域103。基材處理容室100亦包括下反應器104,其具有執行基材轉移操作之基材裝載區域105。圖1亦展示用於支撐基材處理容室100內的基材之可移動底座106。圖1所示之實施例描繪於下反應器104內處於降低位置之底座106。在一些設置中,可將底座106置放在降低位置作為將基材107轉移進或出基材處理容室100之部分。
在圖1所示之實施例中,下反應器104包括基材轉移開口108,基材係經由該開口轉移進及出基材處理容室100。在一些實施例中,可將閘閥(未示出)連接至基材轉移開口108使得可將基材處理容室100與半導體處理工具之其他部分隔離,及/或使得可將基材處理容室100泵吸降壓至低於環境壓力之壓力(例如,至低壓狀態)。
在圖1所示之實例中,底座106包括承載台加熱器總成110 以支撐基材處理容室100內的基材。承載台加熱器總成110包括加熱器總成112以用於在基材處理之前、期間及/或之後調節基材之溫度。在一些實施例中,加熱器總成112可包括電阻板加熱器。在圖1所示之實施例中,加熱器總成112包括基座114及基材支撐部分。在一些實施例中,基座114可包括一或多個通道,該等通道經配置以保持可定位於基座114內之一或多個電阻加熱元件116。在一些其他實施例,加熱器總成112可為單件加熱器、熔融/焊接在一起之多件加熱器,或者可與基材支撐件分開之加熱器。將承載台加熱器總成110安裝於升降機118上使得可舉升及降低基材。在一些實施例中,可將加熱器總成112焊接至升降機118。然而,可在反應容室內使用任何適合的加熱佈置。
圖1中將承載台加熱器總成110描繪為包括經配置以支撐基材107之可選基材支撐表面111。在一些實施例中,可省略基材支撐表面111,使得可由形成於加熱器總成112中之基材凹穴117支撐基材107。如圖1所示,基材凹穴117可形成於加熱器總成112之基材支撐表面之上表面中或者形成於基材支撐件或承載台之上表面中。在加熱器總成112包括單件加熱器之一些其他實施例中,基材凹穴可形成於單件加熱器之上表面中,使得基材107直接置於單件加熱器上。
圖1亦展示經由升降機118連接至承載台加熱器總成110之輻射防護罩120。輻射防護罩120經配置以將自承載台加熱器總成110發射之熱輻射之至少一部分向後朝向承載台加熱器總成110反射。在一些實施例中,輻射防護罩120可經配置以將由承載台加熱器總成110發射之熱輻射及/或熱量反射至承載台加熱器總成110之至少兩個不同側。例如,圖1展 示輻射防護罩120,其適合於將自承載台加熱器總成110之底表面122及側表面124發射之熱輻射及/或熱量中的一些反射回承載台加熱器總成110。此舉可潛在地減小由加熱器總成112之功率消耗及/或減小可能由於承載台加熱器總成110附近的不均勻輻射俘獲及/或反射環境造成之基材內的溫度非均一性。此外,在一些實施例中,輻射防護罩120可經配置使得輻射防護罩120之表面將熱輻射及/或熱量反射至加熱器總成112之至少兩個不同側。例如,在圖1所示之實施例中,將輻射防護罩120描繪為延伸超出加熱器總成112,使得熱輻射及/或熱量被反射至加熱器總成112之側面及/或底表面。雖然建立非均一性可為一目標,但此相同佈置可用於誇示基材之處理期間期望之非均一性。
在圖1所示之實施例中,輻射防護罩120經造型及定尺寸使得輻射防護罩120與承載台加熱器總成110藉由一間隙分開。將輻射防護罩120與承載台加熱器總成110間隔可幫助保持承載台加熱器總成110周圍之均勻輻射俘獲環境。應瞭解,將輻射防護罩120與承載台加熱器總成110分開之距離可根據處理條件(例如,承載台加熱器總成溫度、製程壓力等)變化。例如,當壓力增加時,熱對流及/或熱傳導轉移製程可能影響基材內之溫度場。圖2中可見將輻射防護罩120與承載台加熱器總成110間隔之實例之更近視圖,圖中示意地展示處於基材處理容室100內的上升位置之輻射防護罩120之實施例。
例如,垂直間隙126a界定底表面122與輻射防護罩120之間的間距而水平間隙126b界定側表面124與輻射防護罩120之間的間距。在一實施中,垂直間隙126a介於5 mm與20 mm之間,較佳介於10 mm與 20 mm之間,而水平間隙126b介於5 mm與15 mm之間,較佳介於7 mm與12 mm之間。在一實施中,垂直間隙126a近似17.25 mm,而水平間隙126b近似9 mm。然而,在不脫離本揭露內容之精神及範疇之情形下,可將防護罩120定位於距離底表面122與側表面124任何適合的距離。
在一些實施例中,此等間隙可界定可接受公差內的輻射防護罩120與承載台加熱器總成110之間的恆定間距。此恆定間距可提供用於承載台加熱器總成110之均一輻射俘獲及/或反射環境,潛在地產生在承載台加熱器總成110及/或其上支撐之基材107內的均一溫度概況。例如,在圓形對稱基材由圓形對稱基材加熱器總成支撐之情形中,定位輻射防護罩以建立承載台加熱器總成周圍之圓形對稱輻射俘獲及/或反射環境可產生基材內之圓形對稱溫度概況。於距離基材之中心固定徑向距離處量測之基材溫度又可獨立於極角。
應瞭解,在一些實施例中,輻射防護罩120與承載台加熱器總成110之間的此間距可改變。例如,承載台加熱器總成110與輻射防護罩120之間的間距可能局部地改變以補償承載台加熱器總成110及/或輻射防護罩120之發射率變化及/或容納各種配件、感測器及/或其他硬體特徵。例如,圖2描繪形成於輻射防護罩120中之倒角表面128,當舉升及降低承載台加熱器總成110時,該倒角表面可能幫助下反應器104內之各種硬體配件之餘隙(clearance)。在一些實施例中,倒角表面128與承載台加熱器總成110之間的距離可小於界定垂直間隙126a及/或水平間隙126b之距離。
圖2亦展示形成於輻射防護罩120與上反應器102之間的間距202。在一些實施例中,間距202可定尺寸以提供來自輻射防護罩120之 預選熱輻射反射率,同時當底座處於上升位置時(如在圖2所示之基材處理期間)亦經由間距202提供上反應器102與下反應器104之間的預定氣流傳導率。因此,間距202可定尺寸以提供用於承載台加熱器總成110之所要輻射俘獲及/或反射環境,而無需將輻射防護罩120密封至上反應器102。此舉可經由間距202在基材處理容室100之其他部分之間提供差動泵吸。然而,在一些實施例中,輻射防護罩120可經配置以抵靠上反應器102貼適裝配。在一非限制性實例中,間距202可為近似5 mm至10 mm,且在一實施中較佳為8.25 mm。具體而言,可將隔離裝置206定位成與防護罩120之外表面共面。防護罩120之外表面可包括可由倒角表面128連接之側壁208及底壁210。可以近似25度與近似65度之間的角度來定位倒角表面128,或者如以下所述在無倒角表面(chambered surface)之情況下,以近似90度之間的角度來定位。
參考圖1,展示處於第一位置之承載台加熱器總成110,其中承載台加熱器總成110處於下方位置且提升銷延伸於承載台支撐表面111頂表面之上。提升銷經佈置以將基材107收納於提升銷上。移至圖2,沿與箭頭204關聯之方向向上移動承載台加熱器總成110直至承載台支撐表面111處於上容室102內且形成第一區域之至少一部分。第二區域由防護罩120之內表面形成而第三區域由下容室104形成。在此佈置中,可將第二區域完全含於第三區域內,或者僅將其部分含於第三區域內。
在一些實施例中,輻射防護罩120可由升降機118支撐且由一或多個保持結構保持。在一些實施例中,此保持結構可包括適合之夾具。圖3示意地展示處於上升位置之底座106之實施例。
圖3及圖4示出防護罩120及用於將防護罩固定至承載台加熱器總成110之附接裝置之實例。具體而言,防護罩120可包括中心孔212,其中平坦表面214經佈置以幫助將防護罩固定至升降機118。防護罩120亦可包括複數個孔洞216以允許提升銷穿過。承載台加熱器總成110亦可包括具有面向防護罩120之平坦收納部分的上升部分218。可將對準凸耳220定位於升降機118上於凹槽型或齒型部分222之上,該凹槽型或齒型部分又在凹陷部分224之上。
使用間隔物226以幫助將防護罩120與承載台加熱器總成110對準。間隔物226可包括其中有凹陷表面230之頂表面228。間隔物226可包括開口232及具有平坦表面236之對準突起234,兩者皆自間隔物之底表面238延伸。可於對準突起234之徑向向內定位凹槽235,且佈置該凹槽以收納如以下論述之釋放銷。最終,間隔物亦可包括對準孔240,其用與升降機118之對準凸耳220配合。因此,間隔物226以對準孔240及對準凸耳220與升降機118對準,該升降機又與對準突起234對準。防護罩中心孔212及平坦表面214與間隔物226之對準突起234及平坦面236對準,進而將承載台加熱器總成110、間隔物226及防護罩120定向以用於恰當之操作。
圖3及圖4示出具有複數個嚙合突起244之鎖緊夾具242,該等嚙合突起通常向內延伸且每一突起具有嚙合表面246,該等嚙合表面共同界定略小於升降機118之外表面及具體而言小於凹槽型部分222之內周。鎖緊夾具242亦可包括複數個釋放凸耳248,該等釋放凸耳自鎖緊夾具之外周向外延伸。嚙合突起244中每一者可包括安裝孔洞245以用於收納釋放銷,如以下將更詳細論述。
輻射防護罩120可具有任何適合的形狀。例如,在承載台加熱器總成110具有用於支撐圓形基材之圓形輪廓的一些實施例中,輻射防護罩120可為圓形以提供均一熱輻射反射及/或吸收環境。然而,應瞭解,在一些實施例中,輻射防護罩120可具有其他適合的形狀,如多邊形形狀,因為輻射防護罩120之形狀可受熱轉移因素影響以及幾何影響。
輻射防護罩120可由任何適合材料形成。非限制性實例包括鋁、不銹鋼及鈦。此外,應瞭解,輻射防護罩120可以任何適合的方式形成。在一些實施例中,輻射防護罩120可藉由金屬旋壓形成。其他適合之製造技術包括澆注、衝壓及車削。在一些實施例中,輻射防護罩120可包括適合的表面處理及/或表面後處理,該等表面處理及/或表面後處理經配置以改變形成該輻射防護罩之材料之一或多個輻射反射性特徵。此等處理及後處理可經配置以局部地反射熱輻射(例如,在一些實例中,朝向承載台加熱器總成110反射)或整體反射熱輻射。例如,在一些實施例中,輻射防護罩120可包括適合於反射熱輻射之高度拋光的表面。此外或替代地,在一些實施例中,輻射防護罩120可包括經配置以反射一或多個紅外輻射波長之表面處理。此外,在一些實施例中,輻射防護罩120可由任何適合之技術組裝。例如,在一些實施例中,可將輻射防護罩子總成焊接在一起或可移除地連接在一起。
圖5至圖7示出安裝及移除防護罩120及具體而言安裝及移除鎖緊夾具242之各種操作視圖。如圖5所示,沿與箭頭204關聯之方向移動間隔物226直至對準凸耳220與對準孔240嚙合,使得間隔物226經佈置以收納沿與箭頭204關聯之方向向上移動之防護罩120,直至防護罩中心孔 212及平坦表面214與間隔物底表面238對準且與其接觸。接下來,亦沿升降機118向上移動鎖緊夾具242,其中當鎖緊夾具向上移動時嚙合突起244向下彎曲。具體而言,因為嚙合突起244之嚙合表面246界定了直徑小於升降機118外周之內周,所以在升降機118與嚙合突起244之間存在摩擦嚙合,從而需要嚙合突起244藉由鎖緊夾具242之垂直移動而基本上向上拉起。當鎖緊夾具嚙合突起244及嚙合表面246接觸凹槽型部分222時,嚙合突起244裝配於凹槽型部分222內且僅允許沿與箭頭204關聯之方向向上移動,進而防止鎖緊夾具、防護罩及間隔物與承載台加熱器總成110之解鎖或分開。
現參看圖6,其為圖5中標以圖6之區域的放大剖視圖。如可更詳細所見,釋放凸耳248由形成於釋放凸耳與防護罩120之間的間隙250間隔。安裝表面252定位於鎖緊夾具242之頂側上且接觸防護罩120之底壁210以將防護罩固定至間隔物並最終固定至承載台加熱器總成110。鎖緊夾具242亦可包括間隔壁254,該間隔壁提供位於釋放凸耳248與防護罩120之底壁210之間的間隙250。有利地,間隙250藉由允許使用者將其手指或一工具定位於間隙250中而允許移除鎖緊夾具242、防護罩120及間隔物226,如以下將更詳細論述。
圖7示出正被移除之鎖緊夾具242、防護罩120及間隔物226之移除,其中移除工具260通常包括具有第一端262a及第二端262b之釋放銷262,其中第二端262b可移除地定位於安裝孔洞245內以沿與箭頭306關聯之方向使嚙合突起244偏斜。具體而言,第二端262b包括凹陷區域264,該凹陷區域經佈置以裝配於安裝孔245內且當可能必需時可延伸至凹槽235 中。移除工具亦包括具有帶螺紋孔洞274的凸緣272之夾緊構件270,複數個間隔構件276,其中夾持臂278連接至間隔構件276且與凸緣272相對。夾持臂278較佳經定尺寸及造型以裝配於防護罩120與釋放凸耳248之間的間隙250內。在一實施中,夾緊構件270經佈置以沿與箭頭302關聯之方向圍繞釋放凸耳248向上移動,接著旋轉以與釋放凸耳接觸且定位於間隙250中。移除工具260亦包括墊圈280,該墊圈具有複數個螺紋孔282以用於收納螺栓290及孔284使得墊圈可圍繞升降軸118行進。
已描述移除工具260構件之全部,現將描述操作。將釋放銷262定位於安裝孔洞245內,其中必要時將凹陷區域264定位於凹槽235內。接下來,夾緊機構經定位使得夾持臂278處於釋放凸耳248與防護罩120之間的間隙250內。接著置放墊圈280使其與銷260且特別與釋放銷之第一端262a接觸。接著穿過螺紋孔274及282穩定地圍繞墊圈之周邊固定螺栓290,使得藉由螺栓290之旋轉移動沿與箭頭302關聯之方向向上拉起墊圈280,以沿與箭頭300關聯之方向使螺栓移位。墊圈280之向上移動產生釋放銷262之旋轉移動且賦予沿與箭頭304關聯之方向上之旋轉移動。沿與箭頭304關聯之方向之旋轉移動將彎曲力沿與箭頭306關聯之方向施加於嚙合突起244上。因此,施加於嚙合突起上之彎曲力306使內周246增大至可沿與箭頭308關聯之方向使鎖緊夾具242移動且將其自升降機118中移除之點。以相同方式,接著亦可在有或無間隔物226之情形下移除防護罩120。雖然以上描述使用特定操作順序及方向(向上或向下),但可使用任何適合的操作順序,且在於操作臺上用承載台總成110執行移除操作之情況下,該等方向可反轉且上下顛倒以使升降機118向上指向。此外,安裝過程可要求類 似操作且僅以相反順序執行。亦應注意及認識到,只要防護罩連接至升降機或其他適合的反應器構件,即可在不脫離本揭露內容之精神及範疇之情形下,可使用許多其他防護罩附接機構。
以一些設置中,類似本文揭示之彼等輻射防護罩之輻射防護罩的實施例可能潛在地減小承載台中包括之加熱器消耗之功率,或甚至在承載台與加熱器分開之情況下如此。例如,圖8及圖9展示與使用根據本揭露內容之實施例的輻射防護罩之承載台加熱器總成之溫度資料(示為資料704)相比而言,未防護的承載台加熱器總成之示範性溫度資料(示為資料702)。在圖8及圖9所示之實例中,調節加熱器功率以控制將承載台之溫度(圖8中示為承載台溫度設置706)至例如420℃之預選值。因此,自承載台加熱器總成損失之熱量可導致加熱器功率之消耗,因而加熱器溫度必然增加。圖8及圖9中所示之示範性溫度資料係於控制至1.5托與5托之間的各種壓力設置點(示出為圖8中之反應器壓力設定708)的反應器中收集。如圖9所示,對應於未防護的承載台加熱器總成之加熱器溫度710相對於經防護承載台加熱器總成所顯示之加熱器溫度(如加熱器溫度712所示)在2托時近似高15℃及在5托時近似高22℃。因此,應瞭解,根據揭示之實施例之輻射遮罩可減小加熱器功率消耗,從而可延長加熱器使用壽命,或者對於相同加熱器溫度而言增加最終基材溫度,因為來自加熱器之更多熱量被引導至承載台加熱器總成及基材中。
此外,在一些設置中,類似本文揭示之輻射防護罩之輻射防護罩的實施例可潛在地增強基材內的溫度均一性。例如,圖10及圖11展示與使用根據本揭露內容之實施例之輻射防護罩的承載台加熱器總成的基材 溫度均一性資料(示為資料804)相比,未防護的承載台加熱器總成之示範性基材溫度均一性資料(示為資料802)。在圖10所示之實例中,調節加熱器功率以控制承載台之溫度至420℃之預選值而控制反應器至1.5托與5托之間的各種壓力設置點。如圖10所示,對應於未防護的承載台加熱器總成之平均基材溫度806比對應於經防護承載台加熱器總成之平均溫度808高近似1℃。此外,對應於未防護的承載台加熱器總成之基材溫度範圍810比對應於經防護承載台加熱器總成之基材溫度範圍812高近似1℃。因此,在一些實例中,防護承載台加熱器總成可降低基材內的溫度非均一性。此舉可潛在地提高基材處理品質,且同樣可提高下游基材處理品質。
圖12示出基材支撐總成400之另一實施例,其中底座加熱器402及具有晶圓406之可分開承載台404定位於承載臺上。防護罩408起類似於防護罩120之作用且包括以近似90度彼此定位之側壁410及底壁412。可將防護罩408固定至底座加熱器軸414,其中將夾具416選擇性地定位於加熱器軸之凹陷418中。因此,防護罩120及408之佈置、操作及安裝/移除彼此類似且提供如下類似利益:增加的加熱器控制、晶圓熱分佈控制、減小的功率消耗及較不頻繁的容室清潔要求。
應理解,當在基材處理容室中處理基材時可使用本文描述之硬體。圖13展示用於在基材處理容室中處理基材之方法1300之實施例的流程圖。可藉由任何適合的硬體及軟體來執行方法1300。應瞭解,在不脫離本揭露內容之範疇之情形下可省略、重新排序及/或補充方法1300中描述之製程之部分。
在1302,方法1300包括支撐承載台加熱器總成上之基材。 在一些實施例中,方法1300可包括,在1304,支撐在連接至輻射防護罩之承載台加熱器總成上之基材,該輻射防護罩經配置以將熱輻射反射至承載台加熱器總成之至少兩側。在1306,方法1300包括將承載台加熱器總成自第一位置移動至第二位置。在一些實施例中,方法1300可包括,在1308,移動承載台加熱器總成使得輻射防護罩隨承載台加熱器總成移動。在1310,方法1300包括處理基材。在1312,方法1300包括將承載台加熱器總成自第二位置移動至第一位置。
可藉由一種包括資料保持子系統之系統製程控制器執行方法1300之實施例,該資料保持子系統包括可由邏輯子系統執行之指令以執行本文描述之製程。在不脫離本揭露內容之範疇之情形下,可使用任何適合的系統製程控制器。
例如,可提供系統製程控制器(未具體示出)用於控制示範性基材處理容室100。系統製程控制器可操作製程模組控制子系統,諸如氣體控制子系統、壓力控制子系統、溫度控制子系統、電氣控制子系統及機械控制子系統。此等控制子系統可接收由感測器、中繼器及控制器提供之各種訊號且進行適合的調整作為響應。
系統製程控制器包括計算系統,該計算系統包括資料保持子系統及邏輯子系統。資料保持子系統可包括一或多個物理、非暫態裝置,該等裝置經配置以保持可由邏輯子系統執行之資料及/或指令以實施本文描述之方法及製程。邏輯子系統可包括一或多個物理裝置,該等裝置經配置以執行儲存於資料保持子系統中之一或多個指令。邏輯子系統可包括經配置以執行軟體指令之一或多個處理器。
在一些實施例中,此等指令可控制製程作法之執行。通常,製程作法包括對用於處理基材之製程參數之順序描述,此等參數包括時間、溫度、壓力及濃度等以及描述基材處理期間工具之電氣、機械及環境觀點之各種參數。指令亦可控制於維護程序及類似程序期間使用之各種維護作法之執行。在一些實施例中,可將此等指令儲存於可移除的電腦可讀儲存媒體上,該電腦可讀儲存媒體可用於儲存及/或轉移可執行以實施本文描述之方法及製程之資料及/或指令。應瞭解,在不脫離本揭露內容之範疇之情形下,可使用任何適合的可移除的電腦可讀儲存媒體。非限制性實例包括DVD、CD-ROM、軟磁碟及快閃磁碟機。
應瞭解本文所述之組態及/或方法在本質上為示範性的,且此等特定實施例或實例不應以限制性意義加以考慮,因為可能存在眾多變化形式。本文所述之特定慣例或方法可表示許多處理策略之一或多者。因此,所說明之各種動作可以說明之順序、以其他順序進行,或在一些情況下予以省略。
本揭示案之主題包括本文揭示之各種製程、系統及組態、及其他特徵、功能、動作及/或性質,以及其任何及所有等效物之所有新穎及非明顯之組合及次組合。
100‧‧‧基材處理容室
102‧‧‧上反應器/上容室
103‧‧‧處理區域
104‧‧‧下反應器
105‧‧‧基材裝載區域
106‧‧‧可移動底座/底座
107‧‧‧基材
108‧‧‧基材轉移開口
110‧‧‧承載台加熱器總成
112‧‧‧加熱器總成
111‧‧‧承載台支撐表面
114‧‧‧基座
116‧‧‧電阻發熱元件
118‧‧‧升降機
117‧‧‧基材凹穴
120‧‧‧防護罩
122‧‧‧底表面
124‧‧‧側表面
126a‧‧‧垂直間隙
126b‧‧‧水平間隙
128‧‧‧倒角表面
206‧‧‧隔離裝置
208‧‧‧側壁
210‧‧‧底壁
218‧‧‧上升部分
222‧‧‧凹槽型或齒型部分/凹槽型部分
224‧‧‧凹陷部分
242‧‧‧鎖緊夾具
226‧‧‧間隔物

Claims (25)

  1. 一種反應容室,其包括:一基材支撐構件,其包括一軸,且該基材支撐構件係定位於該反應容室內;該反應容室,其具有一第一區域及一第二區域;一防護罩,其定位於該第二區域內且可隨該基材支撐構件移動;及,一間隔物,其將該防護罩與該基材支撐構件分隔開並將該防護罩與該軸分隔開;其中該防護罩鄰接該基材支撐構件之至少一底表面。
  2. 如申請專利範圍第1項之反應容室,其中該防護罩鄰接該基材支撐構件之一側壁。
  3. 如如申請專利範圍第1項之反應容室,其中該第一區域為一基材處理區域且該第二區域為一基材裝載區域。
  4. 如申請專利範圍第3項之反應容室,其中該第一區域定位於該反應容室中的該第二區域之上。
  5. 如申請專利範圍第1項之反應容室,其進一步包括至少部分地將該等第一區域與第二區域分開之一隔離裝置。
  6. 如申請專利範圍第5項之反應容室,其進一步包括形成於該防護罩與該隔離裝置之間的一間隙。
  7. 如申請專利範圍第6項之反應容室,其中該間隙介於5mm與10mm之間。
  8. 如申請專利範圍第1項之反應容室,其中該防護罩與該基材支撐構件 間隔5mm與20mm之間。
  9. 如申請專利範圍第1項之反應容室,其中該防護罩進一步包括一底部構件及一側壁構件。
  10. 如申請專利範圍第9項之反應容室,其中該底部構件與該側壁構件以90度之一角度彼此連接。
  11. 如申請專利範圍第9項之反應容室,其中該底部構件與該側壁構件以25度與65度之間的一角度彼此連接。
  12. 如申請專利範圍第1項之反應容室,其中該防護罩被固定至該基材支撐構件之該軸。
  13. 如申請專利範圍第1項之反應容室,其中該防護罩保持由該基材支撐總成產生之熱量。
  14. 如申請專利範圍第1項之反應容室,其中該基材支撐總成進一步包括一加熱器。
  15. 一種用於處理一基材之防護罩,其包括:一底部構件,其具有一孔以圍繞一基材支撐構件之一軸;一側壁構件,其以一角度自該底部構件向上延伸;其中該底部構件定位於該基材支撐構件之下且該側壁構件圍繞該基材支撐構件定位;其中該底部構件與該側壁構件以25度與65度之間的一角度連接;其中該底部構件包括一中心孔,該中心孔具有一平坦表面以幫助將該防護罩固定至該基材支撐構件之該軸;以及,其中該防護罩隨該基材支撐構件垂直移動。
  16. 如申請專利範圍第15項之用於處理一基材之防護罩,其中該防護罩與該基材支撐構件間隔5mm與20mm之間。
  17. 如申請專利範圍第15項之用於處理一基材之防護罩,其中該防護罩側壁構件不接觸反應容室壁。
  18. 如申請專利範圍第15項之用於處理一基材之防護罩,其中該側壁進一步包括一頂表面,該頂表面與一反應容室表面間隔5mm與10mm之間。
  19. 一種反應容室,其包括:一第一區域、一第二區域及一第三區域;該第一區域定位於該第二及第三區域之上,且適合於處理一基材;該第二區域定位於該第一區域之下且適合於將該基材裝載於該反應容室中;該第三區域定位於該第一區域與該第二區域之間;以及其中該第三區域係經由一軸而可在該第二區域內移動,且其中一防護罩可移除地附接至該軸以界定介於該第二區域與該第三區域之間的一障壁。
  20. 如申請專利範圍第19項之反應容室,其進一步包括一間隔物,該間隔物進一步界定介於該第二區域與該第三區域之間的該障壁。
  21. 如申請專利範圍第20項之反應容室,其中該防護罩可在該第二區域內移動。
  22. 如申請專利範圍第21項之反應容室,其中一第三區域體積基於該基材支撐構件之一位置而改變。
  23. 一種加熱一處理區域中的一基材之方法,其包括: 將一防護罩提供至處理容室內,其位於一基材支撐構件之下,並將該防護罩附接至一軸;提供一間隔物以將該防護罩與該基材支撐構件分隔開並將該防護罩與該軸分隔開;將一基材裝載於該處理容室之一處理區域中;啟動一加熱器;以及將熱量自該防護罩輻射至該基材支撐構件。
  24. 如申請專利範圍第23項之方法,其進一步包括以下步驟:將該基材支撐構件自一裝載位置移動至一處理位置。
  25. 如申請專利範圍第23項之方法,其進一步包括以下步驟:監視該基材支撐構件與該防護罩之間的一空腔之溫度。
TW101142582A 2011-11-23 2012-11-15 用於基材固持件的輻射防護 TWI555109B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201161563428P 2011-11-23 2011-11-23

Publications (2)

Publication Number Publication Date
TW201338074A TW201338074A (zh) 2013-09-16
TWI555109B true TWI555109B (zh) 2016-10-21

Family

ID=47501409

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101142582A TWI555109B (zh) 2011-11-23 2012-11-15 用於基材固持件的輻射防護

Country Status (6)

Country Link
US (1) US9167625B2 (zh)
KR (1) KR102021163B1 (zh)
CN (1) CN104081513B (zh)
DE (1) DE112012004884T5 (zh)
TW (1) TWI555109B (zh)
WO (1) WO2013078066A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI761916B (zh) * 2019-08-19 2022-04-21 南韓商優吉尼科技股份有限公司 用以支撐基材之總成及用以處理基材之設備

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
DE102013009925A1 (de) * 2013-06-13 2014-12-18 Centrotherm Photovoltaics Ag Messobjekt, Verfahren zur Herstellung desselben und Vorrichtung zum thermischen Behandeln von Substraten
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
USD725168S1 (en) * 2014-02-04 2015-03-24 Asm Ip Holding B.V. Heater block
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
USD766850S1 (en) * 2014-03-28 2016-09-20 Tokyo Electron Limited Wafer holder for manufacturing semiconductor
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
USD795315S1 (en) * 2014-12-12 2017-08-22 Ebara Corporation Dresser disk
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US20170178758A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Uniform wafer temperature achievement in unsymmetric chamber environment
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
TWI671429B (zh) 2016-07-02 2019-09-11 美商應用材料股份有限公司 在空間ald處理腔室中用以增加沉積均勻性的裝置
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD799646S1 (en) 2016-08-30 2017-10-10 Asm Ip Holding B.V. Heater block
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) * 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11939675B2 (en) 2017-08-11 2024-03-26 Applied Materials, Inc. Apparatus and methods for improving thermal chemical vapor deposition (CVD) uniformity
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) * 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11600470B2 (en) 2019-12-27 2023-03-07 Applied Materials, Inc. Targeted heat control systems
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN112144044A (zh) * 2020-09-21 2020-12-29 长江存储科技有限责任公司 薄膜制备装置、薄膜制备装置的控制方法与控制装置
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220059742A (ko) * 2020-11-03 2022-05-10 삼성전자주식회사 온도 조절 부재를 포함하는 반도체 공정 설비
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
JP1712715S (ja) * 2020-12-08 2022-04-15 ヒータ
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230130756A1 (en) * 2021-10-22 2023-04-27 Applied Materials, Inc. Bottom cover plate to reduce wafer planar nonuniformity

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US20040169032A1 (en) * 2003-02-27 2004-09-02 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
US20060240574A1 (en) * 2005-04-20 2006-10-26 Toru Yoshie Method for manufacturing semiconductor device
TW201119518A (en) * 2008-12-24 2011-06-01 Shibaura Mechatronics Corp Plasma generating apparatus and plasma processing apparatus

Family Cites Families (410)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2745640A (en) 1953-09-24 1956-05-15 American Viscose Corp Heat exchanging apparatus
US2990045A (en) 1959-09-18 1961-06-27 Lipe Rollway Corp Thermally responsive transmission for automobile fan
US4393013A (en) 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
US3833492A (en) 1971-09-22 1974-09-03 Pollution Control Ind Inc Method of producing ozone
US3862397A (en) 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US3887790A (en) 1974-10-07 1975-06-03 Vernon H Ferguson Wrap-around electric resistance heater
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4054071A (en) 1975-06-17 1977-10-18 Aetna-Standard Engineering Company Flying saw with movable work shifter
US4194536A (en) 1976-12-09 1980-03-25 Eaton Corporation Composite tubing product
US4181330A (en) 1977-03-22 1980-01-01 Noriatsu Kojima Horn shaped multi-inlet pipe fitting
US4176630A (en) 1977-06-01 1979-12-04 Dynair Limited Automatic control valves
US4145699A (en) 1977-12-07 1979-03-20 Bell Telephone Laboratories, Incorporated Superconducting junctions utilizing a binary semiconductor barrier
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4322592A (en) 1980-08-22 1982-03-30 Rca Corporation Susceptor for heating semiconductor substrates
US4436674A (en) 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4512113A (en) 1982-09-23 1985-04-23 Budinger William D Workpiece holder for polishing operation
US4499354A (en) 1982-10-06 1985-02-12 General Instrument Corp. Susceptor for radiant absorption heater system
US4570328A (en) 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
US4735259A (en) 1984-02-21 1988-04-05 Hewlett-Packard Company Heated transfer line for capillary tubing
USD288556S (en) 1984-02-21 1987-03-03 Pace, Incorporated Ornamental design for a frame of circuit elements utilized to replace damaged elements on printed circuit boards
US4653541A (en) 1985-06-26 1987-03-31 Parker Hannifin Corporation Dual wall safety tube
US4789294A (en) 1985-08-30 1988-12-06 Canon Kabushiki Kaisha Wafer handling apparatus and method
US4722298A (en) 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4882199A (en) 1986-08-15 1989-11-21 Massachusetts Institute Of Technology Method of forming a metal coating on a substrate
US4753192A (en) 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
US4821674A (en) 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4827430A (en) 1987-05-11 1989-05-02 Baxter International Inc. Flow measurement system
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
NO161941C (no) 1987-06-25 1991-04-30 Kvaerner Eng Fremgangsmaate ved og anlegg for transport av hydrokarboner over lang avstand fra en hydrokarbonkilde til havs.
US5062386A (en) 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
US5119760A (en) 1988-12-27 1992-06-09 Symetrix Corporation Methods and apparatus for material deposition
JPH0834187B2 (ja) 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
DE4011933C2 (de) 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
JP3323530B2 (ja) 1991-04-04 2002-09-09 株式会社日立製作所 半導体装置の製造方法
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5199603A (en) 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
IT1257434B (it) 1992-12-04 1996-01-17 Cselt Centro Studi Lab Telecom Generatore di vapori per impianti di deposizione chimica da fase vapore
US5421893A (en) 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US6122036A (en) 1993-10-21 2000-09-19 Nikon Corporation Projection exposure apparatus and method
US5616947A (en) 1994-02-01 1997-04-01 Matsushita Electric Industrial Co., Ltd. Semiconductor device having an MIS structure
US5681779A (en) 1994-02-04 1997-10-28 Lsi Logic Corporation Method of doping metal layers for electromigration resistance
JPH07283149A (ja) 1994-04-04 1995-10-27 Nissin Electric Co Ltd 薄膜気相成長装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
US5518549A (en) 1995-04-18 1996-05-21 Memc Electronic Materials, Inc. Susceptor and baffle therefor
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH08335558A (ja) 1995-06-08 1996-12-17 Nissin Electric Co Ltd 薄膜気相成長装置
NO953217L (no) 1995-08-16 1997-02-17 Aker Eng As Metode og innretning ved rörbunter
US5736314A (en) 1995-11-16 1998-04-07 Microfab Technologies, Inc. Inline thermo-cycler
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5632919A (en) 1996-01-25 1997-05-27 T.G.M., Inc. Temperature controlled insulation system
SE9600705D0 (sv) 1996-02-26 1996-02-26 Abb Research Ltd A susceptor for a device for epitaxially growing objects and such a device
US5837320A (en) 1996-02-27 1998-11-17 The University Of New Mexico Chemical vapor deposition of metal sulfide films from metal thiocarboxylate complexes with monodenate or multidentate ligands
US5732744A (en) 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
US5920798A (en) 1996-05-28 1999-07-06 Matsushita Battery Industrial Co., Ltd. Method of preparing a semiconductor layer for an optical transforming device
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5836483A (en) 1997-02-05 1998-11-17 Aerotech Dental Systems, Inc. Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles
US6367410B1 (en) 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
JP3752578B2 (ja) 1997-04-21 2006-03-08 株式会社フジキン 流体制御器用加熱装置
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6083321A (en) 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6099596A (en) 1997-07-23 2000-08-08 Applied Materials, Inc. Wafer out-of-pocket detection tool
US6020243A (en) 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6248168B1 (en) 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
US6125789A (en) 1998-01-30 2000-10-03 Applied Materials, Inc. Increasing the sensitivity of an in-situ particle monitor
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
JPH11343571A (ja) 1998-05-29 1999-12-14 Ngk Insulators Ltd サセプター
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US20010001384A1 (en) 1998-07-29 2001-05-24 Takeshi Arai Silicon epitaxial wafer and production method therefor
USD451893S1 (en) 1998-10-15 2001-12-11 Meto International Gmbh Arrangement of aluminum foil coils forming an inductor of a resonant frequency identification element
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
IT1308606B1 (it) 1999-02-12 2002-01-08 Lpe Spa Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore
US6326597B1 (en) 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
FR2795745B1 (fr) 1999-06-30 2001-08-03 Saint Gobain Vitrage Procede de depot d'une couche a base de tungstene et/ou de molybdene sur un substrat verrier, ceramique ou vitroceramique, et substrat ainsi revetu
US6579833B1 (en) 1999-09-01 2003-06-17 The Board Of Trustees Of The University Of Illinois Process for converting a metal carbide to carbon by etching in halogens
US6645345B2 (en) 1999-09-02 2003-11-11 Micron Technology, Inc. Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing
JP2001077088A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6355153B1 (en) 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6420792B1 (en) 1999-09-24 2002-07-16 Texas Instruments Incorporated Semiconductor wafer edge marking
KR100369324B1 (ko) 1999-12-02 2003-01-24 한국전자통신연구원 평면형 마이크로 공동구조 제조 방법
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
JP2001176952A (ja) 1999-12-21 2001-06-29 Toshiba Mach Co Ltd ウェーハ位置ずれ検出装置
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
KR100803770B1 (ko) 2000-03-07 2008-02-15 에이에스엠 인터내셔널 엔.브이. 구배(graded)박막
US6598559B1 (en) 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP2001342570A (ja) 2000-03-30 2001-12-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
JP2001345263A (ja) 2000-03-31 2001-12-14 Nikon Corp 露光装置及び露光方法、並びにデバイス製造方法
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
DE10021871A1 (de) 2000-05-05 2001-11-15 Infineon Technologies Ag Verfahren zum Herstellen einer Barriereschicht in einem elektronischen Bauelement und Verfahren zum Herstellen eines elektronischen Bauelements mit einer Barriereschicht
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
KR100467366B1 (ko) 2000-06-30 2005-01-24 주식회사 하이닉스반도체 원자층 증착법을 이용한 지르코늄산화막 형성방법
US6874480B1 (en) 2000-07-03 2005-04-05 Combustion Dynamics Corp. Flow meter
US6821910B2 (en) 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7204887B2 (en) 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
JP4156788B2 (ja) 2000-10-23 2008-09-24 日本碍子株式会社 半導体製造装置用サセプター
US6824665B2 (en) 2000-10-25 2004-11-30 Shipley Company, L.L.C. Seed layer deposition
JP2002158178A (ja) 2000-11-21 2002-05-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US20020064592A1 (en) 2000-11-29 2002-05-30 Madhav Datta Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects
EP1351283A4 (en) 2000-12-05 2006-01-25 Tokyo Electron Ltd METHOD AND DEVICE FOR TREATING AN ARTICLE TO BE TREATED
US7172497B2 (en) 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US7087482B2 (en) 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US20020108670A1 (en) 2001-02-12 2002-08-15 Baker John Eric High purity chemical container with external level sensor and removable dip tube
JP4487135B2 (ja) 2001-03-05 2010-06-23 東京エレクトロン株式会社 流体制御装置
US6521295B1 (en) 2001-04-17 2003-02-18 Pilkington North America, Inc. Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby
US6482331B2 (en) 2001-04-18 2002-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6677254B2 (en) 2001-07-23 2004-01-13 Applied Materials, Inc. Processes for making a barrier between a dielectric and a conductor and products produced therefrom
US20050020071A1 (en) 2001-07-31 2005-01-27 Jun Sonobe Method and apparatus for cleaning and method and apparatus for etching
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
KR100431658B1 (ko) 2001-10-05 2004-05-17 삼성전자주식회사 기판 가열 장치 및 이를 갖는 장치
EP1444380B1 (en) 2001-10-26 2005-03-23 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR20030039247A (ko) 2001-11-12 2003-05-17 주성엔지니어링(주) 서셉터
US6926774B2 (en) 2001-11-21 2005-08-09 Applied Materials, Inc. Piezoelectric vaporizer
KR100446619B1 (ko) 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
CN1643179B (zh) 2002-01-17 2010-05-26 松德沃技术公司 Ald装置和方法
US20030141820A1 (en) 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
US6734090B2 (en) 2002-02-20 2004-05-11 International Business Machines Corporation Method of making an edge seal for a semiconductor device
WO2003076678A2 (en) 2002-03-08 2003-09-18 Sundew Technologies, Llc Ald method and apparatus
US6594550B1 (en) 2002-03-29 2003-07-15 Asm America, Inc. Method and system for using a buffer to track robotic movement
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7122844B2 (en) 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US6682973B1 (en) 2002-05-16 2004-01-27 Advanced Micro Devices, Inc. Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
US7195693B2 (en) 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
JP2004014952A (ja) 2002-06-10 2004-01-15 Tokyo Electron Ltd 処理装置および処理方法
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
CN101109470A (zh) 2002-07-19 2008-01-23 诚实公司 液体流动控制器和精密分配设备及系统
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
KR100464855B1 (ko) 2002-07-26 2005-01-06 삼성전자주식회사 박막 형성 방법과, 이를 이용한 커패시터 형성 방법 및트랜지스터 형성 방법
JP4585852B2 (ja) 2002-07-30 2010-11-24 エーエスエム アメリカ インコーポレイテッド 基板処理システム、基板処理方法及び昇華装置
JP4034145B2 (ja) 2002-08-09 2008-01-16 住友大阪セメント株式会社 サセプタ装置
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
US20040036129A1 (en) 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
JP2004091848A (ja) 2002-08-30 2004-03-25 Tokyo Electron Ltd 薄膜形成装置の原料ガス供給系および薄膜形成装置
KR20050035300A (ko) 2002-09-10 2005-04-15 에프 에스 아이 인터내셔날,인코포레이티드 뚜껑을 가진 열처리 장소
KR100460841B1 (ko) 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
KR100520902B1 (ko) 2002-11-20 2005-10-12 주식회사 아이피에스 알루미늄 화합물을 이용한 박막증착방법
US7122414B2 (en) 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
US7129165B2 (en) 2003-02-04 2006-10-31 Asm Nutool, Inc. Method and structure to improve reliability of copper interconnects
JP4402044B2 (ja) 2003-02-06 2010-01-20 東京エレクトロン株式会社 プラズマ処理方法
TWI338323B (en) 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices
US20040168627A1 (en) 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
JP2004273766A (ja) 2003-03-07 2004-09-30 Watanabe Shoko:Kk 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7033113B2 (en) 2003-05-01 2006-04-25 Shell Oil Company Mid-line connector and method for pipe-in-pipe electrical heating
JP4959333B2 (ja) 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
WO2005015609A2 (en) 2003-06-13 2005-02-17 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University Sixsnyge1-x-y and related alloy heterostructures based on si, ge and sn
US7589003B2 (en) 2003-06-13 2009-09-15 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law GeSn alloys and ordered phases with direct tunable bandgaps grown directly on silicon
US7598513B2 (en) 2003-06-13 2009-10-06 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law SixSnyGe1-x-y and related alloy heterostructures based on Si, Ge and Sn
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
KR20050001793A (ko) 2003-06-26 2005-01-07 삼성전자주식회사 단원자층 증착 공정의 실시간 분석 방법
US7547363B2 (en) 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
JP4298421B2 (ja) 2003-07-23 2009-07-22 エスペック株式会社 サーマルプレートおよび試験装置
US6909839B2 (en) 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
KR20060054387A (ko) 2003-08-04 2006-05-22 에이에스엠 아메리카, 인코포레이티드 증착 전 게르마늄 표면 처리 방법
JP2005072405A (ja) 2003-08-27 2005-03-17 Sony Corp 薄膜の形成方法および半導体装置の製造方法
KR100901892B1 (ko) 2003-09-03 2009-06-10 도쿄엘렉트론가부시키가이샤 가스 처리 장치 및 처리 가스 토출 구조체
US7414281B1 (en) 2003-09-09 2008-08-19 Spansion Llc Flash memory with high-K dielectric material between substrate and gate
KR100551138B1 (ko) 2003-09-09 2006-02-10 어댑티브프라즈마테크놀로지 주식회사 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스
TWI360179B (en) 2003-09-19 2012-03-11 Hitachi Int Electric Inc Method for manufacturing a semiconductor device, a
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7205247B2 (en) 2003-09-30 2007-04-17 Aviza Technology, Inc. Atomic layer deposition of hafnium-based high-k dielectric
US6875677B1 (en) 2003-09-30 2005-04-05 Sharp Laboratories Of America, Inc. Method to control the interfacial layer for deposition of high dielectric constant films
US7020981B2 (en) 2003-10-29 2006-04-04 Asm America, Inc Reaction system for growing a thin film
US7329947B2 (en) 2003-11-07 2008-02-12 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor substrate
US7071118B2 (en) 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
KR100550641B1 (ko) 2003-11-22 2006-02-09 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법
US7431966B2 (en) 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
US7071051B1 (en) 2004-01-20 2006-07-04 Advanced Micro Devices, Inc. Method for forming a thin, high quality buffer layer in a field effect transistor and related structure
DE102004005385A1 (de) 2004-02-03 2005-10-20 Infineon Technologies Ag Verwendung von gelösten Hafniumalkoxiden bzw. Zirkoniumalkoxiden als Precursoren für Hafniumoxid- und Hafniumoxynitridschichten bzw. Zirkoniumoxid- und Zirkoniumoxynitridschichten
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US20050214458A1 (en) 2004-03-01 2005-09-29 Meiere Scott H Low zirconium hafnium halide compositions
US20060062910A1 (en) 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US20050214457A1 (en) 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
CN1292092C (zh) 2004-04-01 2006-12-27 南昌大学 用于金属有机化学气相沉积设备的双层进气喷头
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
KR100589062B1 (ko) 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
JP4534619B2 (ja) 2004-06-21 2010-09-01 株式会社Sumco 半導体シリコン基板用熱処理治具
KR100578819B1 (ko) 2004-07-15 2006-05-11 삼성전자주식회사 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법
PL1771599T3 (pl) 2004-07-30 2008-04-30 Lpe Spa Reaktor epitaksjalny z kontrolowaną pozycją podstawy
ITMI20041677A1 (it) 2004-08-30 2004-11-30 E T C Epitaxial Technology Ct Processo di pulitura e processo operativo per un reattore cvd.
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US20060060930A1 (en) 2004-09-17 2006-03-23 Metz Matthew V Atomic layer deposition of high dielectric constant gate dielectrics
DE102005045081B4 (de) 2004-09-29 2011-07-07 Covalent Materials Corp. Suszeptor
US7241475B2 (en) 2004-09-30 2007-07-10 The Aerospace Corporation Method for producing carbon surface films by plasma exposure of a carbide compound
US20060257563A1 (en) 2004-10-13 2006-11-16 Seok-Joo Doh Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique
US7780440B2 (en) 2004-10-19 2010-08-24 Canon Anelva Corporation Substrate supporting/transferring tray
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
TW201837984A (zh) 2004-11-18 2018-10-16 日商尼康股份有限公司 曝光裝置、曝光方法、及元件製造方法
ES2301073T3 (es) 2004-11-24 2008-06-16 Oc Oerlikon Balzers Ag Camara de procesamiento al vacio para sustratos de area muy grande.
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
JP2006186271A (ja) 2004-12-28 2006-07-13 Sharp Corp 気相成長装置および成膜済基板の製造方法
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
EP1866465A2 (en) 2005-01-18 2007-12-19 ASM America, Inc. Reaction system for growing a thin film
US7298009B2 (en) 2005-02-01 2007-11-20 Infineon Technologies Ag Semiconductor method and device with mixed orientation substrate
US6972478B1 (en) 2005-03-07 2005-12-06 Advanced Micro Devices, Inc. Integrated circuit and method for its manufacture
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20070155138A1 (en) 2005-05-24 2007-07-05 Pierre Tomasini Apparatus and method for depositing silicon germanium films
WO2006129643A1 (ja) 2005-05-31 2006-12-07 Tokyo Electron Limited プラズマ処理装置およびプラズマ処理方法
KR100960273B1 (ko) 2005-06-13 2010-06-04 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
JP4753173B2 (ja) 2005-06-17 2011-08-24 株式会社フジキン 流体制御装置
US7575990B2 (en) 2005-07-01 2009-08-18 Macronix International Co., Ltd. Method of forming self-aligned contacts and local interconnects
EP1907599A2 (en) 2005-07-08 2008-04-09 Aviza Technology, Inc. Method for depositing silicon-containing films
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7314838B2 (en) 2005-07-21 2008-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a high density dielectric film by chemical vapor deposition
TWI313486B (en) 2005-07-28 2009-08-11 Nuflare Technology Inc Position measurement apparatus and method and writing apparatus and method
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US20070028842A1 (en) 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US7718225B2 (en) 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
USD557226S1 (en) 2005-08-25 2007-12-11 Hitachi High-Technologies Corporation Electrode cover for a plasma processing apparatus
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
JP2007088113A (ja) 2005-09-21 2007-04-05 Sony Corp 半導体装置の製造方法
US7691204B2 (en) 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
DE102005051994B4 (de) 2005-10-31 2011-12-01 Globalfoundries Inc. Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
JP4940635B2 (ja) 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
JP4666496B2 (ja) 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
KR101296911B1 (ko) 2005-12-28 2013-08-14 엘지디스플레이 주식회사 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법
TWI284390B (en) 2006-01-10 2007-07-21 Ind Tech Res Inst Manufacturing method of charge store device
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US8673413B2 (en) 2006-01-27 2014-03-18 Tosoh Finechem Corporation Method for packing solid organometallic compound and packed container
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
KR20070093493A (ko) 2006-03-14 2007-09-19 엘지이노텍 주식회사 서셉터 및 반도체 제조장치
JP2007266464A (ja) 2006-03-29 2007-10-11 Hitachi Ltd 半導体集積回路装置の製造方法
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7410852B2 (en) 2006-04-21 2008-08-12 International Business Machines Corporation Opto-thermal annealing methods for forming metal gate and fully silicided gate field effect transistors
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
WO2008004278A1 (fr) 2006-07-04 2008-01-10 Toshiba Mitsubishi-Electric Industrial Systems Corporation Procédé et dispositif de concentration / dilution de gaz spécifique
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
KR100799735B1 (ko) 2006-07-10 2008-02-01 삼성전자주식회사 금속 산화물 형성 방법 및 이를 수행하기 위한 장치
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
KR100753020B1 (ko) 2006-08-30 2007-08-30 한국화학연구원 원자층 증착법을 이용한 비휘발성 부유 게이트 메모리소자를 위한 나노적층체의 제조방법
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080057659A1 (en) 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7976898B2 (en) 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7723648B2 (en) 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US8137462B2 (en) 2006-10-10 2012-03-20 Asm America, Inc. Precursor delivery system
USD593969S1 (en) 2006-10-10 2009-06-09 Tokyo Electron Limited Processing chamber for manufacturing semiconductors
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7776395B2 (en) 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
KR20080046436A (ko) * 2006-11-22 2008-05-27 삼성전자주식회사 베이크 장치
DE102007002962B3 (de) 2007-01-19 2008-07-31 Qimonda Ag Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20080216077A1 (en) 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US7833913B2 (en) 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
US7435987B1 (en) 2007-03-27 2008-10-14 Intel Corporation Forming a type I heterostructure in a group IV semiconductor
KR100829759B1 (ko) 2007-04-04 2008-05-15 삼성에스디아이 주식회사 카바이드 유도 탄소를 이용한 카본나노튜브 혼성체, 이를포함하는 전자 방출원 및 상기 전자 방출원을 구비한 전자방출 소자
US7575968B2 (en) 2007-04-30 2009-08-18 Freescale Semiconductor, Inc. Inverse slope isolation and dual surface orientation integration
JP5103056B2 (ja) 2007-05-15 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100590804C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US20090000550A1 (en) 2007-06-29 2009-01-01 Applied Materials, Inc. Manifold assembly
JP4900110B2 (ja) 2007-07-20 2012-03-21 東京エレクトロン株式会社 薬液気化タンク及び薬液処理システム
US7720560B2 (en) 2007-07-26 2010-05-18 International Business Machines Corporation Semiconductor manufacturing process monitoring
US8004045B2 (en) 2007-07-27 2011-08-23 Panasonic Corporation Semiconductor device and method for producing the same
US8440259B2 (en) 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP4986784B2 (ja) 2007-09-18 2012-07-25 東京エレクトロン株式会社 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体
US20090085156A1 (en) 2007-09-28 2009-04-02 Gilbert Dewey Metal surface treatments for uniformly growing dielectric layers
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090139657A1 (en) 2007-12-04 2009-06-04 Applied Materials, Inc. Etch system
US20090214777A1 (en) 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
KR100968132B1 (ko) 2008-02-29 2010-07-06 (주)얼라이드 테크 파인더즈 안테나 및 이를 구비한 반도체 장치
US8252114B2 (en) 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8110453B2 (en) 2008-04-17 2012-02-07 Applied Materials, Inc. Low temperature thin film transistor process, device property, and device stability improvement
US8076237B2 (en) 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
JP2009295932A (ja) 2008-06-09 2009-12-17 Canon Inc 露光装置及びデバイス製造方法
US8726837B2 (en) 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
KR20100015213A (ko) 2008-08-04 2010-02-12 삼성전기주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
WO2010017136A1 (en) 2008-08-04 2010-02-11 Amir Dassoud Dabiran Microchannel plate photocathode
JP2010087467A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US9711373B2 (en) 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices
USD609655S1 (en) 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
CN102177571A (zh) 2008-10-07 2011-09-07 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
US20110254052A1 (en) 2008-10-15 2011-10-20 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Hybrid Group IV/III-V Semiconductor Structures
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
JP2010153769A (ja) 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
US20100130017A1 (en) 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
JP5705133B2 (ja) 2009-02-04 2015-04-22 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 静電チャックシステムおよび基板表面に亘って温度プロファイルを半径方向に調整するための方法
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
JP5221421B2 (ja) 2009-03-10 2013-06-26 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101671158B1 (ko) 2009-04-21 2016-11-01 어플라이드 머티어리얼스, 인코포레이티드 박막 두께 불균일성 및 파티클 성능이 개선된 cvd 장치
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
JP5136574B2 (ja) 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5320171B2 (ja) * 2009-06-05 2013-10-23 東京エレクトロン株式会社 基板処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
USD634719S1 (en) 2009-08-27 2011-03-22 Ebara Corporation Elastic membrane for semiconductor wafer polishing apparatus
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
EP2306497B1 (en) 2009-10-02 2012-06-06 Imec Method for manufacturing a low defect interface between a dielectric and a III/V compound
US8465791B2 (en) 2009-10-16 2013-06-18 Msp Corporation Method for counting particles in a gas
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
JP5451324B2 (ja) 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9443753B2 (en) 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US9449858B2 (en) * 2010-08-09 2016-09-20 Applied Materials, Inc. Transparent reflector plate for rapid thermal processing chamber
CN103201408A (zh) 2010-11-05 2013-07-10 思诺斯技术公司 具有多个等离子体室的游离基反应器
JP5573666B2 (ja) 2010-12-28 2014-08-20 東京エレクトロン株式会社 原料供給装置及び成膜装置
JP5820731B2 (ja) 2011-03-22 2015-11-24 株式会社日立国際電気 基板処理装置および固体原料補充方法
JP5203482B2 (ja) 2011-03-28 2013-06-05 株式会社小松製作所 加熱装置
US20140020619A1 (en) 2011-03-31 2014-01-23 Benjamin Vincent Method for Growing a Monocrystalline Tin-Containing Semiconductor Material
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US20120304935A1 (en) 2011-05-31 2012-12-06 Oosterlaken Theodorus G M Bubbler assembly and method for vapor flow control
US8927318B2 (en) 2011-06-14 2015-01-06 International Business Machines Corporation Spalling methods to form multi-junction photovoltaic structure
US9175392B2 (en) 2011-06-17 2015-11-03 Intermolecular, Inc. System for multi-region processing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8927059B2 (en) 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
USD691974S1 (en) 2011-12-22 2013-10-22 Tokyo Electron Limited Holding pad for transferring a wafer
KR101821672B1 (ko) 2011-12-23 2018-01-24 인텔 코포레이션 비평면 게이트 올어라운드 장치 및 그의 제조 방법
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9082684B2 (en) 2012-04-02 2015-07-14 Applied Materials, Inc. Method of epitaxial doped germanium tin alloy formation
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20130330911A1 (en) 2012-06-08 2013-12-12 Yi-Chiau Huang Method of semiconductor film stabilization
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US20140077240A1 (en) 2012-09-17 2014-03-20 Radek Roucka Iv material photonic device on dbr
US8921207B2 (en) 2012-09-24 2014-12-30 Asm Ip Holding B.V., Inc. Tin precursors for vapor deposition and deposition processes
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5960028B2 (ja) 2012-10-31 2016-08-02 東京エレクトロン株式会社 熱処理装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9666702B2 (en) 2013-03-15 2017-05-30 Matthew H. Kim Advanced heterojunction devices and methods of manufacturing advanced heterojunction devices
KR102298038B1 (ko) 2013-06-26 2021-09-02 어플라이드 머티어리얼스, 인코포레이티드 금속 합금 막을 증착하는 방법들
USD705745S1 (en) 2013-07-08 2014-05-27 Witricity Corporation Printed resonator coil
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
USD716742S1 (en) 2013-09-13 2014-11-04 Asm Ip Holding B.V. Substrate supporter for semiconductor deposition apparatus
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US20040169032A1 (en) * 2003-02-27 2004-09-02 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
US20060240574A1 (en) * 2005-04-20 2006-10-26 Toru Yoshie Method for manufacturing semiconductor device
TW201119518A (en) * 2008-12-24 2011-06-01 Shibaura Mechatronics Corp Plasma generating apparatus and plasma processing apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI761916B (zh) * 2019-08-19 2022-04-21 南韓商優吉尼科技股份有限公司 用以支撐基材之總成及用以處理基材之設備

Also Published As

Publication number Publication date
US20130126515A1 (en) 2013-05-23
KR102021163B1 (ko) 2019-09-11
DE112012004884T5 (de) 2014-08-14
CN104081513B (zh) 2017-04-19
US9167625B2 (en) 2015-10-20
TW201338074A (zh) 2013-09-16
WO2013078066A1 (en) 2013-05-30
CN104081513A (zh) 2014-10-01
KR20140098169A (ko) 2014-08-07

Similar Documents

Publication Publication Date Title
TWI555109B (zh) 用於基材固持件的輻射防護
CN109385624B (zh) 辐射屏障
KR102514303B1 (ko) 웨이퍼 에지에서의 후면 증착을 감소시키는 방법
TWI600790B (zh) 利用旋轉台之基板處理裝置
KR101629065B1 (ko) 열처리 장치
KR101332234B1 (ko) 마스크 패널을 갖춘 섀도우 프레임
KR101681897B1 (ko) 이중 온도 히터
KR102617521B1 (ko) 웨이퍼 후면 에지 및 노치에서 증착을 제거하기 위한 방법들 및 웨이퍼 에지 콘택트 하드웨어
EP2971225B1 (en) Carrier for a substrate and method for carrying a substrate
JP2004179600A (ja) 半導体製造装置
KR20220148331A (ko) 플라즈마 프로세싱 시스템들에서 사용하기 위한 캐리어 플레이트
TWI316744B (en) Wafer holder
KR102185623B1 (ko) 박막증착장치 및 박막증착방법
US20190341280A1 (en) Waffer pedestal with heating mechanism and reaction chamber including the same
JP6436828B2 (ja) 熱処理装置
KR101582207B1 (ko) 플라즈마 처리 장치
KR20090119885A (ko) 웨이퍼의 에지 주변 내의 제외 영역을 보호하기 위해 프로세스 챔버에서 전극을 정렬하는 방법 및 장치
US20160237569A1 (en) Semiconductor manufacturing apparatus
US20230212750A1 (en) Thin film deposition apparatus having multi-stage heaters and thin film deposition method using the same
TW202407844A (zh) 具有護罩之處理腔室淨洗板及台座屏蔽系統
KR20160127867A (ko) 서셉터 유닛을 포함한 급속 열처리 장치
KR20210023427A (ko) 기판 지지 어셈블리 및 이를 포함하는 기판 처리 장치
KR20130074243A (ko) 에지 링 및 이를 이용한 기판 처리 장치
KR20140144857A (ko) 기판 처리 장치