DE112012004884T5 - Strahlungsabschirmung für einen Substrathalter - Google Patents

Strahlungsabschirmung für einen Substrathalter Download PDF

Info

Publication number
DE112012004884T5
DE112012004884T5 DE112012004884.9T DE112012004884T DE112012004884T5 DE 112012004884 T5 DE112012004884 T5 DE 112012004884T5 DE 112012004884 T DE112012004884 T DE 112012004884T DE 112012004884 T5 DE112012004884 T5 DE 112012004884T5
Authority
DE
Germany
Prior art keywords
shield
substrate
reaction chamber
region
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112012004884.9T
Other languages
English (en)
Inventor
Eric Shero
Michael Halpin
Jerry Winkler
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of DE112012004884T5 publication Critical patent/DE112012004884T5/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/68Heating arrangements specially adapted for cooking plates or analogous hot-plates

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

Eine Reaktionskammer enthält ein Substratstützelement, das innerhalb der Reaktionskammer positioniert ist, dass die Reaktionskammer ein erstes Gebiet und ein zweites Gebiet aufweist, eine Abschirmung, die innerhalb des zweiten Gebiets positioniert ist und mit dem Substratstützelement bewegt werden kann, und wobei die Abschirmung wenigstens an eine Bodenfläche des Substratstützelements angrenzt.

Description

  • QUERVERWEIS AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht den Nutzen der vorläufigen US-Anmeldung Nr. 61/563.428, eingereicht am 23. November 2011, deren Offenbarung hier durch Literaturhinweis eingefügt ist.
  • STAND DER TECHNIK
  • Es kann schwierig sein, ein Substrat, das in einem Substratverarbeitungswerkzeug verarbeitet wird, zu erwärmen. Die Schwankung der Substraterwärmung kann zu Temperaturschwankungen innerhalb des Substrats führen. Solche Temperaturschwankungen innerhalb des Substrats können zu Verarbeitungsungleichförmigkeiten innerhalb des Substrats führen. In einigen Anlagen können Substrate, die solche Ungleichförmigkeiten zeigen, defekte Vorrichtungen erzeugen. Ferner kann in der unteren Verarbeitungskammer ein Ablagerungsprodukt abgelagert werden, was zu verringerten Temperaturen in der Reaktionskammer und somit zu erhöhtem Leistungsverbrauch, um die unzureichende Erwärmung zu überwinden, führt. Außerdem kann die Zunahme des Ablagerungsprodukts in der Kammer zu vorzeitigen Anforderungen zur Kammerreinigung und zu erhöhten Kosten führen.
  • DARSTELLUNG DER ERFINDUNG
  • Aspekte dieses Dokuments beziehen sich auf Reaktionskammern zur Verarbeitung von Substraten. In einem Aspekt enthält eine Reaktionskammer ein Substratstützelement, das innerhalb der Reaktionskammer positioniert ist, dass die Reaktionskammer ein erstes Gebiet und ein zweites Gebiet aufweist, eine Abschirmung, die innerhalb des zweiten Gebiets positioniert ist und mit dem Substratstützelement bewegt werden kann, und wobei die Abschirmung wenigstens an eine Bodenfläche des Substratstützelements angrenzt.
  • In einer Implementierung kann die Abschirmung an eine Seitenwand des Substratstützelements angrenzen. Das erste Gebiet kann ein Substratverarbeitungsgebiet sein und das zweite Gebiet kann ein Substratbeschickungsgebiet sein. Das erste Gebiet kann in der Reaktionskammer über dem zweiten Gebiet positioniert sein. Die Reaktionskammer kann ferner eine Trennvorrichtung enthalten, die das erste und das zweite Gebiet wenigstens teilweise trennt. Ferner kann die Reaktionskammer einen zwischen der Abschirmung und der Trennvorrichtung gebildeten Zwischenraum enthalten. Der Zwischenraum kann zwischen 5 und 10 mm betragen. Die Abschirmung kann zwischen 5 und 20 mm von dem Substratstützelement beabstandet sein.
  • Ferner kann die Abschirmung ein Bodenelement und ein Seitenwandelement enthalten. Das Bodenelement und das Seitenwandelement können unter einem Winkel von näherungsweise 90 Grad miteinander verbunden sein. Das Bodenelement und das Seitenwandelement können unter einem Winkel zwischen näherungsweise 25 und 65 Grad miteinander verbunden sein. Die Abschirmung kann an einem Schaft des Substratstützelements befestigt sein. Die Abschirmung kann durch die Substratstützanordnung erzeugte Wärme zurückhalten. Ferner kann die Substratstützanordnung eine Heizeinrichtung enthalten.
  • In einem anderen Aspekt kann eine Abschirmung zum Verarbeiten eines Substrats ein Bodenelement, das eine Öffnung aufweist, die einen Substratstützelementschaft umgeben soll, ein Seitenwandelement, das unter einem Winkel von dem Bodenelement nach oben verläuft, wobei das Bodenelement unter dem Substratstützelement positioniert ist und das Seitenwandelement um das Substratstützelement positioniert ist, und wobei sich die Abschirmung mit dem Substratstützelement vertikal bewegt, enthalten.
  • In einer Implementierung kann die Abschirmung zwischen 5 und 20 mm von dem Substratstützelement beabstandet sein. Das Abschirmungsseitenwandelement kann eine Berührung mit einer Reaktionskammerwand vermeiden. Ferner kann die Seitenwand eine obere Oberfläche enthalten, die zwischen 5 und 10 mm von einer Reaktionskammeroberfläche beabstandet ist.
  • In einem anderen Aspekt kann eine Reaktionskammer ein erstes Gebiet, ein zweites Gebiet und ein drittes Gebiet enthalten, wobei das erste Gebiet über dem zweiten und über dem dritten Gebiet positioniert ist und zum Verarbeiten eines Substrats ausgelegt ist, das zweite Gebiet unter dem ersten Gebiet positioniert ist und zum Beschicken des Substrats in der Reaktionskammer ausgelegt ist, das dritte Gebiet zwischen dem ersten Gebiet und dem zweiten Gebiet positioniert ist und wobei das dritte Gebiet innerhalb des zweiten Gebiets bewegt werden kann.
  • In einer Implementierung kann die Reaktionskammer ferner eine Abschirmung enthalten, die zwischen dem zweiten Gebiet und dem dritten Gebiet eine Sperre definiert. Die Abschirmung kann innerhalb des zweiten Gebiets bewegt werden können. Das Volumen eines dritten Gebiets variiert auf der Grundlage einer Stellung des Substratstützelements.
  • In einem nochmals anderen Aspekt enthält ein Verfahren zum Erwärmen eines Substrats in einem Verarbeitungsgebiet das Bereitstellen einer Abschirmung innerhalb der Verarbeitungskammer unter einem Substratstützelement, das Beschicken eines Substrats in einem Verarbeitungsgebiet der Verarbeitungskammer, das Aktivieren einer Heizeinrichtung und das Abstrahlen von Wärme von der Abschirmung an das Substratstützelement.
  • In einer Implementierung kann das Verfahren ferner den Schritt des Bewegens des Substratstützelements aus einer Beschickungsstellung in eine Verarbeitungsstellung enthalten. Ferner kann das Verfahren den Schritt des Überwachens der Temperatur eines Hohlraums zwischen dem Substratstützelement und der Abschirmung enthalten.
  • Im Folgenden sind in den Zeichnungen und in der ausführlichen Beschreibung Aspekte und Implementierungen der hier dargestellten Offenbarung beschrieben. Sofern nicht spezifisch etwas anderes angegeben ist, sollen die Wörter und Formulierungen in der Beschreibung und in den Patentansprüchen ihre einfache, normale und dem Durchschnittsfachmann auf den anwendbaren Gebieten vertraute Bedeutung haben. Die Erfinder sind in voller Kenntnis dessen, dass sie auf Wunsch ihre eigenen Wörterbücher verfassen können. Sofern nicht etwas anderes deutlich angegeben ist und dann ferner ausdrücklich die ”spezielle” Definition dieses Begriffs, wie sie sich von der einfachen und normalen Bedeutung unterscheidet, dargelegt ist und erläutert ist, entscheiden sich die Erfinder als Verfasser ihrer eigenen Wörterbücher ausdrücklich dafür, in der Beschreibung und in den Patentansprüchen nur die einfache und normale Bedeutung von Begriffen zu verwenden. Ohne solche deutlichen Absichtserklärungen, eine ”spezielle” Definition anzuwenden, beabsichtigen und wünschen die Erfinder, dass auf die Interpretation der Beschreibung und der Patentansprüche die simple, einfache und normale Bedeutung der Begriffe angewendet wird.
  • Außerdem sind die Erfinder in Kenntnis der normalen Regeln der englischen Grammatik. Wenn ein Substantiv, ein Begriff oder eine Formulierung in einer Weise weiter charakterisiert, spezifiziert oder eingeengt werden soll, enthält dieses Substantiv, dieser Begriff oder diese Formulierung somit explizit zusätzliche Adjektive, beschreibende Begriffe oder andere Attribute in Übereinstimmung mit den normalen Regeln der englischen Grammatik. Ohne die Verwendung solcher Adjektive, beschreibenden Begriffe oder Attribute sollen diese Substantive, Begriffe oder Formulierungen ihre einfache und normale englische Bedeutung haben, die der Fachmann auf den anwendbaren Gebieten wie oben dargelegt kennt.
  • Die vorstehenden und andere Aspekte, Merkmale und Vorteile gehen für den Durchschnittsfachmann auf dem Gebiet aus der BESCHREIBUNG und aus den ZEICHNUNGEN sowie aus den PATENTANSPRÜCHEN hervor.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Im Folgenden werden Ausführungsformen der vorliegenden Erfindung in Verbindung mit den beigefügten Zeichnungen beschrieben, wobei gleiche Bezeichnungen gleiche Elemente bezeichnen und wobei:
  • 1 schematisch eine Substratverarbeitungskammer, die eine Strahlungsabschirmung in Übereinstimmung mit einer Ausführungsform der vorliegenden Offenbarung enthält, in der Substratbeschickungsstellung zeigt.
  • 2 schematisch eine Substratverarbeitungskammer, die eine Strahlungsabschirmung in Übereinstimmung mit einer Ausführungsform der vorliegenden Offenbarung enthält, in der Substratverarbeitungsstellung zeigt.
  • 3 schematisch eine perspektivische Unteransicht eines Abschnitts der in 1 dargestellten Strahlungsabschirmung zeigt.
  • 4 schematisch eine perspektivische Explosionsdarstellung der in 1 dargestellten Strahlungsabschirmung zeigt.
  • 5 schematisch eine Schnittansicht des in 2 als 5 bezeichneten Bereichs zeigt.
  • 6 eine Schnittansicht des in 5 mit 6 bezeichneten Bereichs zeigt.
  • 7 schematisch eine Schnittansicht des in 2 mit 5 bezeichneten Bereichs und die Entfernung der Strahlungsabschirmung zeigt.
  • 8 beispielhafte Temperaturdaten für eine Suszeptorheizanordnung ohne eine Strahlungsabschirmung zeigt.
  • 9 beispielhafte Temperaturdaten für eine Suszeptorheizanordnung mit einer Strahlungsabschirmung der vorliegenden Offenbarung zeigt.
  • 10 beispielhafte Temperaturdaten für eine Waferstruktur ohne eine Strahlungsabschirmung zeigt.
  • 11 beispielhafte Temperaturdaten für eine Waferstruktur mit einer Strahlungsabschirmung zeigt.
  • 12 schematisch eine Schnittansicht einer zweiten Ausführungsform einer Strahlungsabschirmung der vorliegenden Offenbarung zeigt.
  • 13 einen Ablaufplan für ein Verfahren zur Verarbeitung eines Substrats in Übereinstimmung mit einer Ausführungsform der vorliegenden Offenbarung zeigt.
  • DETAILLIERTE BESCHREIBUNG
  • Einige Substratverarbeitungswerkzeuge können Umgebungen enthalten, die in Bezug auf die Menge der darin reflektierten einfallenden Strahlung schwanken. Zum Beispiel können verschiedene Materialien, Oberflächenverarbeitungen, Oberflächenbeschichtungen und/oder Umgebungsgeometrien eine Menge der innerhalb des Substratverarbeitungswerkzeugs reflektierten Wärmestrahlung beeinflussen, was potentiell veranlasst, dass sich innerhalb eines Substrats, das in dem Substratverarbeitungswerkzeug verarbeitet wird, ein ungleichmäßiges Temperaturfeld entwickelt.
  • Zum Beispiel kann ein Substrat, das durch eine Suszeptorheizanordnung gestützt ist, die durch eine oder mehrere resistive Heizeinrichtungen erwärmt wird, Wärme über Wärmestrahlung an eine Niederdruckumgebung innerhalb eines Substratverarbeitungswerkzeugs verlieren. Solche Strahlungsverluste können zunehmen, während die Temperatur der Suszeptorheizanordnung zunimmt. Da die Fläche zwischen einer Suszeptorheizanordnung und der umgebenden Niederdruckumgebung in einigen Anlagen ungleichförmig sein kann, können die Strahlungserfassungseigenschaften der Substratverarbeitungswerkzeugumgebung ferner den Strahlungsverlust der Suszeptorheizanordnung beeinflussen. Ein Substrat, das einer Umgebung mit ungleichmäßiger Strahlungserfassung ausgesetzt ist, kann wiederum ein ungleichförmiges Temperaturprofil innerhalb des Substrats entwickeln. Wie Strahlungserfassung hier verwendet ist, bezieht sie sich auf die Fähigkeit eines Objekts oder einer Umgebung, Wärmestrahlung zu erfassen. Da einige Substratverarbeitungsoperationen von der Temperatur abhängen können, können solche ungleichförmigen Temperaturprofile zu Ungleichförmigkeiten in dem verarbeiteten Substrat führen. Zum Beispiel kann ein Halbleitersubstrat, das einem Filmablagerungsprozess ausgesetzt wird, im Ergebnis der ungleichförmigen Temperatur ein konvexes, konkaves oder schiefes Filmdickenprofil zeigen, das Defekte erzeugen kann und das zu defekten Halbleitervorrichtungen führen kann.
  • Einige frühere Herangehensweisen an die Verringerung der Wirkung der umgebenden Strahlungserfassungsumgebung auf Temperaturfelder innerhalb des Substrats nutzen innerhalb von Abschnitten von Verarbeitungswerkzeugen positionierte feste Strahlungsabschirmungen. Allerdings weisen solche festen Abschirmungen häufig Zwischenräume auf, um zu ermöglichen, dass Substratumsetzroboter Substrate innerhalb des Werkzeugs bewegen, oder stellen sie ansonsten eine unvollständige und/oder inkonsistente Strahlungserfassungsumgebung bereit. In einigen anderen Fällen können solche festen Abschirmungen unregelmäßig geformt sein, sodass es zwischen der Suszeptorheizanordnung und der festen Abschirmung Faktoren einer ungleichförmigen Sicht geben kann.
  • Dementsprechend beziehen sich die offenbarten Ausführungsformen auf eine Strahlungsabschirmung, die so positioniert ist, dass sie Wärmestrahlung (einschließlich einer oder mehrerer Infrarotstrahlungswellenlängen) und/oder Wärme, die von einer zum Stützen und Erwärmen eines Substrats innerhalb einer Substratverarbeitungskammer verwendeten Suszeptorheizanordnung ausgesendet wird, reflektiert. Zum Beispiel schaffen die offenbarten Ausführungsformen eine Strahlungsabschirmung, die durch eine zum Bewegen der Suszeptorheizanordnung innerhalb einer Substratverarbeitungskammer verwendete Struktur, sodass sich die Strahlungsabschirmung mit der Suszeptorheizanordnung bewegt, während die Suszeptorheizanordnung innerhalb der Substratverarbeitungskammer aus einer ersten Stellung in eine zweite Stellung bewegt wird, gestützt ist. Als ein weiteres Beispiel schaffen die offenbarten Ausführungsformen eine Strahlungsabschirmung, die mit einer Suszeptorheizanordnung gekoppelt ist, wobei die Strahlungsabschirmung dafür konfiguriert ist, Wärmestrahlung und/oder Wärme, die von der Suszeptorheizanordnung ausgesendet wird, wenigstens zu zwei verschiedenen Seiten der Suszeptorheizanordnung zu reflektieren. Durch Aufrechterhalten einer vorgegebenen Strahlungserfassungsumgebung (in einigen Ausführungsformen einer gleichförmigen Strahlungserfassungsumgebung) können solche Strahlungsabschirmungen die Temperaturgleichförmigkeit innerhalb des Substrats verbessern. Wiederum kann die Verarbeitungsgleichförmigkeit innerhalb des Substrats (z. B. die Ablagerungsrate, die Ätzrate usw.) verbessert werden, was potentiell die Qualität des auf dem Substrat abgelagerten Films, der durch die Substratverarbeitungskammer und/oder bei nachgeschalteten Verarbeitungsoperationen bereitgestellt wird, verbessert. Ferner kann in einigen Beispielen die Erhöhung einer Menge der zu der Suszeptorheizanordnung reflektierten Wärmestrahlung und/oder Wärme die von einer in der Suszeptorheizanordnung enthaltenen Heizeinrichtung verbrauchte Leistung verringern. Folglich können in einigen Ausführungsformen eine verbesserte Heizeinrichtungssteuerung und/oder Lebensdauer verwirklicht werden. Außerdem kann ebenfalls eine Verringerung der Kammerreinigungsfrequenz erhalten werden.
  • 1 zeigt schematisch einen Querschnitt einer Ausführungsform einer Substratverarbeitungskammer 100 zum Verarbeiten von Halbleitersubstraten in einer Substrat-Beschickungs/Ausgabe-Stellung. In einigen Ausführungsformen kann die Substratverarbeitungskammer 100 in einem geeigneten Substratverarbeitungswerkzeug enthalten sein. Die Substratverarbeitungskammer 100 kann für die Verarbeitung von Halbleitersubstraten über irgendeinen geeigneten Prozess, z. B. Filmablagerung, Filmätzen und dergleichen, verwendet werden. Obgleich die in 1 gezeigte Ausführungsform der Substratverarbeitungskammer 100 eine Einzelkammer zeigt, wird gewürdigt werden, dass in einem Verarbeitungswerkzeug irgendeine geeignete Anzahl von Prozesskammern enthalten sein können, sodass Substrate zwischen Prozesskammern umgesetzt werden können, ohne Umgebungsbedingungen ausgesetzt zu werden. Zum Beispiel können einige Verarbeitungswerkzeuge nur eine Kammer enthalten, während andere Verarbeitungswerkzeuge zwei oder mehr Kammern enthalten können. In diesen Beispielen kann jede Reaktionskammer nur ein einzelnes Gebiet oder mehrere Gebiete enthalten. Obgleich dies in 1 nicht gezeigt ist, können verschiedene Beschickungsverriegelungen, Beschickungsöffnungen und Substratumsetzungsbehandlungsroboter verwendet werden, um Substrate vor, während und nach der Substratverarbeitung zwischen Umgebungsbedingungen und der Substratverarbeitungskammer 100 umzusetzen.
  • Wie in 1 und 2 gezeigt ist, enthält die Substratverarbeitungskammer 100 einen oberen Reaktor 102, innerhalb dessen eine Reaktionszone oder ein Verarbeitungsgebiet 103 gebildet ist, wo die Substratverarbeitung stattfindet. Außerdem enthält die Substratverarbeitungskammer 100 einen unteren Reaktor 104 mit einem Substratbeschickungsgebiet 105, wo Substratumsetzoperationen ausgeführt werden. Außerdem zeigt 1 eine bewegliche Lagerstütze 106, die zum Stützen eines Substrats innerhalb der Substratverarbeitungskammer 100 verwendet wird. Die in 1 gezeigte Ausführungsform zeigt die Lagerstütze 106 in einer abgesenkten Stellung innerhalb des unteren Reaktors 104. In einigen Anlagen kann die Lagerstütze 106 als Teil des Umsetzens eines Substrats 107 in der oder aus der Substratverarbeitungskammer 100 in einer abgesenkten Stellung angeordnet werden.
  • In der in 1 gezeigten Ausführungsform enthält der untere Reaktor 104 eine Substratumsetzöffnung 108, durch die Substrate in die und aus der Substratverarbeitungskammer 100 umgesetzt werden. In einigen Ausführungsformen kann ein Absperrschieber (nicht gezeigt) mit der Substratumsetzöffnung 108 gekoppelt sein, sodass die Substratverarbeitungskammer 100 von anderen Abschnitten eines Substratverarbeitungswerkzeugs getrennt werden kann und/oder sodass die Substratverarbeitungskammer 100 bis auf einen Druck unter einem Umgebungsdruck (z. B. bis auf einen Niederdruckzustand) ausgepumpt werden kann.
  • In dem in 1 gezeigten Beispiel umfasst die Lagerstütze 106 eine Suszeptorheizanordnung 110, um ein Substrat innerhalb der Substratverarbeitungskammer 100 zu stützen. Die Suszeptorheizanordnung 110 enthält eine Heizanordnung 112, die zum Einstellen einer Temperatur des Substrats vor, während und/oder nach der Substratverarbeitung verwendet wird. In einigen Ausführungsformen kann die Heizanordnung 112 eine Widerstandsheizplatte enthalten. In der in 1 gezeigten Ausführungsform enthält die Heizanordnung 112 einen Untersatz 114 und einen Substratstützabschnitt. In einigen Ausführungsformen kann der Untersatz 114 einen oder mehrere Kanäle enthalten, die dafür konfiguriert sind, eines oder mehrere Widerstandsheizelemente 116 innerhalb des Untersatzes 114 positioniert zu halten. In einigen anderen Ausführungsformen kann die Heizanordnung 112 eine einteilige Heizanordnung, mehrere miteinander vereinigte/verschweißte Stücke oder eine Heizeinrichtung, die von einem Substratträger getrennt werden kann, sein. Die Suszeptorheizanordnung 110 ist an einem Teileheber 118 angebracht, sodass das Substrat angehoben und abgesenkt werden kann. In einigen Ausführungsformen kann die Heizanordnung 112 an den Teileheber 118 geschweißt sein. Dennoch können innerhalb der Reaktionskammer irgendwelche geeigneten Heizanordnungen genutzt werden.
  • In 1 ist die Suszeptorheizanordnung 110 in der Weise gezeigt, dass sie eine optionale Substratstützfläche 111 enthält, die zum Stützen des Substrats 107 konfiguriert ist. In einigen Ausführungsformen kann die Substratstützfläche 111 weggelassen sein, sodass das Substrat 107 durch eine in der Heizanordnung 112 gebildete Substrattasche 117 gestützt sein kann. Wie in 1 gezeigt ist, kann die Substrattasche 117 in einer oberen Oberfläche der Substratstützfläche der Heizanordnung 112 oder alternativ in einer oberen Oberfläche eines Substratträgers oder -suszeptors gebildet sein. In einigen anderen Ausführungsformen, in denen die Heizanordnung 112 eine einteilige Heizanordnung enthält, kann eine Substrattasche in einer oberen Oberfläche der einteiligen Heizeinrichtung gebildet sein, sodass das Substrat 107 direkt auf der einteiligen Heizeinrichtung liegt.
  • Außerdem zeigt 1 eine Strahlungsabschirmung 120, die über den Teileheber 118 mit der Suszeptorheizanordnung 110 gekoppelt ist. Die Strahlungsabschirmung 120 ist so konfiguriert, dass sie wenigstens einen Teil der von der Suszeptorheizanordnung 110 ausgesendeten Wärmestrahlung zu der Suszeptorheizanordnung 110 zurück reflektiert. In einigen Ausführungsformen kann die Strahlungsabschirmung 120 dafür konfiguriert sein, Wärmestrahlung und/oder Wärme, die von der Suszeptorheizanordnung 110 ausgesendet werden, wenigstens zu zwei verschiedenen Seiten der Suszeptorheizanordnung 110 zu reflektieren. Zum Beispiel zeigt 1 eine Strahlungsabschirmung 120, die dafür ausgelegt ist, einen Teil der Wärmestrahlung und/oder der Wärme, die von der Bodenfläche 122 und von der Seitenfläche 124 der Suszeptorheizanordnung 110 ausgesendet wird, zu der Suszeptorheizanordnung 110 zurückzureflektieren. Dies kann potentiell den Leistungsverbrauch durch die Heizanordnung 112 verringern und/oder Temperaturungleichförmigkeiten innerhalb des Substrats, die sich aus einer Umgebung mit ungleichmäßiger Erfassung und/oder -reflexion der Strahlung in der Nähe der Suszeptorheizanordnung 110 ergeben können, verringern. Ferner kann die Strahlungsabschirmung 120 in einigen Ausführungsformen in der Weise konfiguriert sein, dass Oberflächen der Strahlungsabschirmung 120 Wärmestrahlung und/oder Wärme zu wenigstens zwei verschiedenen Seiten der Heizanordnung 112 reflektieren. Zum Beispiel ist die Strahlungsabschirmung 120 in der in 1 gezeigten Ausführungsform in der Weise gezeigt, dass sie über die Heizanordnung 112 hinaus verläuft, sodass Wärmestrahlung und/oder Wärme zu den Seitenflächen und/oder zu der Bodenfläche der Heizanordnung 112 reflektiert wird. Während die Erzeugung einer Ungleichförmigkeit ein Ziel sein kann, kann dieselbe Anordnung verwendet werden, um eine Ungleichförmigkeit, wie sie während der Verarbeitung eines Substrats erwünscht sein kann, zu verstärken.
  • In der in 1 gezeigten Ausführungsform ist die Strahlungsabschirmung 120 so geformt und bemessen, dass die Strahlungsabschirmung 120 durch einen Zwischenraum von der Suszeptorheizanordnung 110 getrennt ist. Die Beabstandung der Strahlungsabschirmung 120 und der Suszeptorheizanordnung 110 kann eine Umgebung gleichmäßiger Strahlungserfassung um die Suszeptorheizanordnung 110 aufrechterhalten helfen. Es wird gewürdigt werden, dass eine Entfernung, die die Strahlungsabschirmung 120 von der Suszeptorheizanordnung 110 trennt, in Übereinstimmung mit Verarbeitungsbedingungen (z. B. Suszeptorheizanordnungs-Temperaturen, Prozessdrücken usw.) variieren kann. Zum Beispiel können Wärmekonvektions- und/oder Wärmeleitungsprozesse Temperaturfelder innerhalb des Substrats beeinflussen, während der Druck steigt. In 2, die schematisch eine Umgebung einer Strahlungsabschirmung 120 in einer angehobenen Stellung innerhalb der Substratverarbeitungskammer 100 zeigt, ist eine nähere Ansicht eines beispielhaften Abstands zwischen der Strahlungsabschirmung 120 und der Suszeptorheizanordnung 110 zu sehen.
  • Zum Beispiel definiert ein vertikaler Zwischenraum 126a einen Raum zwischen der unteren Oberfläche 122 und der Strahlungsabschirmung 120 und definiert ein horizontaler Zwischenraum 126b einen Raum zwischen einer Seitenfläche 124 und einer Strahlungsabschirmung 120. In einer Implementierung beträgt der vertikale Zwischenraum 126a zwischen 5 und 20 mm, vorzugsweise zwischen 10 und 20 mm, während der horizontale Zwischenraum 126b zwischen 5 und 15 mm, vorzugsweise zwischen 7 und 12 mm, beträgt. In einer Implementierung beträgt der vertikale Zwischenraum 126a näherungsweise 17,25 mm, während der. horizontale Zwischenraum 126b näherungsweise 9 mm beträgt. Dennoch kann die Abschirmung 120 in irgendeiner geeigneten Entfernung von der unteren Oberfläche 122 und von der Seitenfläche 124 positioniert sein, ohne von dem Erfindungsgedanken und Schutzumfang der Offenbarung abzuweichen.
  • In einigen Ausführungsformen können diese Zwischenräume innerhalb einer akzeptablen Toleranz einen konstanten Abstand zwischen der Strahlungsabschirmung 120 und der Suszeptorheizanordnung 110 definieren. Ein solcher konstanter Abstand kann für die Suszeptorheizanordnung 110 eine Umgebung mit gleichförmiger Strahlungserfassung und/oder -reflexion bereitstellen, die potentiell zu einem gleichförmigen Temperaturprofil innerhalb der Suszeptorheizanordnung 110 und/oder des daran gestützten Substrats 107 führt. Zum Beispiel kann das Positionieren einer Strahlungsabschirmung zum Erzeugen einer rotationssymmetrischen Umgebung für die Strahlungserfassung und/oder -reflexion um die Suszeptorheizanordnung in einem Szenarium, in dem ein rotationssymmetrisches Substrat an einer rotationssymmetrischen Substratheizanordnung gestützt ist, zu einem rotationssymmetrischen Temperaturprofil innerhalb des Substrats führen. Eine in einer festen radialen Entfernung von einer Mitte des Substrats gemessene Temperatur des Substrats kann wiederum unabhängig von dem Polarwinkel sein.
  • Es wird gewürdigt werden, dass dieser Abstand zwischen der Strahlungsabschirmung 120 und der Suszeptorheizanordnung 120 in einigen Ausführungsformen variieren kann. Zum Beispiel kann der Abstand zwischen der Suszeptorheizanordnung 110 und der Strahlungsabschirmung 120 örtlich variieren, um Schwankungen des Emissionsgrads der Suszeptorheizanordnung 110 und/oder der Strahlungsabschirmung 120 auszugleichen und/oder um an verschiedene Armaturen, Sensoren und/oder andere Hardwaremerkmale anzupassen. Zum Beispiel zeigt 2 eine in der Strahlungsabschirmung 120 gebildete abgeschrägte Oberfläche 128, die bei dem Schutzabstand verschiedener Hardwarearmaturen innerhalb des unteren Reaktors 104, während die Suszeptorheizanordnung 110 angehoben und abgesenkt wird, helfen kann. In einigen Ausführungsformen kann eine Entfernung zwischen der abgeschrägten Oberfläche 128 und der Suszeptorheizanordnung 110 kleiner als eine Entfernung, die den vertikalen Zwischenraum 126a und/oder den horizontalen Zwischenraum 126b definiert, sein.
  • Außerdem zeigt 2 einen Raum 202, der zwischen der Strahlungsabschirmung 120 und dem oberen Reaktor 102 gebildet ist. In einigen Ausführungsformen kann der Raum 202 so bemessen sein, dass er ein vorher gewähltes Wärmestrahlungsreflexionsvermögen von der Strahlungsabschirmung 120 bereitstellt, während er außerdem zwischen dem oberen Reaktor 102 und dem unteren Reaktor 104 eine vorgegebene Gasströmungsleitfähigkeit über den Raum 202 bereitstellt, wenn die Lagerstütze 202, wie etwa während einer in 2 gezeigten Substratverarbeitung, in einer angehobenen Stellung ist. Somit kann der Raum 202 so bemessen sein, dass er für die Suszeptorheizanordnung 110 eine Umgebung mit gewünschter Strahlungserfassung und/oder -reflexion bereitstellt, ohne die Strahlungsabschirmung 120 gegen den oberen Reaktor 102 abzudichten. Dies kann ein differentielles Pumpen über den Raum 202 zwischen anderen Abschnitten der Substratverarbeitungskammer 100 bereitstellen. Dagegen kann die Strahlungsabschirmung 120 in einigen Ausführungsformen so konfiguriert sein, dass sie gegenüber dem oberen Reaktor 102 eng eingepasst ist. In einem nicht einschränkenden Beispiel kann der Raum 202 in einer Implementierung näherungsweise 5 mm bis 10 mm und vorzugsweise 8,25 mm betragen. Spezifisch kann eine Trennvorrichtung 206 koplanar mit einer Außenoberfläche der Abschirmung 120 positioniert sein. Die Außenoberfläche der Abschirmung 120 kann eine Seitenwand 208 und eine Bodenwand 210 enthalten, die durch eine abgeschrägte Oberfläche 128 verbunden sein können. Die abgeschrägte Oberfläche 128 kann unter einem Winkel zwischen näherungsweise 25 und näherungsweise 65 Grad oder, wie im Folgenden beschrieben wird, unter einem Winkel von näherungsweise 90 Grad ohne eine abgeschrägte Oberfläche positioniert sein.
  • In 1 ist eine Suszeptorheizanordnung 110 in einer ersten Stellung mit der Suszeptorheizanordnung 110 in einer unteren Stellung gezeigt, wobei von einer oberen Oberfläche der Suszeptorstützfläche 111 Hubstifte ausgehen. Die Hubstifte sind so angeordnet, dass sie auf den Hubstiften ein Substrat 107 aufnehmen. Übergehend zu 2 wird die Suszeptorheizanordnung 110 in der den Pfeilen 204 zugeordneten Richtung nach oben bewegt, bis die Suszeptorstützfläche 111 innerhalb der oberen Kammer 102 ist und wenigstens einen Abschnitt eines ersten Gebiets bildet. Durch die Innenoberfläche der Abschirmung 120 ist ein zweites Gebiet gebildet, während durch die untere Kammer 104 das dritte Gebiet gebildet ist. In dieser Anordnung kann das zweite Gebiet vollständig innerhalb des dritten Gebiets enthalten sein oder nur teilweise innerhalb des dritten Gebiets enthalten sein.
  • In einigen Ausführungsformen kann die Strahlungsabschirmung 120 durch einen Teileheber 118 gestützt sein und durch eine oder mehrere Haltestrukturen gehalten sein. In einigen Ausführungsformen können diese Haltestrukturen geeignete Klemmen enthalten. 3 zeigt schematisch eine Ausführungsform der Lagerstütze 106 in einer angehobenen Stellung.
  • Die 3 und 4 veranschaulichen Beispiele der Abschirmung 120 und einer Befestigungsvorrichtung zum Befestigen der Abschirmung an der Suszeptorheizanordnung 110. Genauer kann die Abschirmung 120 innerhalb einer flachen Oberfläche 214 eine zentrale Öffnung 212 enthalten, die so ausgelegt ist, dass sie beim Befestigen der Abschirmung an dem Teileheber 118 unterstützt. Außerdem kann die Abschirmung 120 mehrere Löcher 216 enthalten, die ermöglichen, dass die Hubstifte durch sie hindurchgehen. Außerdem kann die Suszeptorheizanordnung 110 einen angehobenen Abschnitt 218 enthalten, der einen flachen Aufnahmeabschnitt aufweist, der der Abschirmung 120 gegenüberliegt. Auf dem Teileheber 118 kann über einem gerillten Abschnitt oder Zahnabschnitt 222, der wiederum über einem konkaven Abschnitt 224 liegt, eine Ausrichtnase 220 angeordnet sein.
  • Um bei der Ausrichtung der Abschirmung 120 und der Suszeptorheizanordnung 110 zu unterstützen, wird ein Abstandshalter 226 verwendet. Der Abstandshalter 226 kann eine obere Oberfläche 228 mit konkaven Oberflächen 230 darin enthalten. Der Abstandshalter 226 kann eine Öffnung 232 und einen Ausrichtvorsprung 234, der eine flache Oberfläche 236 aufweist, die beide von einer Bodenfläche 238 des Abstandshalters ausgehen, enthalten. Radial innerhalb des Ausrichtvorsprungs 234 kann eine Nut 235 positioniert sein und dafür ausgelegt sein, wie im Folgenden diskutiert Auslösestifte aufzunehmen. Schließlich kann der Abstandshalter außerdem eine Ausrichtöffnung 240 zum Zusammenwirken mit der Ausrichtnase 220 des Teilehebers 118 enthalten. Dementsprechend ist der Abstandshalter 226 bei der Ausrichtöffnung 240 und bei der Ausrichtnase 220, die wiederum auf den Ausrichtvorsprung 234 ausgerichtet ist, auf den Teileheber 118 ausgerichtet. Die zentrale Öffnung 212 der Abschirmung und die flache Oberfläche 214 sind auf den Ausrichtvorsprung 234 und auf die flache Oberfläche 236 des Abstandshalters 226 ausgerichtet, um dadurch die Suszeptorheizanordnung 110, den Abstandshalter 226 und die Abschirmung 120 für den richtigen Betrieb zu orientieren.
  • Die 3 und 4 veranschaulichen eine Verriegelungsklemme 242, die mehrere Eingriffsvorsprünge 244 aufweist, die allgemein nach innen verlaufen und die jeweils eine Eingriffsfläche 246 aufweisen, die zusammen einen Innenumfang definieren, der etwas kleiner als eine Außenoberfläche des Teilehebers 118 und speziell des gerillten Abschnitts 222 ist. Die Verriegelungsklemme 242 kann außerdem mehrere Auslösenasen 248 enthalten, die von dem Außenumfang der Verriegelungsklemme nach außen verlaufen. Wie im Folgenden ausführlicher diskutiert wird, kann jeder der Eingriffsvorsprünge 244 ein Befestigungsloch 245 zum Aufnehmen von Auslösestiften enthalten.
  • Die Strahlungsabschirmung 120 kann irgendeine geeignete Form aufweisen. Zum Beispiel kann die Strahlungsabschirmung 120 in einigen Ausführungsformen, in denen die Suszeptorheizanordnung 110 ein kreisförmiges Profil aufweist, um ein kreisförmiges Substrat zu stützen, kreisförmig sein, um eine Umgebung mit gleichförmiger Wärmestrahlungsreflexion und/oder -absorption bereitzustellen. Allerdings wird gewürdigt werden, dass die Strahlungsabschirmung 120 in einigen Ausführungsformen andere geeignete Formen wie etwa mehreckige Formen aufweisen kann, da die Form der Strahlungsabschirmung 120 durch Wärmeübertragungsbetrachtungen sowie geometrische Einflüsse beeinflusst werden kann.
  • Die Strahlungsabschirmung 120 kann aus irgendeinem geeigneten Material gebildet werden. Nicht einschränkende Beispiele enthalten Aluminium, rostfreien Stahl und Titan. Ferner wird gewürdigt werden, dass die Strahlungsabschirmung 120 auf irgendeine geeignete Weise gebildet werden kann. In einigen Ausführungsformen kann die Strahlungsabschirmung 120 durch Metalldrücken gebildet werden. Andere geeignete Herstellungstechniken enthalten Gießen, Stanzen und Drehen. In einigen Ausführungsformen kann die Strahlungsabschirmung 120 geeignete Oberflächenbehandlungen und/oder Oberflächenbearbeitungen enthalten, die dafür konfiguriert sind, eine oder mehrere Strahlungsreflexionseigenschaften des Materials, aus dem sie gebildet ist, zu ändern. Solche Behandlungen und Bearbeitungen können dafür konfiguriert sein, die Wärmestrahlung örtlich (z. B. in einigen Beispielen in Richtung der Suszeptorheizanordnung 110) oder global zu reflektieren. Zum Beispiel kann die Strahlungsabschirmung 120 in einigen Ausführungsformen eine spiegelnde Oberfläche enthalten, die dafür ausgelegt ist, Wärmestrahlung zu reflektieren. Zusätzlich oder alternativ kann die Strahlungsabschirmung 120 in einigen Ausführungsformen Oberflächenbehandlungen enthalten, die dafür konfiguriert sind, eine oder mehrere Infrarotstrahlungswellenlängen zu reflektieren. Ferner kann die Strahlungsabschirmung 120 in einigen Ausführungsformen durch irgendeine geeignete Technik montiert werden. Zum Beispiel können in einigen Ausführungsformen Strahlungsabschirmungsbaugruppen miteinander verschweißt oder lösbar miteinander verbunden werden.
  • Die 5 bis 7 veranschaulichen verschiedene Betriebsansichten des Einbaus und der Entfernung der Abschirmung 120 und spezifisch der Verriegelungsklemme 242. Wie in 5 gezeigt ist, wird ein Abstandshalter 226 in der dem Pfeil 204 zugeordneten Richtung bewegt, bis die Ausrichtnase 220 und die Ausrichtöffnung 240 in Eingriff gelangen, sodass der Abstandshalter 226 so angeordnet wird, dass er die in der dem Pfeil 204 zugeordneten Richtung nach oben bewegte Abschirmung 120 aufnimmt, bis die zentrale Öffnung 212 der Abschirmung und die flache Oberfläche 214 auf die Abstandshalterbodenfläche 238 ausgerichtet und in Kontakt mit ihr sind. Nachfolgend wird die Verriegelungsklemme 242 ebenfalls entlang des Teilehebers 118 nach oben bewegt, wobei die Eingriffsvorsprünge 244 nach unten gebogen werden, während die Verriegelungsklemme nach oben bewegt wird. Genauer gibt es zwischen dem Teileheber 118 und den Eingriffsvorsprüngen 244 einen Reibungseingriff, der erfordert, dass die Reibungsvorsprünge 244 durch die vertikale Bewegung der Verriegelungsklemme 242 im Wesentlichen nach oben gezogen werden, da die Eingriffsflächen 246 der Eingriffsvorsprünge 244 einen Innenumfang definieren, dessen Durchmesser kleiner als der Außendurchmesser des Teilehebers 118 ist. Wenn die Eingriffsvorsprünge 244 der Verriegelungsklemmen und die Eingriffsflächen 246 den gerillten Abschnitt 222 berühren, passen die Eingriffsvorsprünge 244 in den gerillten Abschnitt 222 und ermöglichen sie nur die Bewegung in der dem Pfeil 204 zugeordneten Richtung nach oben, wodurch eine Entriegelung oder Trennung der Verriegelungsklemme, der Abschirmung und des Abstandshalters von der Suszeptorheizanordnung 110 verhindert wird.
  • Nun in 6 ist eine vergrößerte Schnittansicht des in 5 mit 6 bezeichneten Abschnitts gezeigt. Wie genauer zu sehen ist, sind die Auslösenasen 248 durch einen zwischen den Auslösenasen und der Abschirmung 120 gebildeten Zwischenraum 250 voneinander beabstandet. Auf einer Oberseite der Verriegelungsklemme 242 ist eine Montagefläche 252 gelegen und berührt die Bodenwand 210 der Abschirmung 120, um die Abschirmung an den Abstandshalter und schließlich an der Suszeptorheizanordnung 110 zu befestigen. Außerdem kann die Verriegelungsklemme 242 eine Abstandshalterwand 254 enthalten, die den Zwischenraum 250 zwischen den Auslösenasen 248 und der Bodenwand 210 der Abschirmung 120 bereitstellt. Vorteilhaft ermöglicht der Zwischenraum 250 die Entfernung der Verriegelungsklemme 242, der Abschirmung 120 und des Abstandshalters 226, indem er ermöglicht, dass ein Nutzer, wie im Folgenden ausführlicher diskutiert wird, seine Finger oder ein Werkzeug in dem Zwischenraum 250 anordnet.
  • 7 veranschaulicht die Entfernung der Verriegelungsklemme 242, der Abschirmung 120 und des Abstandshalters 226, die mit einem Entfernungswerkzeug 260 entfernt werden, das allgemein Auslösestifte 262 enthält, die ein erstes Ende 262a und ein zweites Ende 262b aufweisen, wobei das zweite Ende 262b lösbar innerhalb der Befestigungslöcher 245 positioniert werden kann, um die Eingriffsvorsprünge 244 in der den Pfeilen 306 zugeordneten Richtung vorzubelasten. Genauer enthält das zweite Ende 262b einen ausgesparten Bereich 264, der so ausgelegt ist, dass er in die Befestigungslöcher 245 passt, und der bei Bedarf in die Nut 235 verlaufen kann. Außerdem enthält das Entfernungswerkzeug ein Klemmelement 270, das einen Flansch 272 mit Gewindelöchern 274 darin, mehrere Abstandselemente 276 mit Greifarmen 278, die mit dem Abstandselement 276 verbunden sind, und einen gegenüberliegenden Flansch 272 aufweist. Vorzugsweise sind die Greifarme 278 so bemessen und geformt, dass sie in einen Zwischenraum 250 zwischen der Abschirmung 120 und den Lösenasen 248 passen. In einer Implementierung ist das Klemmelement 270 dafür ausgelegt, in der den Pfeilen 302 zugeordneten Richtung um die Auslösenasen 248 nach oben bewegt und daraufhin gedreht zu werden, um mit den Auslösenasen in Kontakt zu gelangen und in dem Zwischenraum 250 positioniert zu werden. Außerdem enthält das Entfernungswerkzeug 260 eine Unterlegscheibe 280, die mehrere Gewindeöffnungen 282 zum Aufnehmen von Schrauben 290 aufweist, und eine Öffnung 284, damit die Unterlegscheibe um den Teileheberschaft 118 laufen kann.
  • Nachdem alle Komponenten des Entfernungswerkzeugs 260 beschrieben worden sind, wird nun der Betrieb beschrieben. Die Auslösestifte 262 werden innerhalb der Befestigungslöcher 245 positioniert, wobei der ausgesparte Bereich 264 bei Bedarf innerhalb der Nut 235 positioniert wird. Nachfolgend wird ein Klemmmechanismus so positioniert, dass die Greifarme 278 zwischen den Auslösenasen 248 und der Abschirmung 120 innerhalb der Zwischenräume 250 liegen. Daraufhin wird die Unterlegscheibe 280 in Kontakt mit den Stiften 260 und insbesondere mit dem ersten Ende 262a der Auslösestifte angeordnet. Daraufhin werden Schrauben 290 durch die Gewindeöffnungen 272 und 282 ständig um den Umfang der Unterlegscheibe befestigt, sodass die Unterlegscheibe 280 in der den Pfeilen 302 zugeordneten Richtung durch die Drehbewegung der Schrauben 290 nach oben gezogen wird, um die Schrauben in der den Pfeilen 300 zugeordneten Richtung zu verlagern. Die Bewegung der Unterlegscheibe 280 nach oben erzeugt eine Drehbewegung der Auslösestifte 262 und erteilt in der den Pfeilen 304 zugeordneten Richtung eine Drehbewegung. Die Drehbewegung in der den Pfeilen 304 zugeordneten Richtung erteilt auf die Eingriffsvorsprünge 244 eine Biegekraft in der den Pfeilen 306 zugeordneten Richtung. Dementsprechend erhöht die auf die Eingriffsvorsprünge erteilte Biegekraft 306 den Innenumfang 246 bis zu einem Punkt, an dem die Verriegelungsklemme 242 in der dem Pfeil 308 zugeordneten Richtung bewegt und von dem Teileheber 118 entfernt werden kann. Auf dieselbe Weise kann daraufhin auch die Abschirmung 120 mit oder ohne Abstandshalter 226 entfernt werden. Obgleich die obige Beschreibung bestimmte Reihenfolgen des Betriebs und der Richtungen (nach oben oder unten) verwendet, kann irgendeine geeignete Reihenfolge der Operationen genutzt werden und können die Richtungen umgekehrt werden, falls die Entfernungsoperation mit der Suszeptoranordnung 110 an einer Werkbank und mit dem Teileheber 118 umgekehrt nach oben zeigend ausgeführt wird. Ferner kann der Einbauprozess eine ähnliche Operation erfordern und lediglich in umgekehrter Reihenfolge ausgeführt werden. Außerdem wird angemerkt und gewürdigt werden, dass eine Anzahl anderer Abschirmungsbefestigungsmittel genutzt werden können, ohne von dem Erfindungsgedanken und Schutzumfang der Offenbarung abzuweichen, solange die Abschirmung mit dem Teileheber oder mit anderen geeigneten Reaktorkomponenten verbunden wird.
  • In einigen Anlagen können Ausführungsformen der Strahlungsabschirmungen wie die hier offenbarten potentiell die von einer Heizeinrichtung, die in einem Suszeptor enthalten ist, oder sogar die mit dem von der Heizeinrichtung getrennten Suszeptor verbrauchte Leistung verringern. Zum Beispiel zeigen 8 und 9 beispielhafte Temperaturdaten für eine nicht abgeschirmte Suszeptorheizanordnung (als Daten 702 gezeigt) im Vergleich zu Temperaturdaten für eine Suszeptorheizanordnung, die eine Strahlungsabschirmung in Übereinstimmung mit einer Ausführungsform der vorliegenden Erfindung (als Daten 704 gezeigt) nutzt. In den in 8 und 9 gezeigten Beispielen wird die Heizleistung eingestellt, um die Temperatur des Suszeptors (in 8 als Suszeptortemperatureinstellung 706 gezeigt) auf einen vorher gewählten Wert, z. B. 420°C, zu steuern. Dementsprechend kann ein Wärmeverlust von der Suszeptorheizanordnung veranlassen, dass die von der Heizeinrichtung verbrauchte Leistung und somit die Heizeinrichtungstemperatur notwendig ansteigt. Die in 8 und 9 gezeigten beispielhaften Temperaturdaten wurden in einem auf verschiedene Drucksollwerte (in 8 als Reaktordruckeinstellung 708 gezeigt) zwischen 1,5 und 5 Torr gesteuerten Reaktor erhoben. Wie in 9 gezeigt ist, war die der nicht abgeschirmten Suszeptorheizanordnung entsprechende Heizeinrichtungstemperatur 710 relativ zu der von der abgeschirmten Suszeptorheizanordnung gezeigten Heizeinrichtungstemperatur, wie sie in der Heizeinrichtungstemperatur 712 gezeigt ist, bei 2 Torr näherungsweise 15°C höher und bei 5 Torr näherungsweise 22°C höher. Dementsprechend wird gewürdigt werden, dass die Strahlungsabschirmung in Übereinstimmung mit den offenbarten Ausführungsformen den Heizeinrichtungsleistungsverbrauch verringern kann, was die Heizeinrichtungsnutzungsdauer erhöhen kann, oder für dieselbe Heizeinrichtungstemperatur die endgültige Substrattemperatur erhöhen kann, da mehr Wärme von der Heizeinrichtung in die Suszeptorheizanordnung und in das Substrat gelenkt wird.
  • Ferner können Ausführungsformen der Strahlungsabschirmungen wie die hier offenbarten in einigen Anlagen potentiell die Temperaturgleichförmigkeit innerhalb des Substrats verbessern. Zum Beispiel zeigen 10 und 11 beispielhafte Substrattemperatur-Gleichförmigkeitsdaten für eine nicht abgeschirmte Suszeptorheizanordnung (als Daten 802 gezeigt) im Vergleich zu Substrattemperatur-Ungleichförmigkeitsdaten für eine Suszeptorheizanordnung, die eine Strahlungsabschirmung in Übereinstimmung mit einer Ausführungsform der vorliegenden Offenbarung (als Daten 804 gezeigt) nutzt. In den in 10 gezeigten Beispielen wurde die Heizeinrichtungsleistung so eingestellt, dass die Temperatur des Suszeptors auf einen vorher gewählten Wert von 420°C gesteuert wird, während der Reaktor auf verschiedene Drucksollwerte zwischen 1,5 und 5 Torr gesteuert wurde. Wie in 10 gezeigt ist, war eine der nicht abgeschirmten Suszeptorheizanordnung entsprechende mittlere Substrattemperatur 806 näherungsweise 1°C höher als eine der abgeschirmten Suszeptorheizanordnung entsprechende mittlere Temperatur 808. Ferner war ein der nicht abgeschirmten Suszeptorheizanordnung entsprechender Substrattemperaturbereich 810 näherungsweise 1°C höher als ein der abgeschirmten Suszeptorheizanordnung entsprechender Substrattemperaturbereich 812. Dementsprechend kann die Abschirmung der Suszeptorheizanordnung in einigen Beispielen Temperaturungleichförmigkeiten innerhalb des Substrats verringern. Dies kann potentiell die Substratverarbeitungsqualität verbessern und kann ebenfalls die nachgeschaltete Substratverarbeitungsqualität verbessern. Anhang A zeigt außerdem Ausführungsformen von Strahlungsabschirmungen in Übereinstimmung mit der vorliegenden Offenbarung und diesbezügliche Temperaturdaten.
  • 12 zeigt eine andere Ausführungsform einer Substratstützanordnung 400 mit einer Lagerstützen-Heizeinrichtung 402 und mit einem abnehmbaren Suszeptor 404 mit einem an dem Suszeptor positionierten Wafer 406. Eine Abschirmung 408 fungiert ähnlich der Abschirmung 120 und enthält eine Seitenwand 410 und eine Bodenwand 412, die näherungsweise unter 90 Grad zueinander positioniert sind. Die Abschirmung 408 kann mit einer Klemme 416, die wahlweise in einer Aussparung 418 des Heizeinrichtungsschafts positioniert wird, an einem Lagerstützen-Heizeinrichtungsschaft 414 befestigt werden. Dementsprechend sind die Anordnung, der Betrieb und der Einbau/die Entfernung der Abschirmungen 120 und 408 ähnlich zueinander und bieten ähnliche Vorteile erhöhter Steuerung der Heizeinrichtung, Steuerung der Waferwärmeverteilung, eines verringerten Leistungsverbrauchs und weniger häufiger Reinigungsanforderungen der Kammer.
  • Selbstverständlich kann die hier beschriebene Hardware verwendet werden, wenn Substrate in einer Substratverarbeitungskammer verarbeitet werden. 13 zeigt einen Ablaufplan für eine Ausführungsform eines Verfahrens 1300 zum Verarbeiten eines Substrats in einer Substratverarbeitungskammer. Das Verfahren 1300 kann durch irgendeine geeignete Hardware und Software ausgeführt werden. Es wird gewürdigt werden, dass Abschnitte der in dem Verfahren 1300 beschriebenen Prozesse weggelassen, umgestellt und/oder ergänzt werden können, ohne von dem Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • Bei 1302 enthält das Verfahren 1300 das Stützen eines Substrats auf einer Suszeptorheizanordnung. In einigen Ausführungsformen kann das Verfahren 1300 bei 1304 das Stützen eines Substrats auf einer Suszeptorheizanordnung, die mit einer Strahlungsabschirmung gekoppelt ist, die dafür konfiguriert ist, Wärmestrahlung zu wenigstens zwei Seiten der Suszeptorheizanordnung zu reflektieren, enthalten. Bei 1306 enthält das Verfahren 1300 das Bewegen der Suszeptorheizanordnung aus einer ersten Stellung in eine zweite Stellung. In einigen Ausführungsformen kann das Verfahren 1300 bei 1308 das Bewegen der Suszeptorheizanordnung in der Weise, dass sich eine Strahlungsabschirmung mit der Suszeptorheizanordnung bewegt, enthalten. Bei 1310 enthält das Verfahren 1300 das Verarbeiten des Substrats. Bei 1312 enthält das Verfahren 1300 das Bewegen der Suszeptorheizanordnung aus der zweiten Position in die erste Position.
  • Ausführungsformen des Verfahrens 1300 können durch einen Systemprozesscontroller ausgeführt werden, der ein Datenhalteteilsystem umfasst, das Anweisungen umfasst, die durch ein Logikteilsystem ausgeführt werden können, um die hier beschriebenen Prozesse auszuführen. Es kann irgendein geeigneter Systemprozesscontroller genutzt werden, ohne von dem Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • Zum Beispiel kann ein Systemprozesscontroller (nicht spezifisch gezeigt) zum Steuern der beispielhaften Substratverarbeitungskammer 100 vorgesehen sein. Der Systemprozesscontroller kann Prozessmodulsteuerungs-Teilsysteme wie etwa Gassteuerungsteilsysteme, Drucksteuerungsteilsysteme, Temperatursteuerungsteilsysteme, Teilsysteme der elektrischen Steuerung und Teilsysteme der mechanischen Steuerung betreiben. Solche Steuerungsteilsysteme können verschiedene Signale empfangen, die durch Sensoren, Relais und Controller bereitgestellt werden und die in Ansprechen darauf geeignete Einstellungen vornehmen.
  • Der Systemprozesscontroller umfasst ein Computersystem, das ein Datenhalteteilsystem und ein Logikteilsystem enthält. Das Datenhalteteilsystem kann eine oder mehrere physikalische nichtflüchtige Vorrichtungen enthalten, die dafür konfiguriert sind, Daten und/oder Anweisungen zu halten, die durch das Logikteilsystem ausgeführt werden können, um die hier beschriebenen Verfahren und Prozesse zu implementieren. Das Logikteilsystem kann eine oder mehrere physikalische Vorrichtungen enthalten, die dafür konfiguriert sind, eine oder mehrere in dem Datenhalteteilsystem gespeicherte Anweisungen auszuführen. Das Logikteilsystem kann einen oder mehrere Prozessoren enthalten, die zum Ausführen von Softwareanweisungen konfiguriert sind.
  • In einigen Ausführungsformen können diese Anweisungen die Ausführung von Prozessrezepten steuern. Allgemein enthält ein Prozessrezept eine sequentielle Beschreibung von Prozessparametern, die zum Verarbeiten eines Substrats verwendet werden, wie etwa Parameter, die die Zeit, die Temperatur, den Druck und die Konzentration usw. enthalten, sowie verschiedene Parameter, die elektrische, mechanische und Umgebungsaspekte des Werkzeugs während der Substratverarbeitung beschreiben. Außerdem können die Anweisungen die Ausführung verschiedener Wartungsrezepte steuern, die während Wartungsprozeduren und dergleichen verwendet werden. In einigen Ausführungsformen können diese Anweisungen in computerlesbaren Wechselspeichermedien gespeichert werden, die zum Speichern und/oder Übertragen von Daten und/oder Anweisungen, die ausgeführt werden können, um die hier beschriebenen Verfahren und Prozesse zu implementieren, verwendet werden können. Es wird gewürdigt werden, dass irgendwelche geeigneten computerlesbaren Wechselspeichermedien genutzt werden können, ohne von dem Schutzumfang der vorliegenden Offenbarung abzuweichen. Nicht einschränkende Beispiele enthalten DVDs, CD-ROMs, Disketten und Flash-Laufwerke.
  • Selbstverständlich sind die hier beschriebenen Konfigurationen und/oder Herangehensweisen dem Wesen nach beispielhaft und sollen diese spezifischen Ausführungsformen oder Beispiele nicht in beschränkendem Sinn verstanden werden, da zahlreiche Änderungen möglich sind. Diese hier beschriebenen spezifischen Routinen oder Verfahren können eine oder mehrere irgendeiner Anzahl von Verarbeitungsstrategien repräsentieren. Somit können die verschiedenen dargestellten Tätigkeiten in der dargestellten Abfolge ausgeführt werden, in anderen Abfolgen ausgeführt werden oder in einigen Fällen weggelassen sein.
  • Der Gegenstand der vorliegenden Offenbarung enthält alle neuen und nicht offensichtlichen Kombinationen und Unterkombinationen der verschiedenen Prozesse, Systeme und Konfigurationen und anderer hier offenbarter Merkmale, Funktionen, Tätigkeiten und/oder Eigenschaften sowie alle Entsprechungen davon.

Claims (25)

  1. Reaktionskammer, die umfasst: ein Substratstützelement, das innerhalb der Reaktionskammer positioniert ist; dass die Reaktionskammer ein erstes Gebiet und ein zweites Gebiet aufweist; eine Abschirmung, die innerhalb des zweiten Gebiets positioniert ist und mit dem Substratstützelement bewegt werden kann; und wobei die Abschirmung wenigstens an eine Bodenfläche des Substratstützelements angrenzt.
  2. Reaktionskammer gemäß Anspruch 1, wobei die Abschirmung an eine Seitenwand des Substratstützelements angrenzt.
  3. Reaktionskammer gemäß Anspruch 1, wobei das erste Gebiet ein Substratverarbeitungsgebiet ist und das zweite Gebiet ein Substratbeschickungsgebiet ist.
  4. Reaktionskammer gemäß Anspruch 3, wobei das erste Gebiet in der Reaktionskammer über dem zweiten Gebiet positioniert ist.
  5. Reaktionskammer gemäß Anspruch 1, die ferner eine Trennvorrichtung umfasst, die das erste und das zweite Gebiet wenigstens teilweise trennt.
  6. Reaktionskammer gemäß Anspruch 5, die ferner einen zwischen der Abschirmung und der Trennvorrichtung gebildeten Zwischenraum umfasst.
  7. Reaktionskammer gemäß Anspruch 6, wobei der Zwischenraum zwischen 5 und 10 mm beträgt.
  8. Reaktionskammer gemäß Anspruch 1, wobei die Abschirmung zwischen 5 und 20 mm von dem Substratstützelement beabstandet ist.
  9. Reaktionskammer gemäß Anspruch 1, wobei die Abschirmung ferner ein Bodenelement und ein Seitenwandelement umfasst.
  10. Reaktionskammer gemäß Anspruch 9, wobei das Bodenelement und das Seitenwandelement unter einem Winkel von näherungsweise 90 Grad miteinander verbunden sind.
  11. Reaktionskammer gemäß Anspruch 9, wobei das Bodenelement und das Seitenwandelement unter einem Winkel zwischen näherungsweise 25 und 65 Grad miteinander verbunden sind.
  12. Reaktionskammer gemäß Anspruch 1, wobei die Abschirmung an einem Schaft des Substratstützelements befestigt ist.
  13. Reaktionskammer gemäß Anspruch 1, wobei die Abschirmung durch die Substratstützanordnung erzeugte Wärme zurückhält.
  14. Reaktionskammer gemäß Anspruch 1, wobei die Substratstützanordnung ferner eine Heizeinrichtung umfasst.
  15. Abschirmung zum Verarbeiten eines Substrats, wobei die Abschirmung umfasst: ein Bodenelement, das eine Öffnung aufweist, die einen Substratstützelementschaft umgeben soll; ein Seitenwandelement, das unter einem Winkel von dem Bodenelement nach oben verläuft; wobei das Bodenelement unter dem Substratstützelement positioniert ist und das Seitenwandelement um das Substratstützelement positioniert ist; und wobei sich die Abschirmung mit dem Substratstützelement vertikal bewegt.
  16. Abschirmung zum Verarbeiten eines Substrats gemäß Anspruch 15, wobei die Abschirmung zwischen 5 und 20 mm von dem Substratstützelement beabstandet ist.
  17. Abschirmung zur Verarbeitung eines Substrats gemäß Anspruch 15, wobei das Abschirmungsseitenwandelement eine Reaktionskammerwand nicht berührt.
  18. Abschirmung zur Verarbeitung eines Substrats gemäß Anspruch 15, wobei die Seitenwand ferner eine obere Oberfläche umfasst, die zwischen 5 und 10 mm von einer Reaktionskammeroberfläche beabstandet ist.
  19. Reaktionskammer, die umfasst: ein erstes Gebiet, ein zweites Gebiet und ein drittes Gebiet; wobei das erste Gebiet über dem zweiten und über dem dritten Gebiet positioniert ist und zum Verarbeiten eines Substrats ausgelegt ist; das zweite Gebiet unter dem ersten Gebiet positioniert ist und zum Beschicken des Substrats in der Reaktionskammer ausgelegt ist; das dritte Gebiet zwischen dem ersten Gebiet und dem zweiten Gebiet positioniert ist; und wobei das dritte Gebiet innerhalb des zweiten Gebiets bewegt werden kann.
  20. Reaktionskammer gemäß Anspruch 19, die ferner eine Abschirmung umfasst, die zwischen dem zweiten Gebiet und dem dritten Gebiet eine Sperre definiert.
  21. Reaktionskammer gemäß Anspruch 20, wobei die Abschirmung innerhalb des zweiten Gebiets bewegt werden kann.
  22. Reaktionskammer gemäß Anspruch 21, wobei das Volumen des dritten Gebiets auf der Grundlage einer Stellung des Substratstützelements variiert.
  23. Verfahren zum Erwärmen eines Substrats in einem Verarbeitungsgebiet, wobei das Verfahren umfasst: Bereitstellen einer Abschirmung innerhalb der Verarbeitungskammer unter einem Substratstützelement; Beschicken eines Substrats in einem Verarbeitungsgebiet der Verarbeitungskammer; Aktivieren einer Heizeinrichtung; und Abstrahlen von Wärme von der Abschirmung an das Substratstützelement.
  24. Verfahren gemäß Anspruch 23, das ferner den Schritt des Bewegens des Substratstützelements aus einer Beschickungsstellung in eine Verarbeitungsstellung umfasst.
  25. Verfahren gemäß Anspruch 23, das ferner den Schritt des Überwachens der Temperatur eines Hohlraums zwischen dem Substratstützelement und der Abschirmung umfasst.
DE112012004884.9T 2011-11-23 2012-11-15 Strahlungsabschirmung für einen Substrathalter Pending DE112012004884T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161563428P 2011-11-23 2011-11-23
USUS-61/563,428 2011-11-23
PCT/US2012/065347 WO2013078066A1 (en) 2011-11-23 2012-11-15 Radiation shielding for a substrate holder

Publications (1)

Publication Number Publication Date
DE112012004884T5 true DE112012004884T5 (de) 2014-08-14

Family

ID=47501409

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112012004884.9T Pending DE112012004884T5 (de) 2011-11-23 2012-11-15 Strahlungsabschirmung für einen Substrathalter

Country Status (6)

Country Link
US (1) US9167625B2 (de)
KR (1) KR102021163B1 (de)
CN (1) CN104081513B (de)
DE (1) DE112012004884T5 (de)
TW (1) TWI555109B (de)
WO (1) WO2013078066A1 (de)

Families Citing this family (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
DE102013009925A1 (de) * 2013-06-13 2014-12-18 Centrotherm Photovoltaics Ag Messobjekt, Verfahren zur Herstellung desselben und Vorrichtung zum thermischen Behandeln von Substraten
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
USD725168S1 (en) * 2014-02-04 2015-03-24 Asm Ip Holding B.V. Heater block
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
USD766850S1 (en) * 2014-03-28 2016-09-20 Tokyo Electron Limited Wafer holder for manufacturing semiconductor
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
USD795315S1 (en) * 2014-12-12 2017-08-22 Ebara Corporation Dresser disk
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US20170178758A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Uniform wafer temperature achievement in unsymmetric chamber environment
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
TWI671429B (zh) 2016-07-02 2019-09-11 美商應用材料股份有限公司 在空間ald處理腔室中用以增加沉積均勻性的裝置
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD799646S1 (en) 2016-08-30 2017-10-10 Asm Ip Holding B.V. Heater block
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) * 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP7384784B2 (ja) * 2017-08-11 2023-11-21 アプライド マテリアルズ インコーポレイテッド 熱化学気相堆積(cvd)における均一性を改善するための装置及び方法
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) * 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR102310036B1 (ko) * 2019-08-19 2021-10-07 주식회사 유진테크 기판 지지 조립체 및 기판 처리 장치
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11600470B2 (en) 2019-12-27 2023-03-07 Applied Materials, Inc. Targeted heat control systems
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US20220068674A1 (en) * 2020-08-31 2022-03-03 Applied Materials, Inc. Heater Assembly with Process Gap Control for Batch Processing Chambers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN112144044A (zh) * 2020-09-21 2020-12-29 长江存储科技有限责任公司 薄膜制备装置、薄膜制备装置的控制方法与控制装置
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220059742A (ko) * 2020-11-03 2022-05-10 삼성전자주식회사 온도 조절 부재를 포함하는 반도체 공정 설비
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
USD998112S1 (en) * 2020-12-08 2023-09-05 Bromic Pty Limited Heater
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230130756A1 (en) * 2021-10-22 2023-04-27 Applied Materials, Inc. Bottom cover plate to reduce wafer planar nonuniformity

Family Cites Families (414)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2745640A (en) 1953-09-24 1956-05-15 American Viscose Corp Heat exchanging apparatus
US2990045A (en) 1959-09-18 1961-06-27 Lipe Rollway Corp Thermally responsive transmission for automobile fan
US4393013A (en) 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
US3833492A (en) 1971-09-22 1974-09-03 Pollution Control Ind Inc Method of producing ozone
US3862397A (en) 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US3887790A (en) 1974-10-07 1975-06-03 Vernon H Ferguson Wrap-around electric resistance heater
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4054071A (en) 1975-06-17 1977-10-18 Aetna-Standard Engineering Company Flying saw with movable work shifter
US4194536A (en) 1976-12-09 1980-03-25 Eaton Corporation Composite tubing product
US4181330A (en) 1977-03-22 1980-01-01 Noriatsu Kojima Horn shaped multi-inlet pipe fitting
US4176630A (en) 1977-06-01 1979-12-04 Dynair Limited Automatic control valves
US4145699A (en) 1977-12-07 1979-03-20 Bell Telephone Laboratories, Incorporated Superconducting junctions utilizing a binary semiconductor barrier
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4322592A (en) 1980-08-22 1982-03-30 Rca Corporation Susceptor for heating semiconductor substrates
US4436674A (en) 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4512113A (en) 1982-09-23 1985-04-23 Budinger William D Workpiece holder for polishing operation
US4499354A (en) 1982-10-06 1985-02-12 General Instrument Corp. Susceptor for radiant absorption heater system
US4570328A (en) 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
USD288556S (en) 1984-02-21 1987-03-03 Pace, Incorporated Ornamental design for a frame of circuit elements utilized to replace damaged elements on printed circuit boards
US4735259A (en) 1984-02-21 1988-04-05 Hewlett-Packard Company Heated transfer line for capillary tubing
US4653541A (en) 1985-06-26 1987-03-31 Parker Hannifin Corporation Dual wall safety tube
US4789294A (en) 1985-08-30 1988-12-06 Canon Kabushiki Kaisha Wafer handling apparatus and method
US4722298A (en) 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4882199A (en) 1986-08-15 1989-11-21 Massachusetts Institute Of Technology Method of forming a metal coating on a substrate
US4753192A (en) 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
US4821674A (en) 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4827430A (en) 1987-05-11 1989-05-02 Baxter International Inc. Flow measurement system
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
NO161941C (no) 1987-06-25 1991-04-30 Kvaerner Eng Fremgangsmaate ved og anlegg for transport av hydrokarboner over lang avstand fra en hydrokarbonkilde til havs.
US5062386A (en) 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
US5119760A (en) 1988-12-27 1992-06-09 Symetrix Corporation Methods and apparatus for material deposition
JPH0834187B2 (ja) 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
DE4011933C2 (de) 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
JP3323530B2 (ja) 1991-04-04 2002-09-09 株式会社日立製作所 半導体装置の製造方法
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5199603A (en) 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
IT1257434B (it) 1992-12-04 1996-01-17 Cselt Centro Studi Lab Telecom Generatore di vapori per impianti di deposizione chimica da fase vapore
US5421893A (en) 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US6122036A (en) 1993-10-21 2000-09-19 Nikon Corporation Projection exposure apparatus and method
US5616947A (en) 1994-02-01 1997-04-01 Matsushita Electric Industrial Co., Ltd. Semiconductor device having an MIS structure
US5681779A (en) 1994-02-04 1997-10-28 Lsi Logic Corporation Method of doping metal layers for electromigration resistance
JPH07283149A (ja) 1994-04-04 1995-10-27 Nissin Electric Co Ltd 薄膜気相成長装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
US5518549A (en) 1995-04-18 1996-05-21 Memc Electronic Materials, Inc. Susceptor and baffle therefor
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH08335558A (ja) 1995-06-08 1996-12-17 Nissin Electric Co Ltd 薄膜気相成長装置
NO953217L (no) 1995-08-16 1997-02-17 Aker Eng As Metode og innretning ved rörbunter
US5736314A (en) 1995-11-16 1998-04-07 Microfab Technologies, Inc. Inline thermo-cycler
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5632919A (en) 1996-01-25 1997-05-27 T.G.M., Inc. Temperature controlled insulation system
SE9600705D0 (sv) 1996-02-26 1996-02-26 Abb Research Ltd A susceptor for a device for epitaxially growing objects and such a device
US5837320A (en) 1996-02-27 1998-11-17 The University Of New Mexico Chemical vapor deposition of metal sulfide films from metal thiocarboxylate complexes with monodenate or multidentate ligands
US5732744A (en) 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
US5920798A (en) 1996-05-28 1999-07-06 Matsushita Battery Industrial Co., Ltd. Method of preparing a semiconductor layer for an optical transforming device
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5836483A (en) 1997-02-05 1998-11-17 Aerotech Dental Systems, Inc. Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles
US6367410B1 (en) 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
JP3752578B2 (ja) 1997-04-21 2006-03-08 株式会社フジキン 流体制御器用加熱装置
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6083321A (en) 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6099596A (en) 1997-07-23 2000-08-08 Applied Materials, Inc. Wafer out-of-pocket detection tool
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6248168B1 (en) 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
US6125789A (en) 1998-01-30 2000-10-03 Applied Materials, Inc. Increasing the sensitivity of an in-situ particle monitor
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
JPH11343571A (ja) 1998-05-29 1999-12-14 Ngk Insulators Ltd サセプター
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20010001384A1 (en) 1998-07-29 2001-05-24 Takeshi Arai Silicon epitaxial wafer and production method therefor
USD451893S1 (en) 1998-10-15 2001-12-11 Meto International Gmbh Arrangement of aluminum foil coils forming an inductor of a resonant frequency identification element
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
IT1308606B1 (it) 1999-02-12 2002-01-08 Lpe Spa Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore
US6326597B1 (en) 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
FR2795745B1 (fr) 1999-06-30 2001-08-03 Saint Gobain Vitrage Procede de depot d'une couche a base de tungstene et/ou de molybdene sur un substrat verrier, ceramique ou vitroceramique, et substrat ainsi revetu
US6579833B1 (en) 1999-09-01 2003-06-17 The Board Of Trustees Of The University Of Illinois Process for converting a metal carbide to carbon by etching in halogens
US6410459B2 (en) 1999-09-02 2002-06-25 Micron Technology, Inc. Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing
JP2001077088A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6355153B1 (en) 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6420792B1 (en) 1999-09-24 2002-07-16 Texas Instruments Incorporated Semiconductor wafer edge marking
KR100369324B1 (ko) 1999-12-02 2003-01-24 한국전자통신연구원 평면형 마이크로 공동구조 제조 방법
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
JP2001176952A (ja) 1999-12-21 2001-06-29 Toshiba Mach Co Ltd ウェーハ位置ずれ検出装置
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6598559B1 (en) 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
JP2001342570A (ja) 2000-03-30 2001-12-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP2001345263A (ja) 2000-03-31 2001-12-14 Nikon Corp 露光装置及び露光方法、並びにデバイス製造方法
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
DE10021871A1 (de) 2000-05-05 2001-11-15 Infineon Technologies Ag Verfahren zum Herstellen einer Barriereschicht in einem elektronischen Bauelement und Verfahren zum Herstellen eines elektronischen Bauelements mit einer Barriereschicht
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
KR100467366B1 (ko) 2000-06-30 2005-01-24 주식회사 하이닉스반도체 원자층 증착법을 이용한 지르코늄산화막 형성방법
US6874480B1 (en) 2000-07-03 2005-04-05 Combustion Dynamics Corp. Flow meter
WO2002008487A1 (en) 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7204887B2 (en) 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
JP4156788B2 (ja) 2000-10-23 2008-09-24 日本碍子株式会社 半導体製造装置用サセプター
US6824665B2 (en) 2000-10-25 2004-11-30 Shipley Company, L.L.C. Seed layer deposition
JP2002158178A (ja) 2000-11-21 2002-05-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US20020064592A1 (en) 2000-11-29 2002-05-30 Madhav Datta Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects
EP1351283A4 (de) 2000-12-05 2006-01-25 Tokyo Electron Ltd Verfahren und vorrichtung zum behandeln eines zu behandelnden artikels
US7172497B2 (en) 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US7087482B2 (en) 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US20020108670A1 (en) 2001-02-12 2002-08-15 Baker John Eric High purity chemical container with external level sensor and removable dip tube
JP4487135B2 (ja) 2001-03-05 2010-06-23 東京エレクトロン株式会社 流体制御装置
US6521295B1 (en) 2001-04-17 2003-02-18 Pilkington North America, Inc. Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby
US6482331B2 (en) 2001-04-18 2002-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6677254B2 (en) 2001-07-23 2004-01-13 Applied Materials, Inc. Processes for making a barrier between a dielectric and a conductor and products produced therefrom
WO2003012843A1 (fr) 2001-07-31 2003-02-13 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Procede et appareil de nettoyage et procede et appareil de gravure
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
KR100431658B1 (ko) 2001-10-05 2004-05-17 삼성전자주식회사 기판 가열 장치 및 이를 갖는 장치
WO2003035927A2 (en) 2001-10-26 2003-05-01 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR20030039247A (ko) 2001-11-12 2003-05-17 주성엔지니어링(주) 서셉터
US6926774B2 (en) 2001-11-21 2005-08-09 Applied Materials, Inc. Piezoelectric vaporizer
KR100446619B1 (ko) 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
EP1466034A1 (de) 2002-01-17 2004-10-13 Sundew Technologies, LLC Vorrichtung und verfahren zur abscheidung von atomschichten
US20030141820A1 (en) 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
US6734090B2 (en) 2002-02-20 2004-05-11 International Business Machines Corporation Method of making an edge seal for a semiconductor device
EP1485513A2 (de) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald verfahren und vorrichtung
US6594550B1 (en) 2002-03-29 2003-07-15 Asm America, Inc. Method and system for using a buffer to track robotic movement
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7122844B2 (en) 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US6682973B1 (en) 2002-05-16 2004-01-27 Advanced Micro Devices, Inc. Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
US7195693B2 (en) 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
JP2004014952A (ja) 2002-06-10 2004-01-15 Tokyo Electron Ltd 処理装置および処理方法
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP2005534111A (ja) 2002-07-19 2005-11-10 マイクロリス・コーポレーション 液体流量制御器および精密分注装置およびシステム
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
KR100464855B1 (ko) 2002-07-26 2005-01-06 삼성전자주식회사 박막 형성 방법과, 이를 이용한 커패시터 형성 방법 및트랜지스터 형성 방법
JP4585852B2 (ja) 2002-07-30 2010-11-24 エーエスエム アメリカ インコーポレイテッド 基板処理システム、基板処理方法及び昇華装置
JP4034145B2 (ja) 2002-08-09 2008-01-16 住友大阪セメント株式会社 サセプタ装置
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US20040036129A1 (en) 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
JP2004091848A (ja) 2002-08-30 2004-03-25 Tokyo Electron Ltd 薄膜形成装置の原料ガス供給系および薄膜形成装置
AU2003257112A1 (en) 2002-09-10 2004-04-30 Fsi International, Inc. Thermal process station with heated lid
KR100460841B1 (ko) 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
KR100520902B1 (ko) 2002-11-20 2005-10-12 주식회사 아이피에스 알루미늄 화합물을 이용한 박막증착방법
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7122414B2 (en) 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
US7129165B2 (en) 2003-02-04 2006-10-31 Asm Nutool, Inc. Method and structure to improve reliability of copper interconnects
WO2004070816A1 (ja) 2003-02-06 2004-08-19 Tokyo Electron Limited プラズマ処理方法,半導体基板及びプラズマ処理装置
TWI338323B (en) 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices
US20040168627A1 (en) 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US7091453B2 (en) * 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
JP2004273766A (ja) 2003-03-07 2004-09-30 Watanabe Shoko:Kk 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7033113B2 (en) 2003-05-01 2006-04-25 Shell Oil Company Mid-line connector and method for pipe-in-pipe electrical heating
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2005015609A2 (en) 2003-06-13 2005-02-17 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University Sixsnyge1-x-y and related alloy heterostructures based on si, ge and sn
US7589003B2 (en) 2003-06-13 2009-09-15 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law GeSn alloys and ordered phases with direct tunable bandgaps grown directly on silicon
US7598513B2 (en) 2003-06-13 2009-10-06 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law SixSnyGe1-x-y and related alloy heterostructures based on Si, Ge and Sn
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
KR20050001793A (ko) 2003-06-26 2005-01-07 삼성전자주식회사 단원자층 증착 공정의 실시간 분석 방법
US7547363B2 (en) 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US6909839B2 (en) 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
JP4298421B2 (ja) 2003-07-23 2009-07-22 エスペック株式会社 サーマルプレートおよび試験装置
US7202166B2 (en) 2003-08-04 2007-04-10 Asm America, Inc. Surface preparation prior to deposition on germanium
JP2005072405A (ja) 2003-08-27 2005-03-17 Sony Corp 薄膜の形成方法および半導体装置の製造方法
JP4536662B2 (ja) 2003-09-03 2010-09-01 東京エレクトロン株式会社 ガス処理装置および放熱方法
KR100551138B1 (ko) 2003-09-09 2006-02-10 어댑티브프라즈마테크놀로지 주식회사 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스
US7414281B1 (en) 2003-09-09 2008-08-19 Spansion Llc Flash memory with high-K dielectric material between substrate and gate
KR100938534B1 (ko) 2003-09-19 2010-01-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7205247B2 (en) 2003-09-30 2007-04-17 Aviza Technology, Inc. Atomic layer deposition of hafnium-based high-k dielectric
US6875677B1 (en) 2003-09-30 2005-04-05 Sharp Laboratories Of America, Inc. Method to control the interfacial layer for deposition of high dielectric constant films
KR20060096445A (ko) 2003-10-29 2006-09-11 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US7329947B2 (en) 2003-11-07 2008-02-12 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor substrate
US7071118B2 (en) 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
KR100550641B1 (ko) 2003-11-22 2006-02-09 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법
US7431966B2 (en) 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
US7071051B1 (en) 2004-01-20 2006-07-04 Advanced Micro Devices, Inc. Method for forming a thin, high quality buffer layer in a field effect transistor and related structure
DE102004005385A1 (de) 2004-02-03 2005-10-20 Infineon Technologies Ag Verwendung von gelösten Hafniumalkoxiden bzw. Zirkoniumalkoxiden als Precursoren für Hafniumoxid- und Hafniumoxynitridschichten bzw. Zirkoniumoxid- und Zirkoniumoxynitridschichten
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US20060062910A1 (en) 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US20050214458A1 (en) 2004-03-01 2005-09-29 Meiere Scott H Low zirconium hafnium halide compositions
US20050214457A1 (en) 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
CN1292092C (zh) 2004-04-01 2006-12-27 南昌大学 用于金属有机化学气相沉积设备的双层进气喷头
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
KR100589062B1 (ko) 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
JP4534619B2 (ja) 2004-06-21 2010-09-01 株式会社Sumco 半導体シリコン基板用熱処理治具
KR100578819B1 (ko) 2004-07-15 2006-05-11 삼성전자주식회사 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법
JP2008508710A (ja) 2004-07-30 2008-03-21 エルピーイー ソシエタ ペル アチオニ 位置制御されるサセプタを備えるエピタキシャルリアクター
ITMI20041677A1 (it) 2004-08-30 2004-11-30 E T C Epitaxial Technology Ct Processo di pulitura e processo operativo per un reattore cvd.
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US20060060930A1 (en) 2004-09-17 2006-03-23 Metz Matthew V Atomic layer deposition of high dielectric constant gate dielectrics
DE102005045081B4 (de) 2004-09-29 2011-07-07 Covalent Materials Corp. Suszeptor
US7241475B2 (en) 2004-09-30 2007-07-10 The Aerospace Corporation Method for producing carbon surface films by plasma exposure of a carbide compound
US20060257563A1 (en) 2004-10-13 2006-11-16 Seok-Joo Doh Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique
CN101061578A (zh) 2004-10-19 2007-10-24 佳能安内华股份有限公司 基板支撑·运送用托盘
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
TWI654661B (zh) 2004-11-18 2019-03-21 日商尼康股份有限公司 位置測量方法、位置控制方法、測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法
AU2005309226B2 (en) 2004-11-24 2010-06-03 Oerlikon Solar Ag, Truebbach Vacuum processing chamber for very large area substrates
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
JP2006186271A (ja) 2004-12-28 2006-07-13 Sharp Corp 気相成長装置および成膜済基板の製造方法
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
WO2006078666A2 (en) 2005-01-18 2006-07-27 Asm America, Inc. Reaction system for growing a thin film
US7298009B2 (en) 2005-02-01 2007-11-20 Infineon Technologies Ag Semiconductor method and device with mixed orientation substrate
US6972478B1 (en) 2005-03-07 2005-12-06 Advanced Micro Devices, Inc. Integrated circuit and method for its manufacture
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
JP4694878B2 (ja) * 2005-04-20 2011-06-08 Okiセミコンダクタ株式会社 半導体製造装置および半導体装置の製造方法
US20070155138A1 (en) 2005-05-24 2007-07-05 Pierre Tomasini Apparatus and method for depositing silicon germanium films
WO2006129643A1 (ja) 2005-05-31 2006-12-07 Tokyo Electron Limited プラズマ処理装置およびプラズマ処理方法
US8435905B2 (en) 2005-06-13 2013-05-07 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device, and substrate processing apparatus
JP4753173B2 (ja) 2005-06-17 2011-08-24 株式会社フジキン 流体制御装置
US7575990B2 (en) 2005-07-01 2009-08-18 Macronix International Co., Ltd. Method of forming self-aligned contacts and local interconnects
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7314838B2 (en) 2005-07-21 2008-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a high density dielectric film by chemical vapor deposition
TWI313486B (en) 2005-07-28 2009-08-11 Nuflare Technology Inc Position measurement apparatus and method and writing apparatus and method
US20070028842A1 (en) 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US7718225B2 (en) 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
USD557226S1 (en) 2005-08-25 2007-12-11 Hitachi High-Technologies Corporation Electrode cover for a plasma processing apparatus
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
JP2007088113A (ja) 2005-09-21 2007-04-05 Sony Corp 半導体装置の製造方法
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7691204B2 (en) 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
DE102005051994B4 (de) 2005-10-31 2011-12-01 Globalfoundries Inc. Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
JP4940635B2 (ja) 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
JP4666496B2 (ja) 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
KR101296911B1 (ko) 2005-12-28 2013-08-14 엘지디스플레이 주식회사 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법
TWI284390B (en) 2006-01-10 2007-07-21 Ind Tech Res Inst Manufacturing method of charge store device
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US8673413B2 (en) 2006-01-27 2014-03-18 Tosoh Finechem Corporation Method for packing solid organometallic compound and packed container
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
KR20070093493A (ko) 2006-03-14 2007-09-19 엘지이노텍 주식회사 서셉터 및 반도체 제조장치
JP2007266464A (ja) 2006-03-29 2007-10-11 Hitachi Ltd 半導体集積回路装置の製造方法
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7410852B2 (en) 2006-04-21 2008-08-12 International Business Machines Corporation Opto-thermal annealing methods for forming metal gate and fully silicided gate field effect transistors
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
WO2008004278A1 (fr) 2006-07-04 2008-01-10 Toshiba Mitsubishi-Electric Industrial Systems Corporation Procédé et dispositif de concentration / dilution de gaz spécifique
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
KR100799735B1 (ko) 2006-07-10 2008-02-01 삼성전자주식회사 금속 산화물 형성 방법 및 이를 수행하기 위한 장치
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
KR100753020B1 (ko) 2006-08-30 2007-08-30 한국화학연구원 원자층 증착법을 이용한 비휘발성 부유 게이트 메모리소자를 위한 나노적층체의 제조방법
US20080057659A1 (en) 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US7976898B2 (en) 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7723648B2 (en) 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
USD593969S1 (en) 2006-10-10 2009-06-09 Tokyo Electron Limited Processing chamber for manufacturing semiconductors
US8137462B2 (en) 2006-10-10 2012-03-20 Asm America, Inc. Precursor delivery system
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7776395B2 (en) 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
KR20080046436A (ko) * 2006-11-22 2008-05-27 삼성전자주식회사 베이크 장치
DE102007002962B3 (de) 2007-01-19 2008-07-31 Qimonda Ag Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20080216077A1 (en) 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US7833913B2 (en) 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
US7435987B1 (en) 2007-03-27 2008-10-14 Intel Corporation Forming a type I heterostructure in a group IV semiconductor
KR100829759B1 (ko) 2007-04-04 2008-05-15 삼성에스디아이 주식회사 카바이드 유도 탄소를 이용한 카본나노튜브 혼성체, 이를포함하는 전자 방출원 및 상기 전자 방출원을 구비한 전자방출 소자
US7575968B2 (en) 2007-04-30 2009-08-18 Freescale Semiconductor, Inc. Inverse slope isolation and dual surface orientation integration
JP5103056B2 (ja) 2007-05-15 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100590804C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US20090000550A1 (en) 2007-06-29 2009-01-01 Applied Materials, Inc. Manifold assembly
JP4900110B2 (ja) 2007-07-20 2012-03-21 東京エレクトロン株式会社 薬液気化タンク及び薬液処理システム
US7720560B2 (en) 2007-07-26 2010-05-18 International Business Machines Corporation Semiconductor manufacturing process monitoring
US8004045B2 (en) 2007-07-27 2011-08-23 Panasonic Corporation Semiconductor device and method for producing the same
US8440259B2 (en) 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP4986784B2 (ja) 2007-09-18 2012-07-25 東京エレクトロン株式会社 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体
US20090085156A1 (en) 2007-09-28 2009-04-02 Gilbert Dewey Metal surface treatments for uniformly growing dielectric layers
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090139657A1 (en) 2007-12-04 2009-06-04 Applied Materials, Inc. Etch system
US20090214777A1 (en) 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
KR100968132B1 (ko) 2008-02-29 2010-07-06 (주)얼라이드 테크 파인더즈 안테나 및 이를 구비한 반도체 장치
US8252114B2 (en) 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8110453B2 (en) 2008-04-17 2012-02-07 Applied Materials, Inc. Low temperature thin film transistor process, device property, and device stability improvement
US8076237B2 (en) 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
JP2009295932A (ja) 2008-06-09 2009-12-17 Canon Inc 露光装置及びデバイス製造方法
US8726837B2 (en) 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
KR20100015213A (ko) 2008-08-04 2010-02-12 삼성전기주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
WO2010017136A1 (en) 2008-08-04 2010-02-11 Amir Dassoud Dabiran Microchannel plate photocathode
JP2010087467A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US9711373B2 (en) 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices
USD609655S1 (en) 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
CN103337453B (zh) 2008-10-07 2017-10-24 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
US20110254052A1 (en) 2008-10-15 2011-10-20 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Hybrid Group IV/III-V Semiconductor Structures
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
JP2010153769A (ja) 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
US20100130017A1 (en) 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
WO2010073578A1 (ja) * 2008-12-24 2010-07-01 芝浦メカトロニクス株式会社 プラズマ発生装置及びプラズマ処理装置
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
KR101691044B1 (ko) 2009-02-04 2016-12-29 맷슨 테크놀로지, 인크. 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
JP5221421B2 (ja) 2009-03-10 2013-06-26 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102414794B (zh) 2009-04-21 2015-01-28 应用材料公司 改良膜厚度不均匀性与粒子表现的cvd设备
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
JP5136574B2 (ja) 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5320171B2 (ja) * 2009-06-05 2013-10-23 東京エレクトロン株式会社 基板処理装置
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
USD634719S1 (en) 2009-08-27 2011-03-22 Ebara Corporation Elastic membrane for semiconductor wafer polishing apparatus
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
EP2306497B1 (de) 2009-10-02 2012-06-06 Imec Verfahren zur Herstellung einer Schnittstelle mit wenigen Defekten zwischen einem Dielektrikum und einer III/V-Verbindung
US8465791B2 (en) 2009-10-16 2013-06-18 Msp Corporation Method for counting particles in a gas
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
JP5451324B2 (ja) 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9443753B2 (en) 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US9449858B2 (en) * 2010-08-09 2016-09-20 Applied Materials, Inc. Transparent reflector plate for rapid thermal processing chamber
WO2012061278A1 (en) 2010-11-05 2012-05-10 Synos Technology, Inc. Radical reactor with multiple plasma chambers
JP5573666B2 (ja) 2010-12-28 2014-08-20 東京エレクトロン株式会社 原料供給装置及び成膜装置
JP5820731B2 (ja) 2011-03-22 2015-11-24 株式会社日立国際電気 基板処理装置および固体原料補充方法
JP5203482B2 (ja) 2011-03-28 2013-06-05 株式会社小松製作所 加熱装置
JP5922219B2 (ja) 2011-03-31 2016-05-24 アイメックImec 単結晶スズ含有半導体材料を成長させる方法
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US20120304935A1 (en) 2011-05-31 2012-12-06 Oosterlaken Theodorus G M Bubbler assembly and method for vapor flow control
US8927318B2 (en) 2011-06-14 2015-01-06 International Business Machines Corporation Spalling methods to form multi-junction photovoltaic structure
US9175392B2 (en) 2011-06-17 2015-11-03 Intermolecular, Inc. System for multi-region processing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8927059B2 (en) 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
USD691974S1 (en) 2011-12-22 2013-10-22 Tokyo Electron Limited Holding pad for transferring a wafer
WO2013095651A1 (en) 2011-12-23 2013-06-27 Intel Corporation Non-planar gate all-around device and method of fabrication thereof
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9082684B2 (en) 2012-04-02 2015-07-14 Applied Materials, Inc. Method of epitaxial doped germanium tin alloy formation
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20130330911A1 (en) 2012-06-08 2013-12-12 Yi-Chiau Huang Method of semiconductor film stabilization
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US20140077240A1 (en) 2012-09-17 2014-03-20 Radek Roucka Iv material photonic device on dbr
US8921207B2 (en) 2012-09-24 2014-12-30 Asm Ip Holding B.V., Inc. Tin precursors for vapor deposition and deposition processes
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5960028B2 (ja) 2012-10-31 2016-08-02 東京エレクトロン株式会社 熱処理装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9666702B2 (en) 2013-03-15 2017-05-30 Matthew H. Kim Advanced heterojunction devices and methods of manufacturing advanced heterojunction devices
CN110592554A (zh) 2013-06-26 2019-12-20 应用材料公司 沉积金属合金膜的方法
USD705745S1 (en) 2013-07-08 2014-05-27 Witricity Corporation Printed resonator coil
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
USD716742S1 (en) 2013-09-13 2014-11-04 Asm Ip Holding B.V. Substrate supporter for semiconductor deposition apparatus
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same

Also Published As

Publication number Publication date
US9167625B2 (en) 2015-10-20
TWI555109B (zh) 2016-10-21
WO2013078066A1 (en) 2013-05-30
US20130126515A1 (en) 2013-05-23
KR102021163B1 (ko) 2019-09-11
KR20140098169A (ko) 2014-08-07
TW201338074A (zh) 2013-09-16
CN104081513B (zh) 2017-04-19
CN104081513A (zh) 2014-10-01

Similar Documents

Publication Publication Date Title
DE112012004884T5 (de) Strahlungsabschirmung für einen Substrathalter
DE69830310T2 (de) Multifunktionaler verfahrensraum für cvd-verfahren
DE112008002643B4 (de) Plasmaverarbeitungsvorrichtung
DE60127232T2 (de) Lineare antriebsvorrichtung zur anwendung in einer plasmabehandlungsvorrichtung
DE60022221T2 (de) Apparat für die bearbeitung von halbleitern
DE202011109320U1 (de) Nockenarretierte Gasverteilungselektrode und -Elektrodenanordnung
DE602004007017T2 (de) Spannungsungleichförmigkeits-kompensationsverfahren für einen hochfrequenz-plasmareaktor zur behandlung rechteckiger grossflächiger substrate
DE112014001586B4 (de) Vorrichtung zur Bearbeitung von zwei oder mehreren Substraten in einem Batch-Prozess
DE112008003029T5 (de) Werkstückträger mit Fluidzonen zur Temperatursteuerung
DE102008036642A1 (de) Sprühkopf und CVD-Vorrichtung, welche diesen aufweist
DE112013001929T5 (de) Kantenring für eine Abscheidungskammer
DE202010012763U1 (de) Festgeklemmte monolithische Gasverteilungselektrode
DE202010014257U1 (de) Am Rand festgeklemmte und mechanisch befestigte innere Elektrode einer Gasverteilungselektrodenanordnung
DE112014005368T5 (de) Epitaktische waferzuchtvorrichtung
DE3047441A1 (de) Vorrichtung zum beschichten von mikroplaettchen
WO2010034733A1 (de) Magnetisch auf einem substrathalter gehaltete schattenmaske
EP3312871A1 (de) Aufnahmeeinrichtung zur aufnahme eines substratstapels
DE10259376A1 (de) Halbleiterherstellungsvorrichtung
DE102014103446A1 (de) Hubstift zur Substratverarbeitung
DE112008001482T5 (de) Vorrichtung und Verfahren zur Verbesserung der Behandlungsgleichmäßigkeit in einem Plasmaprozess
DE112004001232B4 (de) Fensteranordnung
DE69933806T2 (de) Vorrichtung zur Wärmebehandlung eines Substrats und Verfahren zur Trennung des Substrats von der Vorrichtung
DE102014019381A1 (de) Systeme und Verfahren zum integrierten Re-Sputtern in einer physikalischen Gasphasenabscheidungs-Kammer
DE102014004728B4 (de) Vorrichtung und Verfahren zum Löten von Fügepartnern
EP3178109B1 (de) Substratträger

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R082 Change of representative

Representative=s name: SCHIWECK WEINZIERL KOCH PATENTANWAELTE PARTNER, DE