KR20140098169A - 기판 홀더를 위한 방사선 실딩 - Google Patents

기판 홀더를 위한 방사선 실딩 Download PDF

Info

Publication number
KR20140098169A
KR20140098169A KR1020147017110A KR20147017110A KR20140098169A KR 20140098169 A KR20140098169 A KR 20140098169A KR 1020147017110 A KR1020147017110 A KR 1020147017110A KR 20147017110 A KR20147017110 A KR 20147017110A KR 20140098169 A KR20140098169 A KR 20140098169A
Authority
KR
South Korea
Prior art keywords
region
shield
substrate
substrate support
support member
Prior art date
Application number
KR1020147017110A
Other languages
English (en)
Other versions
KR102021163B1 (ko
Inventor
에릭 쉬로
마이클 헬핀
제리 윈클러
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20140098169A publication Critical patent/KR20140098169A/ko
Application granted granted Critical
Publication of KR102021163B1 publication Critical patent/KR102021163B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/68Heating arrangements specially adapted for cooking plates or analogous hot-plates

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

반응 챔버는, 반응 챔버 내에 배치된 기판 지지 부재, 제 1 영역 및 제 2 영역, 제 2 챔버 내에 배치되고 상기 기판 지지 부재와 이동가능한 실드를 포함하고, 상기 실드는 적어도 상기 기판 지지 부재의 바닥 표면에 인접한다.

Description

기판 홀더를 위한 방사선 실딩 {RADIATION SHIELDING FOR A SUBSTRATE HOLDER}
관련 출원에 대한 상호 참조
본원은 2011년 11월 23일자로 출원된 U.S. 가출원 61/563,428의 혜택을 주장하며, 그의 개시는 참조에 의해 본원에 포함된다.
기판 프로세싱 도구에서 프로세싱되는 기판을 가열하는 것은 곤란할 수 있다. 기판 가열의 변화는 기판내 온도 변화에 이를 수도 있다. 그러한 기판내 온도 변화는 기판내 프로세싱 불균일성에 이를 수도 있다. 일부 세팅들에서, 그러한 불균일성을 나타내는 기판들은 결함있는 디바이스들을 제조할 수도 있다. 또한, 성막 생성물이 하부 프로세싱 챔버에 성막될 수도 있으며, 이는 반응 챔버에서 감소된 온도에 이르고 따라서 부적절한 가열을 극복하기 위하여 증가된 전력 소비에 이른다. 또한, 챔버에서 성막 생성물의 축적은 조기 챔버 세정 필요 및 증가된 비용에 이를 수 있다.
요약
본 문헌의 양태들은 기판들을 프로세싱하기 위한 반응 챔버들에 관한 것이다. 하나의 양태에서, 반응 챔버는, 반응 챔버 내에 배치된 기판 지지 부재, 제 1 영역 및 제 2 영역, 상기 제 2 영역 내에 배치되고 상기 기판 지지 부재와 이동가능한 실드를 포함하고, 상기 실드는 적어도 상기 기판 지지 부재의 바닥면에 인접한다.
일 구현에서, 실드는 기판 지지 부재의 측벽에 인접할 수도 있다. 제 1 영역은 기판 프로세싱 영역일 수도 있고 제 2 영역은 기판 로딩 영역일 수도 있다. 제 1 영역은 반응 챔버에서 제 2 영역 위에 배치될 수도 있다. 반응 챔버는, 제 1 및 제 2 영역들을 적어도 부분적으로 분리시키는 격리 디바이스를 더 포함할 수도 있다. 반응 챔버는, 실드와 격리 디바이스 사이에 형성된 갭을 더 포함할 수도 있다. 갭은 5 과 10 mm 사이 일 수도 있다. 실드는 5 와 20 mm 사이에서 기판 지지 부재로부터 이격될 수도 있다.
실드는, 바닥 부재와 측벽 부재를 더 포함할 수도 있다. 바닥 부재 및 측벽 부재는, 대략 90 도의 각도로 서로 접속될 수도 있다. 바닥 부재 및 측벽 부재는, 대략 25 와 65 도 사이의 각도로 서로 접속될 수도 있다. 실드는 기판 지지 부재의 축 (shaft) 에 고정될 수도 있다. 실드는 기판 지지 어셈블리에 의해 생성된 열을 보유할 수도 있다. 기판 지지 어셈블리는 히터를 더 포함할 수도 있다.
다른 양태에서, 기판 프로세싱을 위한 실드 (shield) 는, 기판 지지 부재 축을 둘러싸기 위한 애퍼쳐 (aperture) 를 갖는 바닥 부재, 바닥 부재로부터 소정 각도로 상방으로 연장되는 측벽 부재를 더 포함할 수도 있고, 바닥 부재는 기판 지지 부재 아래에 배치되고 측벽 부재는 기판 지지 부재 둘레에 배치되고, 실드는 기판 지지 부재와 수직으로 이동한다.
일 구현에서, 실드는 5 와 20 mm 사이에서 기판 지지 부재로부터 이격될 수도 있다. 실드 측벽 부재는 반응 챔버 벽과의 접촉을 피할 수도 있다. 측벽은 대략 5 와 10 mm 사이에서 반응 챔버 표면으로부터 이격된 상단 표면을 더 포함할 수도 있다.
다른 양태에서, 반응 챔버는 제 1 영역, 제 2 영역, 및 제 3 영역을 포함할 수도 있고, 제 1 영역은 제 2 및 제 3 영역들 위에 배치되고 기판을 프로세싱하도록 구성되고, 제 2 영역은 제 1 영역 아래에 배치되고 반응 챔버에서 기판을 로딩하도록 구성되고, 제 3 영역은 제 1 영역과 제 2 영역 사이에 배치되고, 제 3 영역은 제 2 영역 내에서 이동가능하다.
일 구현에서, 반응 챔버는 제 2 영역과 제 3 영역 사이에 배리어를 정의하는 실드를 더 포함할 수도 있다. 실드는 제 2 영역 내에서 이동가능할 수도 있다. 제 3 영역 체적은 기판 지지 부재의 위치에 기초하여 달라진다.
또 다른 양태에서, 프로세싱 영역에서 기판을 가열하는 방법은, 기판 지지 부재 아래 프로세싱 챔버 내에 실드를 제공하는 단계, 프로세싱 챔버의 프로세싱 영역에서 기판을 로딩하는 단계, 히터를 작동시키는 단계, 및 실드로부터 기판 지지 부재로 열을 방사시키는 단계를 포함한다.
일 구현에서, 그 방법은, 로딩 위치로부터 프로세싱 위치로 기판 지지 부재를 이동시키는 단계를 더 포함할 수도 있다. 그 방법은, 기판 지지 부재와 실드 사이의 캐비티의 온도를 모니터링하는 단계를 더 포함할 수도 있다.
여기에 제시된 개시의 양태들 및 구현들은 이하 도면 및 상세한 설명에서 설명된다. 특별히 언급되지 않으면, 명세서 및 청구항에 있는 단어 및 문구들에는 적용가능한 기술 분야의 당업자에게 평범한, 일상적인 그리고 익숙한 의미가 주어져야 한다는 것이 의도된다. 본 발명자들은, 본 발명자들이 원한다면 자신의 사전 편찬자가 될 수 있다는 것을 충분히 인식한다. 본 발명자들은, 자신의 사전 편찬자로서, 본 발명자들이 명확히 다르게 진술하고 또한, 그 용어의 "특수한" 정의를 명시적으로 제시하고 평범하고 일상적인 의미와 어떻게 다른지를 설명하지 않으면 명세서 및 청구항에서 용어들의 평범하고 일상적인 의미만을 사용하기로 명시적으로 선택한다. "특수한" 정의를 적용하기 위한 그러한 의도의 명확한 진술이 없으면, 본 발명자들의 의도 및 바램은, 용어들의 간단, 평범하고 일상적인 의미가 명세서 및 청구항들의 해석에 적용되야 한다는 것이다.
본 발명자들은 또한 영어 문법의 정상 수칙들을 인식한다. 따라서, 명사, 용어 또는 문구가 어떤 방식으로 특징지어지거나, 명시되거나 또는 한정되도록 의도되면, 그러한 명사, 용어 또는 문구는 명시적으로 추가적인 형용사, 서술적 용어들 또는 다른 수식어들을 영어 문법의 정상 수칙들에 따라 포함할 것이다. 그러한 형용사, 서술적 용어들 또는 수식어들의 사용이 없다면, 그 의도는 그러한 명사, 용어 또는 문구들에는 위에서 제시된 바처럼 적용가능한 기술 분야의 당업자에게 그들의 평범하고 일상적인 영어 의미가 주어져야 한다는 것이다.
이전 및 다른 양태, 특징, 및 이점들은 상세한 설명 및 도면, 그리고 청구항들로부터 기술 분야의 당업자에게 분명해질 것이다.
이하에서, 본 발명의 실시형태들이 첨부된 도면들과 함께 설명될 것이고, 여기서 같은 부호들은 같은 요소들을 표기하고:
도 1은 기판 로딩 위치에서 본 개시의 일 실시형태에 따른 방사선 실드 (radiation shield) 를 포함하는 기판 프로세싱 챔버를 개략적으로 도시한다.
도 2는 기판 프로세싱 위치에서 본 개시의 일 실시형태에 따른 방사선 실드를 포함하는 기판 프로세싱 챔버를 개략적으로 도시한다.
도 3은 도 1에 예시된 방사선 실드의 일부의 저면 사시도를 개략적으로 도시한다.
도 4는 도 1에 예시된 방사선 실드의 일부의 사시 분해도를 개략적으로 도시한다.
도 5는 도 2에서 도 5로 레이블링된 영역의 단면도를 개략적으로 도시한다.
도 6는 도 5에서 도 6으로 레이블링된 영역의 단면도를 개략적으로 도시한다.
도 7은 도 2에서 도 5로 레이블링된 영역의 제거의 단면도와 방사선 실드의 제거를 개략적으로 도시한다.
도 8은 방사선 실드를 갖지 않은 서셉터 히터 어셈블리에 대한 예시적인 온도 데이터를 나타낸다.
도 9는 본 개시의 방사선 실드를 갖는 서셉터 히터 어셈블리에 대한 예시적인 온도 데이터를 나타낸다.
도 10은 방사선 실드를 갖지 않은 웨이퍼 기판에 대한 예시적인 온도 데이터를 나타낸다.
도 11은 방사선 실드를 갖는 웨이퍼 기판에 대한 예시적인 온도 데이터를 나타낸다.
도 12는 본 개시의 제 2 실시형태 방사선 실드의 단면도를 개략적으로 도시한다.
도 13은 본 개시의 일 실시형태에 따라 기판을 프로세싱하는 방법을 위한 플로우차트를 도시한다.
일부 기판 프로세싱 도구들은, 거기에서 반사되는 입사 방사선의 양에 있어서 달라지는 환경들을 포함할 수도 있다. 예를 들면, 다양한 재료, 표면 마감, 표면 코팅들 및/또는 환경 지오메트리들은 기판 프로세싱 도구내에서 반사되는 열 방사선의 양에 영향을 미칠 수도 있고, 이는 불균일 온도 필드 (temperature field) 가 기판 프로세싱 도구에서 프로세싱되는 기판 내에 전개되게 할 수 있다.
예를 들면, 하나 이상의 저항 히터들에 의해 가열되는 서셉터 히터 어셈블리에 의해 지지되는 기판은 기판 프로세싱 도구 내에서 저압 환경에 대한 열 방사를 통해 열을 잃을 수도 있다. 그러한 방사 손실들은, 서셉터 히터 어셈블리의 온도가 증가함에 따라 증가할 수도 있다. 또한, 서셉터 어셈블리와 주위 저압 환경 사이의 영역은 일부 세팅들에서 불균일 수도 있기 때문에, 기판 프로세싱 도구 환경의 방사선 포획 (radiation capture) 특성들이 서셉터 히터 어셈블리로부터 방사선 손실에 영향을 줄 수도 있다. 차례로, 고르지 않은 방사선 포획 환경을 겪은 기판은 기판 내에서 불균일 온도 프로파일을 전개할 수도 있다. 여기서 사용된 바처럼, 방사선 포획은 열 방사선을 포획할 수 있는 물체의 또는 환경의 능력을 지칭한다. 일부 기판 프로세싱 동작들이 온도에 의존할 수도 있기 때문에, 그러한 불균일 온도 프로파일들은 프로세싱된 기판에서 불균일성에 이를 수도 있다. 예를 들면, 필름 성막 프로세스를 겪은 반도체 기판은, 결함들을 생성할 수도 있고 결함있는 반도체 디바이스들에 이를 수도 있는 불균일 온도의 결과로서, 볼록, 오목 또는 편향된 (skewed) 필름 두께를 나타낼 수도 있다.
기판내 온도 필드들에 대한 주위 방사선 포획 환경의 영향을 감소시키기 위한 일부 이전의 접근들은, 프로세싱 도구들의 부분들내에 배치된 고정 방사선 실드들을 채용했다. 하지만, 그러한 고정 실드들은 흔히, 기판 이송 로봇들이 도구 내에서 기판들을 이동시킬 수 있게 하기 위한 갭들을 갖거나, 또는 그것은 그렇지 않으면 불완전 및/또는 비일관적인 방사 포획 환경을 제공하게 된다. 일부 다른 경우들에서는, 그러한 고정 실드들이 불규칙적으로 형성되어, 서셉터 히터 어셈블리와 고정 실드 사이에 불균일 형상 계수 (view factor) 들이 있을 수도 있다.
따라서, 개시된 실시형태들은, 기판 프로세싱 챔버내에서 기판을 지지 및 가열하는데 사용되는 서셉터 히터 어셈블리로부터 방출된 열 및/또는 (적외 방사선의 하나 이상의 파장들을 포함하는) 열 방사선을 반사시키도록 배치된 방사선 실드에 관한 것이다. 예를 들면, 개시된 실시형태들은, 서셉터 히터 어셈블리가 기판 프로세싱 챔버내에서 제 1 위치로부터 제 2 위치로 이동됨에 따라 방사선 실드가 서셉터 히터 어셈블리와 이동하도록 기판 프로세싱 챔버내에서 서셉터 히터 어셈블리를 이동시키는데 사용된 구조에 의해 지지되는 방사선 실드를 제공한다. 다른 예로서, 개시된 실시형태들은, 서셉터 히터 어셈블리에 커플링된 방사선 실드를 제공하고, 여기서 방사선 실드는 서셉터 히터 어셈블리의 적어도 2개의 다른 측들에 서셉터 히터 어셈블리에 의해 방출된 열 및/또는 열 방사선을 반사시키도록 구성된다. 미리결정된 방사선 포획 환경 (일부 실시형태들에서는, 균일한 방사선 포획 환경) 을 유지함으로써, 그러한 방사선 실드들은 기판내 온도 균일성을 증대시킬 수도 있다. 차례로, 기판내 프로세싱 균일성 (예를 들면, 성막 레이트, 식각 레이트 기타 등등) 이 증대될 수도 있고, 이는 가능하게는 기판 프로세싱 챔버에 의해 및/또는 하류 프로세싱 동작들에서 제공된 기판 성막 필름 품질을 증대시킨다. 또한, 일부 예들에서, 서셉터 히터 어셈블리로 반사된 열 및/또는 열 방사선의 양을 증가시키는 것은, 서셉터 히터 어셈블리에 포함된 히터에 의해 소비되는 전력을 감소시킬 수도 있다. 결과적으로, 일부 실시형태들에서, 증대된 히터 제어 및/또는 수명이 실현될 수도 있다. 또한, 챔버 세정 빈도의 감소가 또한 획득될 수도 있다.
도 1은 기판 로딩 (loading)/언로딩 (unloading) 위치에서 반도체 기판들을 프로세싱하기 위한 기판 프로세싱 챔버 (100) 의 일 실시형태의 단면을 개략적으로 도시한다. 일부 실시형태들에서, 기판 프로세싱 챔버 (100) 는 적합한 기판 프로세싱 도구에 포함될 수도 있다. 기판 프로세싱 챔버 (100) 는, 임의의 적한한 프로세스, 예를 들면, 필름 성막, 필름 식각 등을 통해 반도체 기판들을 프로세싱하는데 사용될 수도 있다. 도 1에 도시된 기판 프로세싱 챔버 (100) 의 실시형태들은 단일 챔버를 나타내지만, 임의의 적합한 수의 프로세스 챔버들이 프로세싱 도구에 포함되어, 기판들이 주위 조건들 (ambient conditions) 에 노출됨이 없이 프로세스 챔버들 사이에서 이송될 수도 있다는 것이 인식될 것이다. 예를 들면, 일부 프로세싱 도구들이 단지 하나의 챔버를 포함할 수도 있지만, 다른 프로세싱 도구들은 2 이상의 챔버들을 포함할 수도 있다. 이들 예들에서, 각 반응 챔버는, 단일 영역만을 또는 복수의 영역들을 포함할 수도 있다. 도 1에 도시되지 않았지만, 다양한 로드 록들, 로드 포트 및 기판 이송 처리 로봇들이, 기판 프로세싱 전에, 동안에 그리고 후에 주변 조건들과 기판 프로세싱 챔버 (100) 사이에서 기판들을 이송하는데 사용될 수도 있다.
도 1 및 도 2에 도시된 바처럼, 기판 프로세싱 챔버 (100) 는 상부 반응기 (102) 를 포함하고 그 내부에 반응 구역 또는 프로세싱 영역 (103) 이 형성되고 여기서 기판 프로세싱이 일어난다. 기판 프로세싱 챔버 (100) 는 또한, 기판 이송 동작들이 수행되는 기판 로딩 영역 (105) 과 하부 반응기 (104) 를 포함한다. 도 1은 또한 기판 프로세싱 챔버 (100) 내에 기판을 지지하는데 사용된 이동가능한 페데스탈 (106) 을 도시한다. 도 1에 도시된 실시형태는, 하부 반응기 (104) 내에 하강 위치에 있는 페데스탈 (106) 을 도시한다. 일부 세팅들에서, 페데스탈 (106) 은 기판 프로세싱 챔버 (100) 내로 또는 밖으로 기판 (107) 을 이송하는 부분으로서 하강된 위치에 놓여질 수도 있다.
도 1에 도시된 실시형태에서, 하부 반응기 (104) 는 기판 이송 개구 (108) 를 포함하고, 이를 통하여 기판들은 기판 프로세싱 챔버 (100) 내로 그리고 밖으로 이송된다. 일부 실시형태들에서, 게이트 밸브 (미도시) 가 기판 이송 개구 (108) 에 연결되어, 기판 프로세싱 챔버 (100) 는 반도체 프로세싱 도구의 다른 부분들로부터 격리될 수도 있거나 및/또는 기판 프로세싱 챔버 (100) 는 주위 압력 아래로 (예를 들면 저압 상태로) 펌프 다운될 수도 있다.
도 1에 도시된 예에서, 페데스탈 (106) 은 기판 프로세싱 챔버 (100) 내에서 기판을 지지하기 위한 서셉터 히터 어셈블리 (110) 를 포함한다. 서셉터 히터 어셈블리 (110) 는, 기판 프로세싱 전에, 동안에 및/또는 후에 기판의 온도를 조정하는데 사용되는 히터 어셈블리 (112) 를 포함한다. 일부 실시형태들에서, 히터 어셈블리 (112) 는 저항 플래튼 히터 (resistive platen heater) 를 포함할 수도 있다. 도 1에 도시된 실시형태에서, 히터 어셈블리 (112) 는 베이스 (114) 및 기판 지지부를 포함한다. 일부 실시형태들에서, 베이스 (114) 는, 베이스 (114) 내에 배치될 수도 있는 하나 이상의 저항 가열 요소들 (116) 을 보유하도록 구성된 하나 이상의 채널들을 포함할 수도 있다. 일부 다른 실시형태들에서, 히터 어셈블리 (112) 는, 원피스 히터 (one-piece heater), 함께 융합/용접된 다수의 피스들, 또는 기판 지지체로부터 분리가능한 히터일 수도 있다. 서셉터 히터 어셈블리 (110) 는 엘리베이터 (118) 상에 장착되어, 기판이 상승 및 하강될 수도 있다. 일부 실시형태들에서, 히터 어셈블리 (112) 는 엘리베이터 (118) 에 용접될 수도 있다. 그럼에도 불구하고, 임의의 적합한 가열 배열들이 반응 챔버내에서 이용될 수도 있다.
서셉터 히터 어셈블리 (110) 가, 기판 (107) 을 지지하도록 구성된 선택적인 기판 지지 표면 (111) 을 포함하는 것으로 도 1에 도시되어 있다. 일부 실시형태들에서, 기판 지지 표면 (111) 이 생략되어, 기판 (107) 은, 히터 어셈블리 (112) 안으로 형성된 기판 포켓 (117) 에 의해 지지될 수도 있다. 도 1에 도시된 바처럼, 기판 포켓 (117) 이 히터 어셈블리 (112) 의 기판 지지 표면의 상부 표면 안으로 또는 다르게는 기판 지지체 또는 서셉터의 상부 표면에 형성될 수도 있다. 히터 어셈블리 (112) 가 원피스 히터를 포함하는 일부 다른 실시형태들에서, 기판 포켓이 원피스 히터의 상부 표면 안으로 형성되어, 기판 (107) 은 원피스 히터 직상에 얹혀질 수도 있다.
도 1은 또한 엘리베이터 (118) 을 통하여 서셉터 히터 어셈블리 (110) 에 연결된 방사선 실드 (120) 를 도시한다. 방사선 실드 (120) 는, 서셉터 히터 어셈블리 (110) 로부터 방출된 열 방사선의 적어도 일부를 다시 서셉터 히터 어셈블리 (110) 쪽으로 반사시키도록 구성된다. 일부 실시형태들에서, 방사선 실드 (120) 는, 서셉터 히터 어셈블리 (110) 의 적어도 2개의 상이한 측들에 서셉터 히터 어셈블리 (110) 에 의해 방출된 열 및/또는 열 방사선을 반사시키도록 구성될 수도 있다. 예를 들면, 도 1은, 서셉터 히터 어셈블리 (110) 의 바닥 표면 (122) 및 측 표면 (124) 으로부터 방출된 열 및/또는 열 방사선의 일부를 다시 서셉터 히터 어셈블리 (110) 로 반사시키도록 구성된 방사선 실드 (120) 를 도시한다. 이것은, 히터 어셈블리 (112) 에 의한 전력 소비를 감소시키거나 및/또는 서셉터 히터 어셈블리 (110) 근처 고르지 않은 방사선 포획 및/또는 반사 환경으로부터 발생될 수도 있는 기판내 온도 불균일성을 감소시킬 수도 있다. 또한, 일부 실시형태들에서, 방사선 실드 (120) 는, 방사선 실드 (120) 의 표면들이 히터 어셈블리 (112) 의 적어도 2개의 상이한 측들에 열 및/또는 열 방사선을 반사시키도록 구성될 수도 있다. 예를 들면, 도 1에 도시된 실시형태에서, 방사선 실드 (120) 는, 열 방사선 및/또는 열이 히터 어셈블리 (112) 의 측 및/또는 바닥 표면들로 반사되도록 히터 어셈블리 (112) 를 넘어 연장되는 것으로 도시되어 있다. 불균일성을 형성하는 것이 목표일 수도 있지만, 이 동일 배열은 기판의 프로세싱 동안 요망될 수도 있는 바대로 불균일성을 과장하는데 사용될 수도 있다.
도 1에 도시된 실시형태에서, 방사선 실드 (120) 는, 방사선 실드 (120) 가 서셉터 히터 어셈블리 (110) 로부터 갭 만큼 분리되도록 형상화 및 사이징된다. 방사선 실드 (120) 및 서셉터 히터 어셈블리 (110) 를 이격시키는 것은, 서셉터 히터 어셈블리 (110) 둘레의 고른 방사선 포획 환경을 유지하는 것을 도울 수도 있다. 서셉터 히터 어셈블리 (110) 로부터 방사선 실드 (120) 를 분리시키는 거리는 프로세싱 조건 (예를 들면, 서셉터 히터 어셈블리 온도, 프로세스 압력 등) 에 따라 달라질 수도 있다는 것이 인식될 것이다. 예를 들면, 압력이 증가함에 따라, 열 대류 및/또는 전도 열 전달 프로세스들이 기판 내 온도 필드 (temperature field) 들에 영향을 줄 수도 있다. 방사선 실드 (120) 와 서셉터 히터 어셈블리 (110) 사이 예시적인 이격의 보다 면밀한 도면이 도 2에서 보여질 수도 있고, 이는 기판 프로세싱 챔버 (100) 내의 상승된 위치에 있는 방사선 실드 (120) 의 일 실시형태를 개략적으로 도시한다.
예를 들면, 수직 갭 (126a) 은 바닥 표면 (122) 과 방사선 실드 (120) 사이에 공간을 정의하고 수평 갭 (126b) 은 측 표면 (124) 과 방사선 실드 (120) 사이의 공간을 정의한다. 하나의 구현에서, 수직 갭 (126a) 이 5 와 20 mm 사이에, 바람직하게는 10 과 20mm 사이에 있는 한편, 수평 갭 (126b) 은 5 와 15 mm 사이, 바람직하게는 7 과 12mm 사이에 있다. 하나의 구현에서, 수직 갭 (126a) 이 대략 17.25mm 인 한편, 수평 갭 (126b) 은 대략 9mm 이다. 그럼에도 불구하고, 실드 (120) 는, 본 개시의 사상 및 범위로부터 벗어남이 없이 바닥 표면 (122) 및 측 표면 (124) 으로부터 임의의 적합한 거리에 배치될 수도 있다.
일부 실시형태들에서, 그러한 갭들은 허용가능한 공차 내에서 방사선 실드 (120) 와 서셉터 히터 어셈블리 (110) 사이의 일정한 분리를 정의할 수도 있다. 그러한 일정한 분리는, 균일한 방사선 포획 및/또는 반사 환경을 서셉터 히터 어셈블리 (110) 에 제공할 수도 있으며, 이는 서셉터 히터 어셈블리 (110) 및/또는 그 위에 지지되는 기판 (107) 내의 균일한 온도 프로파일을 초래할 수 있다. 예를 들면, 원형 대칭 기판이 원형 대칭 기판 히터 어셈블리 상에 지지되는 시나리오에서, 서셉터 히터 어셈블리 둘레에 원형 대칭 방사선 포획 및/또는 반사 환경을 형성하기 위하여 방사선 실드를 배치하는 것은, 기판 내에서 원형 대칭 온도 프로파일을 초래할 수도 있다. 차례로, 기판의 중심으로부터 고정 방사상 거리에서 측정된 기판의 온도는 편각 (polar angle) 과는 관계 없을 수도 있다.
일부 실시형태들에서, 방사선 실드 (120) 와 서셉터 히터 어셈블리 (120) 사이의 그러한 분리는 달라질 수도 있다는 것이 이해될 것이다. 예를 들면, 서셉터 히터 어셈블리 (110) 와 방사선 실드 (120) 사이의 분리가 국부적으로 달라져서 서셉터 히터 어셈블리 (110) 및/또는 방사선 실드 (120) 의 방출 변화들을 상쇄시키거나 및/또는 다양한 피팅 (fitting) 들, 센서들 및/또는 다른 하드웨어 특징들을 수용할 수도 있다. 예를 들면, 도 2는, 방사선 실드 (120) 안으로 형성된 모따기된 표면 (128) 을 도시하고, 이는, 서셉터 히터 어셈블리 (110) 가 상승 및 하강될 때 하부 반응기 (104) 내의 다양한 하드웨어 피팅들의 클리어런스 (clearance) 를 도울 수도 있다. 일부 실시형태들에서, 모따기된 표면 (128) 과 서셉터 히터 어셈블리 (110) 사이의 거리는 수직 갭 (126a) 및/또는 수평 갭 (126b) 을 정의하는 거리보다 더 작을 수도 있다.
도 2는 또한, 방사선 실드 (120) 와 상부 반응기 (102) 사이에 형성된 공간 (202) 을 도시한다. 일부 실시형태들에서, 공간 (202) 은 방사선 실드 (120) 로부터 미리선택된 열 방사선 반사도를 제공하는 한편, 또한, 도 2에 나타낸 기판 프로세싱 동안과 같이, 페데스탈이 상승된 위치에 있을 때, 공간 (202) 을 통해 상부 반응기 (102) 와 하부 반응기 (104) 사이에 미리결정된 가스 흐름 컨덕턴스 (gas flow conductance) 를 제공하도록 사이징될 수도 있다. 따라서, 공간 (202) 은, 상부 반응기 (102) 에 방사선 실드 (120) 를 실링 (sealing) 함이 없이, 서셉터 히터 어셈블리 (110) 에 원하는 방사선 포획 및/또는 반사 환경을 제공하도록 사이징될 수도 있다. 이것은, 기판 프로세싱 챔버 (100) 의 다른 부분들 중에서 공간 (202) 을 통해 차동 펌핑 (differential pumping) 을 제공할 수도 있다. 하지만, 일부 실시형태들에서, 방사선 실드 (120) 는 상부 반응기 (102) 에 대해 꼭끼워 맞추어지도록 구성될 수도 있다. 하나의 비제한적인 예에서, 공간 (202) 은 대략 5mm 내지 10mm, 그리고 하나의 구현에서 바람직하게는 8.25mm 일 수도 있다. 특히, 격리 디바이스 (206) 가 실드 (120) 의 외부 표면과 공면으로 배치될 수도 있다. 실드 (120) 의 외부 표면은 측벽 (208) 및 바닥 벽 (210) 을 포함할 수도 있고 이들은 모따기된 표면 (128) 에 의해 접속될 수도 있다. 모따기된 표면 (128) 은 약 25 와 약 65 도 사이의 각도로, 또는 후술되는 바처럼, 모따기된 표면 없이 약 90 도의 각도로, 배치될 수도 있다.
도 1을 참조하면, 서셉터 히터 어셈블리 (110) 는, 서셉터 히터 어셈블리 (110) 가 하부 위치에 있고 리프트 핀들이 서셉터 지지 표면 (111) 의 상단 표면 위로 연장되는 상태로 제 1 위치에 나타나 있다. 리프트 핀들은, 그 리프트 핀들 상에 기판 (107) 을 수취하도록 배열된다. 도 2로 이동하면, 서셉터 히터 어셈블리 (110) 는, 서셉터 지지 표면 (111) 이 상부 챔버 (102) 내에 있고 제 1 영역의 적어도 일부를 형성할 때까지 화살표 (204) 와 연관된 방향에서 상방으로 이동된다. 제 2 영역은 실드 (120) 의 내부 표면에 의해 형성되는 한편, 제 3 영역은 하부 챔버 (104) 에 의해 형성된다. 이 배열에서, 제 2 영역은 제 3 영역 내에 완전히 포함될 수도 있거나, 또는 제 3 영역 내에 부분적으로만 포함될 수도 있다.
일부 실시형태들에서, 방사선 실드 (120) 는, 엘리베이터 (118) 에 의해 지지될 수도 있고 하나 이상의 보유 구조들에 의해 보유될 수도 있다. 일부 실시형태들에서, 그러한 보유 구조들은 적합한 클립들을 포함할 수도 있다. 도 3은 상승된 위치에 있는 페데스탈 (106) 의 일 실시형태를 개략적으로 도시한다.
도 3 및 도 4는 실드 (120) 및 그 실드를 서셉터 히터 어셈블리 (110) 에 고정하기 위한 부착 장치의 예들을 예시한다. 특히, 실드 (120) 는, 실드를 엘리베이터 (118) 에 고정하는 것을 돕도록 배열된 평탄 표면 (214) 을 갖는 중심 애퍼처 (212) 를 포함할 수도 있다. 실드 (120) 는 또한, 복수의 홀들 (216) 을 포함하여 리프트 핀들이 그를 통과하는 것을 허용할 수도 있다. 서셉터 히터 어셈블리 (110) 는 또한, 실드 (120) 에 면해 있는 평탄 수취부를 갖는 상승부 (218) 를 포함할 수도 있다. 정렬 탭 (220) 이, 엘리베이터 (118) 상에 홈 또는 치부 (222) 위에 위치될 수도 있고 이는 차례로 오목부 (224) 위에 있다.
스페이서 (226) 는 실드 (120) 및 서셉터 히터 어셈블리 (110) 의 정렬을 돕는데 사용된다. 스페이서 (226) 는 상단 표면 (228) 과 거기에 오목 표면들 (230) 을 포함할 수도 있다. 스페이서 (226) 는 개구 (232), 및 평탄 표면 (236) 을 갖는 정렬 돌기 (234) 를 포함할 수도 있으며, 양자 모두는 스페이서의 바닥 표면 (238) 으로부터 연장된다. 홈 (235) 이, 정렬 돌기 (234) 의 안쪽으로 방사상으로 배치되고 릴리즈 핀들을 수취하도록 배치될 수도 있는데 이에 대해서는 아래에서 논의될 것이다. 마지막으로, 스페이서는 또한, 엘리베이터 (118) 의 정렬 탭 (220) 과 협동하기 위한 정렬 애퍼처 (240) 를 포함할 수도 있다. 따라서, 스페이서 (226) 는, 엘리베이터 (118) 와, 정렬 애퍼처 (240) 및 정렬 탭 (220) 에서 정렬되고, 이는 차례로 정렬 돌기 (234) 와 정렬된다. 실드 중심 애퍼처 (212) 및 평탄 표면 (214) 이 스페이서 (226) 의 정렬 돌기 (234) 및 평탄 표면 (236) 과 정렬되어, 그에 의해 적절한 동작을 위해 서셉터 히터 어셈블리 (110), 스페이서 (226) 및 실드 (120) 를 배향시킨다.
도 3 및 도 4는, 엘리베이터 (118) 그리고 특히 홈부 (222) 의 외부 표면보다 약간 더 작은 내주 (interior perimeter) 를 함께 정의하는 맞물림 표면 (246) 을 각각 갖고 일반적으로 안쪽으로 연장되는 복수의 맞물림 돌기들 (244) 을 갖는 록킹 클립 (242) 을 예시한다. 록킹 클립 (242) 은 또한, 록킹 클립의 외주 (outer perimeter) 로부터 바깥쪽으로 연장되는 복수의 릴리즈 탭들 (248) 을 포함할 수도 있다. 맞물림 돌기들 (244) 의 각각은, 아래에서 더 자세히 논의될 바처럼 릴리즈 핀들을 수취하기 위한 장착 홀 (245) 을 포함할 수도 있다.
방사선 실드 (120) 는 임의의 적합한 형상을 가질 수도 있다. 예를 들면, 서셉터 히터 어셈블리 (110) 가 원형 형상 기판을 지지하기 위한 원형 프로파일을 갖는 일부 실시형태들에서, 방사선 실드 (120) 는, 균일한 열 방사선 반사 및/또는 흡수 환경을 제공하기 위하여 원형으로 형상화될 수도 있다. 하지만, 일부 실시형태들에서, 방사선 실드 (120) 의 형상이 지오메트리 영향뿐만 아니라 열 전달 고려들에 의해 영향받을 수도 있으므로, 방사선 실드 (120) 는 다른 적합한 형상들, 이를테면 다각형 형상들을 가질 수도 있다는 것이 이해될 것이다.
방사선 실드 (120) 는 임의의 적합한 재료로부터 형성될 수도 있다. 비제한적 예들은 알루미늄, 스테인레스 강 및 티타늄을 포함한다. 또한, 방사선 실드 (120) 는 임의의 적합한 방식으로 형성될 수도 있다는 것이 이해될 것이다. 일부 실시형태들에서, 방사선 실드 (120) 는 금속 스피닝 (metal spinning) 에 의해 형성될 수도 있다. 다른 적합한 제조 기법들은 캐스팅 (casting), 스탬핑 (stamping), 및 터닝 (turning) 을 포함한다. 일부 실시형태들에서, 방사선 실드 (120) 는, 그것이 형성되는 재료의 하나 이상의 방사선 반사도 특성들을 변경시키도록 구성된 적합한 표면 처리들 및/또는 표면 마감들을 포함할 수도 있다. 그러한 처리들 및 마감들은 열 방사선을 국부적으로 (예를 들면, 일부 예들에서, 서셉터 히터 어셈블리 (110) 쪽으로) 또는 전체적으로 반사시키도록 구성될 수도 있다. 예를 들면, 방사선 실드 (120) 는 일부 실시형태들에서 열 방사선을 반사시키도록 구성된 고도로 폴리싱된 표면을 포함할 수도 있다. 추가적으로 또는 대안적으로, 일부 실시형태들에서, 방사선 실드 (120) 는, 적외 방사선의 하나 이상의 파장들을 반사시키도록 구성된 표면 처리들을 포함할 수도 있다. 또한, 일부 실시형태들에서, 방사선 실드 (120) 는 임의의 적합한 기법에 의해 조립될 수도 있다. 예를 들면, 일부 실시형태들에서, 방사선 실드 서브어셈블리 (sub-assembly) 는 함께 용접되거나 또는 함께 제거가능하게 접속될 수도 있다.
도 5 내지 도 7은 실드 (120) 그리고 특히 록킹 클립 (242) 의 설치 및 제거의 여러 동작 도면들을 예시한다. 도 5에 도시된 바처럼, 스페이서 (226) 는, 정렬 탭 (220) 및 정렬 애퍼처 (240) 가 맞물려질 때까지 화살표 (204) 와 연관된 방향에서 이동되어, 스페이서 (226) 는, 실드 중심 애퍼처 (212) 및 평탄 표면 (214) 이 스페이서 바닥 표면 (238) 과 정렬 및 접촉될 때까지 화살표 (204) 와 연관된 방향에서 상방으로 이동된다. 다음으로, 록킹 클립 (242) 은 또한 엘리베이터 (118) 를 따라 상방으로 이동되며, 록킹 클립이 상방으로 이동됨에 따라, 맞물림 돌기들 (244) 이 하방으로 굽어진다. 특히, 맞물림 돌기들 (244) 의 맞물림 표면들 (246) 은, 엘리베이터 (118) 외주보다 직경이 더 작은 내주를 정의하므로, 엘리베이터 (118) 와 맞물림 돌기들 (244) 사이에 마찰성 맞물림이 있고, 이는 맞물림 돌기들 (244) 이 본질적으로 록킹 클립 (242) 의 수직 이동에 의해 상방으로 당겨질 것을 필요로 한다. 록킹 클립 맞물림 돌기들 (244) 및 맞물림 표면들 (246) 이 홈부 (222) 에 접촉할 때, 맞물림 돌기들 (244) 은 홈부 (222) 내에 끼워지고 화살표 (204) 와 연관된 방향에서 상방으로만 이동을 허용하여, 그에 의해 서셉터 히터 어셈블리 (110) 로부터 록킹 클립, 실드 및 스페이서의 언로킹 (unlocking) 또는 분리를 방지한다.
이제, 도 5에서 도 6으로 레이블링된 섹션의 확대 단면도인 도 6을 참조한다. 더 자세히 볼 수 있는 바처럼, 릴리즈 탭 (248) 들은, 릴리즈 탭과 실드 (120) 사이에 형성된 갭 (250) 에 의해 이격된다. 장착 표면 (252) 은 록킹 클립 (242) 의 상단측에 위치되고 실드 (120) 의 바닥벽 (210) 에 접촉되어 실드를 스페이서 그리고 궁극적으로 서셉터 히터 어셈블리 (110) 에 고정한다. 록킹 클립 (242) 은 또한, 릴리즈 탭들 (248) 과 실드 (120) 의 바닥벽 (210) 사이에 갭 (250) 을 제공하는 이격벽 (254) 을 포함할 수도 있다. 유리하게는, 갭 (250) 은, 아래에서 더 자세히 논의될 바처럼 사용자로 하여금 그의/그녀의 손가락들 또는 도구를 갭 (250) 에 위치시킬 수 있게 하여, 록킹 클립 (242), 실드 (120) 및 스페이서 (226) 의 제거를 허용한다.
도 7은, 제 1 단부 (262a) 및 제 2 단부 (262b) 를 갖고, 제 2 단부 (262b) 가 화살표 (306) 와 연관된 방향에서 맞물림 돌기들 (244) 을 바이어스 (bias) 시키도록 장착 홀들 (245) 내에 제거가능하게 배치가능한, 릴리즈 핀들 (262) 을 일반적으로 포함하는 제거 도구 (260) 로 제거되는 록킹 클립 (242), 실드 (120) 및 스페이서 (226) 의 제거를 예시한다. 특히, 제 2 단부 (262b) 는 리세스된 영역 (264) 을 포함하고, 이는 장착 홀들 (245) 내에 끼워지도록 배열되고 필요할 수도 있는 바처럼 홈 (235) 내로 연장될 수도 있다. 제거 도구는 또한, 스레디드 홀들 (274) 이 내부에 있는 플랜지 (272), 이격 부재 (276) 및 반대쪽 플랜지 (272) 에 접속된 그립핑 아암 (278) 을 갖는 복수의 이격 부재들 (276) 을 갖는 클램핑 부재 (270) 를 포함한다. 그립핑 아암 (278) 들은 바람직하게는, 실드 (120) 와 릴리즈 탭들 (248) 사이의 갭 (250) 내에 끼워지도록 사이징 및 형상화된다. 하나의 구현에서, 클램핑 부재 (270) 는, 릴리즈 탭들 (248) 둘레에 화살표 (302) 와 연관된 방향에서 상방으로 이동된 다음에, 회전되어 릴리즈 탭들과 접촉하고 갭 (250) 에 배치되도록 배열된다. 제거 도구 (260) 는 또한, 워셔가 엘리베이터 축 (118) 둘레에서 진행할 수 있도록 애퍼처 (284) 및 볼트들 (290) 을 수취하기 위한 복수의 스레디드 애퍼처 (threaded aperture; 282) 들을 갖는 워셔 (280) 를 포함한다.
제거 도구 (260) 컴포넌트들의 전부를 설명했고, 그 동작이 이제 설명될 것이다. 릴리즈 핀들 (262) 은, 필요한 경우 그루부 (235) 내에 배치된 리세스된 영역 (264) 을 갖는 장착 홀들 (245) 내에 배치된다. 다음으로, 클램핑 기구는, 그립핑 아암들 (278) 이 릴리즈 탭들 (248) 과 실드 (120) 사이 갭들 (250) 내에 있도록 배치된다. 다음으로, 워셔 (280) 는 핀들 (260) 그리고 특히 릴리즈 핀들의 제 1 단부 (262a) 와 접촉하게 위치된다. 다음으로, 볼트들 (290) 이 워셔의 주변 둘레에서 지속적으로 스레드된 애퍼처들 (272 및 282) 을 통해 고정되어, 워셔 (280) 는 화살표 (300) 와 연관된 방향에서 볼트들을 변위시키기 위한 볼트들 (290) 의 회전 운동에 의해 화살표 (302) 와 연관된 방향에서 상방으로 당겨진다. 워셔 (280) 의 상방 이동은 릴리즈 핀들 (262) 의 회전 운동을 형성하고 화살표 (304) 와 연관된 방향으로 회전 운동을 부여한다. 화살표 (304) 와 연관된 방향에서 회전 운동은 화살표 (306) 와 연관된 방향에서 맞물림 돌기들 (244) 에 굽힘력을 부여한다. 따라서, 맞물림 돌기들에 부여된 굽힘력 (306) 은, 록킹 클립 (242) 이 화살표 (308) 와 연관된 방향에서 이동될 수 있고 엘리베이터 (118) 로부터 제거될 수 있는 지점까지 내주 (246) 를 증가시킨다. 같은 방식으로, 실드 (120) 는 또한 다음으로 스페이서 (226) 와 또는 스페이서 (226) 없이 제거될 수도 있다. 위의 설명은 일정한 순서의 동작들 및 방향들 (상방 또는 하방) 을 사용하지만, 임의의 적합한 순서의 동작들이 이용될 수도 있고, 제거 동작이, 벤치 상에 있고 엘리베이터 (118) 가 상방을 지향하는 채로 뒤집어진 서셉터 어셈블리 (110) 에서 수행되는 경우, 그 방향들은 반대가 될 수도 있다. 또한, 설치 프로세스는 유사한 동작을 필요로 할 수도 있고 단지 역순으로 수행될 수도 있다. 또한, 실드가 엘리베이터 또는 다른 적합한 반응기 컴포넌트들에 접속되는 한, 본 개시의 사상 및 범위로부터 벗어남이 없이 많은 다른 실드 부착 수단이 이용될 수도 있다는 것에 주목하고 이해해야 한다.
일부 세팅들에서, 여기에 개시된 것들과 같은 방사선 실드들의 실시형태들은 서셉터에 포함된 히터에 의해, 또는 심지어 서셉터가 히터로부터 분리된 채로, 소비되는 전력을 감소시킬 수도 있다. 예를 들면, 도 8 및 도 9는, 본 개시의 일 실시형태에 따른 방사선 실드를 채용하는 서셉터 히터 어셈블리에 대한 온도 데이터 (데이터 (704) 로 도시됨) 와 비교하여 언실딩된 서셉터 히터 어셈블리에 대한 예시적인 온도 데이터 (데이터 (702) 로 도시됨) 를 도시한다. 도 8 및 도 9에 도시된 예들에서, 히터 전력은, 예를 들어, 420 ℃의 미리선택된 값으로 (도 8에서 서셉터 온도 설정 (706) 으로 도시된) 서셉터의 온도를 제어하기 위해 조정된다. 이에 따라, 서셉터 히터 어셈블리로부터 손실된 열은 히터에 의해 소비된 전력, 그리고 따라서 히터 온도가 필연적으로 증가하는 원인이 될 수도 있다. 도 8 및 도 9에 도시된 예시적인 온도 데이터는, 1.5 와 5 Torr 사이의 (도 8에서 반응기 압력 설정 (708) 으로 도시된) 다양한 압력 설정점들로 제어되는 반응기에서 수집되었다. 도 9 에 도시된 바처럼, 언실딩된 서셉터 히터 어셈블리에 대응하는 히터 온도 (710) 는, 히터 온도 (712) 에서 나타낸 바처럼, 실딩된 서셉터 히터 어셈블리에 의해 나타내어지는 히터 온도에 상대적으로 2 Torr 에서 대략 15 ℃ 더 높고 5 Torr 에서 대략 22 ℃ 더 높았다. 따라서, 개시된 실시형태들에 따른 방사선 실딩은 히터 전력 소비를 감소시킬 수도 있고, 이는 히터 수명 (service life) 을 증가시키거나, 또는 동일한 히터 온도에 대해 궁극적인 기판 온도를 증가시킬 수도 있는데, 왜냐하면 히터로부터 더 많은 열이 서셉터 히터 어셈블리 및 기판으로 지향되기 때문이라는 것이 이해될 것이다.
또한, 일부 세팅들에서, 여기에 개시된 것들과 같은 방사선 실드들의 실시형태들은 기판내 온도 균일성을 증대시킬 수도 있다. 예를 들면, 도 10 및 도 11은, 본 개시의 일 실시형태에 따른 방사선 실드를 채용하는 서셉터 히터 어셈블리에 대한 기판 온도 균일성 데이터 (데이터 (804) 로 도시됨) 와 비교하여 언실딩된 서셉터 히터 어셈블리에 대한 예시적인 기판 온도 균일성 데이터 (데이터 (802) 로 도시됨) 를 도시한다. 도 10에 도시된 예들에서, 반응기가 1.5 와 5 Torr 사이의 다양한 압력 설정점들로 제어되는 동안, 히터 전력은, 420 ℃의 미리선택된 값으로 서셉터의 온도를 제어하기 위해 조정된다. 도 10 에 도시된 바처럼, 언실딩된 서셉터 히터 어셈블리에 대응하는 평균 기판 온도 (806) 는, 실딩된 서셉터 히터 어셈블리에 대응하는 평균 온도 (808) 보다 대략 1 ℃ 더 높았다. 또한, 언실딩된 서셉터 히터 어셈블리에 대응하는 기판 온도 범위 (810) 는, 실딩된 서셉터 히터 어셈블리에 대응하는 기판 온도 범위 (812) 보다 대략 1 ℃ 더 높았다. 따라서, 서셉터 히터 어셈블리를 실딩하는 것은, 일부 예들에서, 기판내 온도 불균일성을 감소시킬 수도 있다. 이것은 가능하게는, 기판 프로세싱 품질을 향상시킬 수도 있고, 하류 (downstream) 기판 프로세싱 품질도 향상시킬 수도 있다. 부록 A 는 또한 본 개시에 따른 방사선 실드들의 실시형태들 및 그에 관련된 온도 데이터를 나타낸다.
도 12는 페데스탈 히터 (402) 및 분리가능한 서셉터 (404) 그리고 그 서셉터 상에 배치된 웨이퍼 (406) 를 갖는 기판 지지 어셈블리 (400) 의 다른 실시형태를 예시한다. 실드 (408) 는 실드 (120) 와 유사하게 기능하고, 서로에 대해 대략 90 도로 배치된 측벽 (410) 및 바닥벽 (412) 을 포함한다. 실드 (408) 는 페데스탈 히터 축 (414) 에 고정되며 클립 (416) 이 선택적으로 히터 축의 리세스 (418) 에 위치될 수도 있다. 따라서, 실드들 (120 및 408) 의 배열, 동작 및 설치/제거는 서로 유사하고, 증가된 히터 제어, 웨이퍼 열 분산 제어, 감소된 전력 소비, 그리고 덜 빈번한 챔버 세정 요구들의 유사한 혜택들을 제공한다.
여기에 기재된 하드웨어는, 기판 프로세싱 챔버에서 기판들을 프로세싱할 때 사용될 수도 있다는 것이 이해될 것이다. 도 13은 기판 프로세싱 챔버에서 기판을 프로세싱하기 위한 방법 (1300) 의 일 실시형태를 위한 플로우차트를 도시한다. 방법 (1300) 은 임의의 적합한 하드웨어 및 소프트웨어에 의해 수행될 수도 있다. 방법 (1300) 에 기재된 프로세스들의 부분들은 본 개시의 범위를 이탈함이 없이 생략되거나, 순서를 바꾸거나, 및/또는 보충될 수도 있다는 것이 인식될 것이다.
1302에서, 방법 (1300) 은 서셉터 히터 어셈블리 상에 기판을 지지하는 단계를 포함한다. 일부 실시형태들에서, 방법 (1300) 은, 1304 에서, 서셉터 히터 어셈블리의 적어도 2개의 측들에 열 방사선을 반사시키도록 구성된 방사선 실드에 연결된 서셉터 히터 어셈블리 상에 기판을 지지하는 단계를 포함할 수도 있다. 1306에서, 방법 (1300) 은, 제 1 위치로부터 제 2 위치로 서셉터 히터 어셈블리를 이동시키는 단계를 포함한다. 일부 실시형태들에서, 방법 (1300) 은, 1308 에서, 방사선 실드가 서셉터 히터 어셈블리와 이동하도록 서셉터 히터 어셈블리를 이동시키는 단계를 포함할 수도 있다. 1310에서, 방법 (1300) 은 기판을 프로세싱하는 단계를 포함한다. 1312에서, 방법 (1300) 은, 제 2 위치로부터 제 1 위치로 서셉터 히터 어셈블리를 이동시키는 단계를 포함한다.
방법 (1300) 의 실시형태들은, 여기에 기재된 프로세스들을 수행하기 위하여 로직 서브시스템에 의해 실행가능한 명령들을 포함하는 데이터 유지 서브시스템을 포함하는 시스템 프로세스 제어기에 의해 수행될 수도 있다. 임의의 적합한 시스템 프로세스 제어기는 본 개시의 범위를 이탈함이 없이 채용될 수도 있다.
예를 들면, 시스템 프로세스 제어기 (구체적으로 도시되지 않음) 는 예시적인 기판 프로세싱 챔버 (100) 를 제어하기 위해 제공될 수도 있다. 시스템 프로세스 제어기는 프로세스 모듈 제어 서브시스템들, 이를테면 가스 제어 서브시스템들, 압력 제어 서브시스템들, 온도 제어 서브시스템들, 전기 제어 서브시스템들 및 기계적 제어 서브시스템들을 동작시킬 수도 있다. 그러한 제어 서브시스템들은 센서들, 릴레이들 및 제어기들에 의해 제공된 다양한 신호들을 수신하고 응답하여 적합한 조정을 행할 수도 있다.
시스템 프로세스 제어기는, 데이터 유지 서브시스템 및 로직 서브시스템을 포함하는 컴퓨팅 시스템을 포함한다. 데이터 유지 서브시스템은 여기에 기재된 방법들 및 프로세스들을 구현하기 위하여 로직 서브시스템에 의해 실행가능한 명령들 및/또는 데이터를 유지하도록 구성된 하나 이상의 물리적, 비일시적 디바이스들을 포함할 수도 있다. 로직 서브시스템은, 데이터 유지 서브시스템에 저장된 하나 이상의 명령들을 실행하도록 구성된 하나 이상의 물리적 디바이스들을 포함할 수도 있다. 로직 서브시스템은 소프트웨어 명령들을 실행하도록 구성된 하나 이상의 프로세서들을 포함할 수도 있다.
일부 실시형태들에서, 그러한 명령들은 프로세스 레시피 (process recipe) 의 실행을 제어할 수도 있다. 일반적으로, 프로세스 레시피는, 시간, 온도, 압력 및 농도 등을 포함하는, 기판을 프로세싱하는데 사용되는 프로세스 파라미터들 및 기판 프로세싱 동안 도구의 전기적, 기계적 그리고 환경적 양태들을 기술하는 다양한 파라미터들의 순차적 설명을 포함한다. 그 명령들은 또한 유지 보수 절차 (maintenance procedure) 등 동안 사용되는 다양한 유지 보수 레시피들의 실행을 제어할 수도 있다. 일부 실시형태들에서, 그러한 명령들은 착탈식 컴퓨터 판독가능 저장 매체 상에 저장될 수도 있고, 이는 여기에 기재된 방법들 및 프로세스들을 구현하기 위하여 실행가능한 명령들 및/또는 데이터를 저장 및/또는 전송하는데 사용될 수도 있다. 임의의 적합한 착탈식 컴퓨터 판독가능 저장 매체는 본 개시의 범위를 이탈함이 없이 채용될 수도 있다는 것이 인식될 것이다. 비제한적 예들은 DVD, CD-ROM, 플로피 디스크, 및 플래시 드라이브들을 포함한다.
다수의 변형들이 가능하기 때문에, 여기에 기재된 구성들 및/또는 접근법들은 성질상 예시적이고, 이들 특정 실시형태들 또는 예들은 제한적인 의미로 고려되지 않아야 한다고 이해되야 한다. 여기에 기재된 특정 루틴 또는 방법들은 임의의 수의 프로세싱 전략들 중 하나 이상을 나타낼 수도 있다. 따라서, 예시된 다양한 행위들은 예시된 순서로 수행되거나, 다른 순서로 수행되거나, 또는 일부 경우들에서 생략될 수도 있다.
본 개시의 요지는 다양한 프로세스들, 시스템들 및 구성들의 모든 신규하고 비자명한 조합들 및 부조합, 그리고 여기에 개시된 다른 특징들, 기능들, 행위들 및/또는 특성들, 그리고 그의 임의의 그리고 모든 균등물들을 포함한다.

Claims (25)

  1. 반응 챔버로서,
    상기 반응 챔버 내에 배치된 기판 지지 부재;
    제 1 영역 및 제 2 영역;
    상기 제 2 영역 내에 배치되고 상기 기판 지지 부재와 이동가능한 실드를 포함하고,
    상기 실드는 적어도 상기 기판 지지 부재의 바닥 표면에 인접한, 반응 챔버.
  2. 제 1 항에 있어서,
    상기 실드는 상기 기판 지지 부재의 측벽에 인접한, 반응 챔버.
  3. 제 1 항에 있어서,
    상기 제 1 영역은 기판 프로세싱 영역이고 상기 제 2 영역은 기판 로딩 영역인, 반응 챔버.
  4. 제 3 항에 있어서,
    상기 제 1 영역은 상기 반응 챔버에서 상기 제 2 영역 위에 배치되는, 반응 챔버.
  5. 제 1 항에 있어서,
    상기 제 1 영역 및 상기 제 2 영역을 적어도 부분적으로 분리시키는 격리 디바이스를 더 포함하는, 반응 챔버.
  6. 제 5 항에 있어서,
    상기 실드와 상기 격리 디바이스 사이에 형성된 갭을 더 포함하는, 반응 챔버.
  7. 제 6 항에 있어서,
    상기 갭은 5 와 10 mm 사이인, 반응 챔버.
  8. 제 1 항에 있어서,
    상기 실드는 5 와 20 mm 사이에서 상기 기판 지지 부재로부터 이격되는, 반응 챔버.
  9. 제 1 항에 있어서,
    상기 실드는, 바닥 부재와 측벽 부재를 더 포함하는, 반응 챔버.
  10. 제 9 항에 있어서,
    상기 바닥 부재 및 상기 측벽 부재는, 대략 90 도의 각도로 서로 접속되는, 반응 챔버.
  11. 제 9 항에 있어서,
    상기 바닥 부재 및 상기 측벽 부재는, 대략 25 와 65 도 사이의 각도로 서로 접속되는, 반응 챔버.
  12. 제 1 항에 있어서,
    상기 실드는 상기 기판 지지 부재의 축에 고정되는, 반응 챔버.
  13. 제 1 항에 있어서,
    상기 실드는 기판 지지 어셈블리에 의해 생성된 열을 보유하는, 반응 챔버.
  14. 제 1 항에 있어서,
    기판 지지 어셈블리는 히터를 더 포함하는, 반응 챔버.
  15. 기판을 프로세싱하기 위한 실드로서,
    기판 지지 부재 축을 둘러싸기 위한 애퍼처를 갖는 바닥 부재;
    상기 바닥 부재로부터 소정 각도로 상방으로 연장되는 측벽 부재를 포함하고,
    상기 바닥 부재는 상기 기판 지지 부재 아래에 배치되고 상기 측벽 부재는 상기 기판 지지 부재 둘레에 배치되고;
    상기 실드는 상기 기판 지지 부재와 수직으로 이동하는, 기판을 프로세싱하기 위한 실드.
  16. 제 15 항에 있어서,
    상기 실드는 5 와 20 mm 사이에서 상기 기판 지지 부재로부터 이격되는, 기판을 프로세싱하기 위한 실드.
  17. 제 15 항에 있어서,
    실드 측벽 부재는 반응 챔버 벽에 접촉하지 않는, 기판을 프로세싱하기 위한 실드.
  18. 제 15 항에 있어서,
    상기 측벽은 5 와 10 mm 사이에서 반응 챔버 표면으로부터 이격된 상단 표면을 더 포함하는, 기판을 프로세싱하기 위한 실드.
  19. 반응 챔버로서,
    제 1 영역, 제 2 영역, 및 제 3 영역을 포함하고,
    상기 제 1 영역은 상기 제 2 영역과 상기 제 3 영역 위에 배치되고 기판을 프로세싱하도록 구성되고,
    상기 제 2 영역은 상기 제 1 영역 아래에 배치되고 상기 반응 챔버에서 상기 기판을 로딩하도록 구성되고,
    상기 제 3 영역은 상기 제 1 영역과 상기 제 2 영역 사이에 배치되고,
    상기 제 3 영역은 상기 제 2 영역 내에서 이동가능한, 반응 챔버.
  20. 제 19 항에 있어서,
    상기 제 2 영역과 상기 제 3 영역 사이에 배리어를 정의하는 실드를 더 포함하는, 반응 챔버.
  21. 제 20 항에 있어서,
    상기 실드는 상기 제 2 영역 내에서 이동가능한, 반응 챔버.
  22. 제 21 항에 있어서,
    제 3 영역 체적은 기판 지지 부재의 위치에 기초하여 달라지는, 반응 챔버.
  23. 프로세싱 영역에서 기판을 가열하는 방법으로서,
    기판 지지 부재 아래의 프로세싱 챔버 내에 실드를 제공하는 단계;
    상기 프로세싱 챔버의 프로세싱 영역에 기판을 로딩하는 단계;
    히터를 작동시키는 단계; 및,
    상기 실드로부터 상기 기판 지지 부재로 열을 방사시키는 단계를 포함하는, 기판을 가열하는 방법.
  24. 제 23 항에 있어서,
    로딩 위치로부터 프로세싱 위치로 상기 기판 지지 부재를 이동시키는 단계를 더 포함하는, 기판을 가열하는 방법.
  25. 제 23 항에 있어서,
    상기 기판 지지 부재와 상기 실드 사이의 캐비티의 온도를 모니터링하는 단계를 더 포함하는, 기판을 가열하는 방법.
KR1020147017110A 2011-11-23 2012-11-15 기판 홀더를 위한 방사선 실딩 KR102021163B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161563428P 2011-11-23 2011-11-23
US61/563,428 2011-11-23
PCT/US2012/065347 WO2013078066A1 (en) 2011-11-23 2012-11-15 Radiation shielding for a substrate holder

Publications (2)

Publication Number Publication Date
KR20140098169A true KR20140098169A (ko) 2014-08-07
KR102021163B1 KR102021163B1 (ko) 2019-09-11

Family

ID=47501409

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147017110A KR102021163B1 (ko) 2011-11-23 2012-11-15 기판 홀더를 위한 방사선 실딩

Country Status (6)

Country Link
US (1) US9167625B2 (ko)
KR (1) KR102021163B1 (ko)
CN (1) CN104081513B (ko)
DE (1) DE112012004884T5 (ko)
TW (1) TWI555109B (ko)
WO (1) WO2013078066A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200045067A (ko) * 2018-10-19 2020-05-04 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법

Families Citing this family (362)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
DE102013009925A1 (de) * 2013-06-13 2014-12-18 Centrotherm Photovoltaics Ag Messobjekt, Verfahren zur Herstellung desselben und Vorrichtung zum thermischen Behandeln von Substraten
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
USD725168S1 (en) * 2014-02-04 2015-03-24 Asm Ip Holding B.V. Heater block
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
USD766850S1 (en) * 2014-03-28 2016-09-20 Tokyo Electron Limited Wafer holder for manufacturing semiconductor
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
USD795315S1 (en) * 2014-12-12 2017-08-22 Ebara Corporation Dresser disk
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US20170178758A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Uniform wafer temperature achievement in unsymmetric chamber environment
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
TWI671429B (zh) 2016-07-02 2019-09-11 美商應用材料股份有限公司 在空間ald處理腔室中用以增加沉積均勻性的裝置
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD799646S1 (en) 2016-08-30 2017-10-10 Asm Ip Holding B.V. Heater block
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) * 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP7384784B2 (ja) * 2017-08-11 2023-11-21 アプライド マテリアルズ インコーポレイテッド 熱化学気相堆積(cvd)における均一性を改善するための装置及び方法
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR102310036B1 (ko) * 2019-08-19 2021-10-07 주식회사 유진테크 기판 지지 조립체 및 기판 처리 장치
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11600470B2 (en) 2019-12-27 2023-03-07 Applied Materials, Inc. Targeted heat control systems
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US20220068674A1 (en) * 2020-08-31 2022-03-03 Applied Materials, Inc. Heater Assembly with Process Gap Control for Batch Processing Chambers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN112144044A (zh) * 2020-09-21 2020-12-29 长江存储科技有限责任公司 薄膜制备装置、薄膜制备装置的控制方法与控制装置
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220059742A (ko) * 2020-11-03 2022-05-10 삼성전자주식회사 온도 조절 부재를 포함하는 반도체 공정 설비
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
USD998112S1 (en) * 2020-12-08 2023-09-05 Bromic Pty Limited Heater
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230130756A1 (en) * 2021-10-22 2023-04-27 Applied Materials, Inc. Bottom cover plate to reduce wafer planar nonuniformity

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US20060240574A1 (en) * 2005-04-20 2006-10-26 Toru Yoshie Method for manufacturing semiconductor device
US20100279008A1 (en) * 2007-09-12 2010-11-04 Tokyo Electron Limited Film deposition apparatus and film deposition method

Family Cites Families (411)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2745640A (en) 1953-09-24 1956-05-15 American Viscose Corp Heat exchanging apparatus
US2990045A (en) 1959-09-18 1961-06-27 Lipe Rollway Corp Thermally responsive transmission for automobile fan
US4393013A (en) 1970-05-20 1983-07-12 J. C. Schumacher Company Vapor mass flow control system
US3833492A (en) 1971-09-22 1974-09-03 Pollution Control Ind Inc Method of producing ozone
US3862397A (en) 1972-03-24 1975-01-21 Applied Materials Tech Cool wall radiantly heated reactor
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US3887790A (en) 1974-10-07 1975-06-03 Vernon H Ferguson Wrap-around electric resistance heater
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4054071A (en) 1975-06-17 1977-10-18 Aetna-Standard Engineering Company Flying saw with movable work shifter
US4194536A (en) 1976-12-09 1980-03-25 Eaton Corporation Composite tubing product
US4181330A (en) 1977-03-22 1980-01-01 Noriatsu Kojima Horn shaped multi-inlet pipe fitting
US4176630A (en) 1977-06-01 1979-12-04 Dynair Limited Automatic control valves
US4145699A (en) 1977-12-07 1979-03-20 Bell Telephone Laboratories, Incorporated Superconducting junctions utilizing a binary semiconductor barrier
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4322592A (en) 1980-08-22 1982-03-30 Rca Corporation Susceptor for heating semiconductor substrates
US4436674A (en) 1981-07-30 1984-03-13 J.C. Schumacher Co. Vapor mass flow control system
US4512113A (en) 1982-09-23 1985-04-23 Budinger William D Workpiece holder for polishing operation
US4499354A (en) 1982-10-06 1985-02-12 General Instrument Corp. Susceptor for radiant absorption heater system
US4570328A (en) 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
USD288556S (en) 1984-02-21 1987-03-03 Pace, Incorporated Ornamental design for a frame of circuit elements utilized to replace damaged elements on printed circuit boards
US4735259A (en) 1984-02-21 1988-04-05 Hewlett-Packard Company Heated transfer line for capillary tubing
US4653541A (en) 1985-06-26 1987-03-31 Parker Hannifin Corporation Dual wall safety tube
US4789294A (en) 1985-08-30 1988-12-06 Canon Kabushiki Kaisha Wafer handling apparatus and method
US4722298A (en) 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4882199A (en) 1986-08-15 1989-11-21 Massachusetts Institute Of Technology Method of forming a metal coating on a substrate
US4753192A (en) 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
US4821674A (en) 1987-03-31 1989-04-18 Deboer Wiebe B Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4827430A (en) 1987-05-11 1989-05-02 Baxter International Inc. Flow measurement system
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
NO161941C (no) 1987-06-25 1991-04-30 Kvaerner Eng Fremgangsmaate ved og anlegg for transport av hydrokarboner over lang avstand fra en hydrokarbonkilde til havs.
US5062386A (en) 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
US5119760A (en) 1988-12-27 1992-06-09 Symetrix Corporation Methods and apparatus for material deposition
JPH0834187B2 (ja) 1989-01-13 1996-03-29 東芝セラミックス株式会社 サセプタ
DE4011933C2 (de) 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
JP3323530B2 (ja) 1991-04-04 2002-09-09 株式会社日立製作所 半導体装置の製造方法
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5199603A (en) 1991-11-26 1993-04-06 Prescott Norman F Delivery system for organometallic compounds
US5326427A (en) 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
IT1257434B (it) 1992-12-04 1996-01-17 Cselt Centro Studi Lab Telecom Generatore di vapori per impianti di deposizione chimica da fase vapore
US5421893A (en) 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US6122036A (en) 1993-10-21 2000-09-19 Nikon Corporation Projection exposure apparatus and method
US5616947A (en) 1994-02-01 1997-04-01 Matsushita Electric Industrial Co., Ltd. Semiconductor device having an MIS structure
US5681779A (en) 1994-02-04 1997-10-28 Lsi Logic Corporation Method of doping metal layers for electromigration resistance
JPH07283149A (ja) 1994-04-04 1995-10-27 Nissin Electric Co Ltd 薄膜気相成長装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
US5518549A (en) 1995-04-18 1996-05-21 Memc Electronic Materials, Inc. Susceptor and baffle therefor
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH08335558A (ja) 1995-06-08 1996-12-17 Nissin Electric Co Ltd 薄膜気相成長装置
NO953217L (no) 1995-08-16 1997-02-17 Aker Eng As Metode og innretning ved rörbunter
US5736314A (en) 1995-11-16 1998-04-07 Microfab Technologies, Inc. Inline thermo-cycler
US5796074A (en) 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US5632919A (en) 1996-01-25 1997-05-27 T.G.M., Inc. Temperature controlled insulation system
SE9600705D0 (sv) 1996-02-26 1996-02-26 Abb Research Ltd A susceptor for a device for epitaxially growing objects and such a device
US5837320A (en) 1996-02-27 1998-11-17 The University Of New Mexico Chemical vapor deposition of metal sulfide films from metal thiocarboxylate complexes with monodenate or multidentate ligands
US5732744A (en) 1996-03-08 1998-03-31 Control Systems, Inc. Method and apparatus for aligning and supporting semiconductor process gas delivery and regulation components
US5920798A (en) 1996-05-28 1999-07-06 Matsushita Battery Industrial Co., Ltd. Method of preparing a semiconductor layer for an optical transforming device
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5836483A (en) 1997-02-05 1998-11-17 Aerotech Dental Systems, Inc. Self-regulating fluid dispensing cap with safety pressure relief valve for dental/medical unit fluid bottles
US6367410B1 (en) 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
JP3752578B2 (ja) 1997-04-21 2006-03-08 株式会社フジキン 流体制御器用加熱装置
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6083321A (en) 1997-07-11 2000-07-04 Applied Materials, Inc. Fluid delivery system and method
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6099596A (en) 1997-07-23 2000-08-08 Applied Materials, Inc. Wafer out-of-pocket detection tool
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6248168B1 (en) 1997-12-15 2001-06-19 Tokyo Electron Limited Spin coating apparatus including aging unit and solvent replacement unit
US6125789A (en) 1998-01-30 2000-10-03 Applied Materials, Inc. Increasing the sensitivity of an in-situ particle monitor
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
JPH11343571A (ja) 1998-05-29 1999-12-14 Ngk Insulators Ltd サセプター
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20010001384A1 (en) 1998-07-29 2001-05-24 Takeshi Arai Silicon epitaxial wafer and production method therefor
USD451893S1 (en) 1998-10-15 2001-12-11 Meto International Gmbh Arrangement of aluminum foil coils forming an inductor of a resonant frequency identification element
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
IT1308606B1 (it) 1999-02-12 2002-01-08 Lpe Spa Dispositivo per maneggiare substrati mediante un istema autolivellante a depressione in reattori epistassiali ad induzione con suscettore
US6326597B1 (en) 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
FR2795745B1 (fr) 1999-06-30 2001-08-03 Saint Gobain Vitrage Procede de depot d'une couche a base de tungstene et/ou de molybdene sur un substrat verrier, ceramique ou vitroceramique, et substrat ainsi revetu
US6579833B1 (en) 1999-09-01 2003-06-17 The Board Of Trustees Of The University Of Illinois Process for converting a metal carbide to carbon by etching in halogens
US6410459B2 (en) 1999-09-02 2002-06-25 Micron Technology, Inc. Wafer planarization using a uniform layer of material and method and apparatus for forming uniform layer of material used in semiconductor processing
JP2001077088A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6355153B1 (en) 1999-09-17 2002-03-12 Nutool, Inc. Chip interconnect and packaging deposition methods and structures
US6420792B1 (en) 1999-09-24 2002-07-16 Texas Instruments Incorporated Semiconductor wafer edge marking
KR100369324B1 (ko) 1999-12-02 2003-01-24 한국전자통신연구원 평면형 마이크로 공동구조 제조 방법
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
JP2001176952A (ja) 1999-12-21 2001-06-29 Toshiba Mach Co Ltd ウェーハ位置ずれ検出装置
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6407435B1 (en) 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6598559B1 (en) 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
JP2001342570A (ja) 2000-03-30 2001-12-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法および半導体製造装置
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
JP2001345263A (ja) 2000-03-31 2001-12-14 Nikon Corp 露光装置及び露光方法、並びにデバイス製造方法
KR100367662B1 (ko) 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
DE10021871A1 (de) 2000-05-05 2001-11-15 Infineon Technologies Ag Verfahren zum Herstellen einer Barriereschicht in einem elektronischen Bauelement und Verfahren zum Herstellen eines elektronischen Bauelements mit einer Barriereschicht
US6863019B2 (en) 2000-06-13 2005-03-08 Applied Materials, Inc. Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas
KR100467366B1 (ko) 2000-06-30 2005-01-24 주식회사 하이닉스반도체 원자층 증착법을 이용한 지르코늄산화막 형성방법
US6874480B1 (en) 2000-07-03 2005-04-05 Combustion Dynamics Corp. Flow meter
WO2002008487A1 (en) 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US7204887B2 (en) 2000-10-16 2007-04-17 Nippon Steel Corporation Wafer holding, wafer support member, wafer boat and heat treatment furnace
JP4156788B2 (ja) 2000-10-23 2008-09-24 日本碍子株式会社 半導体製造装置用サセプター
US6824665B2 (en) 2000-10-25 2004-11-30 Shipley Company, L.L.C. Seed layer deposition
JP2002158178A (ja) 2000-11-21 2002-05-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US20020064592A1 (en) 2000-11-29 2002-05-30 Madhav Datta Electroless method of seed layer depostion, repair, and fabrication of Cu interconnects
EP1351283A4 (en) 2000-12-05 2006-01-25 Tokyo Electron Ltd METHOD AND DEVICE FOR TREATING AN ARTICLE TO BE TREATED
US7172497B2 (en) 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US7087482B2 (en) 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US20020108670A1 (en) 2001-02-12 2002-08-15 Baker John Eric High purity chemical container with external level sensor and removable dip tube
JP4487135B2 (ja) 2001-03-05 2010-06-23 東京エレクトロン株式会社 流体制御装置
US6521295B1 (en) 2001-04-17 2003-02-18 Pilkington North America, Inc. Chemical vapor deposition of antimony-doped metal oxide and the coated article made thereby
US6482331B2 (en) 2001-04-18 2002-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6677254B2 (en) 2001-07-23 2004-01-13 Applied Materials, Inc. Processes for making a barrier between a dielectric and a conductor and products produced therefrom
WO2003012843A1 (fr) 2001-07-31 2003-02-13 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Procede et appareil de nettoyage et procede et appareil de gravure
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
KR100431658B1 (ko) 2001-10-05 2004-05-17 삼성전자주식회사 기판 가열 장치 및 이를 갖는 장치
WO2003035927A2 (en) 2001-10-26 2003-05-01 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR20030039247A (ko) 2001-11-12 2003-05-17 주성엔지니어링(주) 서셉터
US6926774B2 (en) 2001-11-21 2005-08-09 Applied Materials, Inc. Piezoelectric vaporizer
KR100446619B1 (ko) 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
EP1466034A1 (en) 2002-01-17 2004-10-13 Sundew Technologies, LLC Ald apparatus and method
US20030141820A1 (en) 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
US6734090B2 (en) 2002-02-20 2004-05-11 International Business Machines Corporation Method of making an edge seal for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6594550B1 (en) 2002-03-29 2003-07-15 Asm America, Inc. Method and system for using a buffer to track robotic movement
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7122844B2 (en) 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US6682973B1 (en) 2002-05-16 2004-01-27 Advanced Micro Devices, Inc. Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
US7195693B2 (en) 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US20060014384A1 (en) 2002-06-05 2006-01-19 Jong-Cheol Lee Method of forming a layer and forming a capacitor of a semiconductor device having the same layer
JP2004014952A (ja) 2002-06-10 2004-01-15 Tokyo Electron Ltd 処理装置および処理方法
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US20040018750A1 (en) 2002-07-02 2004-01-29 Sophie Auguste J.L. Method for deposition of nitrogen doped silicon carbide films
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP2005534111A (ja) 2002-07-19 2005-11-10 マイクロリス・コーポレーション 液体流量制御器および精密分注装置およびシステム
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
KR100464855B1 (ko) 2002-07-26 2005-01-06 삼성전자주식회사 박막 형성 방법과, 이를 이용한 커패시터 형성 방법 및트랜지스터 형성 방법
JP4585852B2 (ja) 2002-07-30 2010-11-24 エーエスエム アメリカ インコーポレイテッド 基板処理システム、基板処理方法及び昇華装置
JP4034145B2 (ja) 2002-08-09 2008-01-16 住友大阪セメント株式会社 サセプタ装置
TW200408323A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high k metal oxides
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US20040036129A1 (en) 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
JP2004091848A (ja) 2002-08-30 2004-03-25 Tokyo Electron Ltd 薄膜形成装置の原料ガス供給系および薄膜形成装置
AU2003257112A1 (en) 2002-09-10 2004-04-30 Fsi International, Inc. Thermal process station with heated lid
KR100460841B1 (ko) 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
KR100520902B1 (ko) 2002-11-20 2005-10-12 주식회사 아이피에스 알루미늄 화합물을 이용한 박막증착방법
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7122414B2 (en) 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US20040144980A1 (en) 2003-01-27 2004-07-29 Ahn Kie Y. Atomic layer deposition of metal oxynitride layers as gate dielectrics and semiconductor device structures utilizing metal oxynitride layers
US7129165B2 (en) 2003-02-04 2006-10-31 Asm Nutool, Inc. Method and structure to improve reliability of copper interconnects
WO2004070816A1 (ja) 2003-02-06 2004-08-19 Tokyo Electron Limited プラズマ処理方法,半導体基板及びプラズマ処理装置
TWI338323B (en) 2003-02-17 2011-03-01 Nikon Corp Stage device, exposure device and manufacguring method of devices
US20040168627A1 (en) 2003-02-27 2004-09-02 Sharp Laboratories Of America, Inc. Atomic layer deposition of oxide film
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US7091453B2 (en) * 2003-02-27 2006-08-15 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus by means of light irradiation
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
JP2004273766A (ja) 2003-03-07 2004-09-30 Watanabe Shoko:Kk 気化装置及びそれを用いた成膜装置並びに気化方法及び成膜方法
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7033113B2 (en) 2003-05-01 2006-04-25 Shell Oil Company Mid-line connector and method for pipe-in-pipe electrical heating
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2005015609A2 (en) 2003-06-13 2005-02-17 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University Sixsnyge1-x-y and related alloy heterostructures based on si, ge and sn
US7589003B2 (en) 2003-06-13 2009-09-15 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law GeSn alloys and ordered phases with direct tunable bandgaps grown directly on silicon
US7598513B2 (en) 2003-06-13 2009-10-06 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University, A Corporate Body Organized Under Arizona Law SixSnyGe1-x-y and related alloy heterostructures based on Si, Ge and Sn
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
KR20050001793A (ko) 2003-06-26 2005-01-07 삼성전자주식회사 단원자층 증착 공정의 실시간 분석 방법
US7547363B2 (en) 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US6909839B2 (en) 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
JP4298421B2 (ja) 2003-07-23 2009-07-22 エスペック株式会社 サーマルプレートおよび試験装置
US7202166B2 (en) 2003-08-04 2007-04-10 Asm America, Inc. Surface preparation prior to deposition on germanium
JP2005072405A (ja) 2003-08-27 2005-03-17 Sony Corp 薄膜の形成方法および半導体装置の製造方法
JP4536662B2 (ja) 2003-09-03 2010-09-01 東京エレクトロン株式会社 ガス処理装置および放熱方法
KR100551138B1 (ko) 2003-09-09 2006-02-10 어댑티브프라즈마테크놀로지 주식회사 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스
US7414281B1 (en) 2003-09-09 2008-08-19 Spansion Llc Flash memory with high-K dielectric material between substrate and gate
KR100938534B1 (ko) 2003-09-19 2010-01-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7205247B2 (en) 2003-09-30 2007-04-17 Aviza Technology, Inc. Atomic layer deposition of hafnium-based high-k dielectric
US6875677B1 (en) 2003-09-30 2005-04-05 Sharp Laboratories Of America, Inc. Method to control the interfacial layer for deposition of high dielectric constant films
KR20060096445A (ko) 2003-10-29 2006-09-11 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US7329947B2 (en) 2003-11-07 2008-02-12 Sumitomo Mitsubishi Silicon Corporation Heat treatment jig for semiconductor substrate
US7071118B2 (en) 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
KR100550641B1 (ko) 2003-11-22 2006-02-09 주식회사 하이닉스반도체 산화하프늄과 산화알루미늄이 혼합된 유전막 및 그 제조방법
US7431966B2 (en) 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
US7071051B1 (en) 2004-01-20 2006-07-04 Advanced Micro Devices, Inc. Method for forming a thin, high quality buffer layer in a field effect transistor and related structure
DE102004005385A1 (de) 2004-02-03 2005-10-20 Infineon Technologies Ag Verwendung von gelösten Hafniumalkoxiden bzw. Zirkoniumalkoxiden als Precursoren für Hafniumoxid- und Hafniumoxynitridschichten bzw. Zirkoniumoxid- und Zirkoniumoxynitridschichten
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US20060062910A1 (en) 2004-03-01 2006-03-23 Meiere Scott H Low zirconium, hafnium-containing compositions, processes for the preparation thereof and methods of use thereof
US20050214458A1 (en) 2004-03-01 2005-09-29 Meiere Scott H Low zirconium hafnium halide compositions
US20050214457A1 (en) 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
CN1292092C (zh) 2004-04-01 2006-12-27 南昌大学 用于金属有机化学气相沉积设备的双层进气喷头
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
KR100589062B1 (ko) 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
JP4534619B2 (ja) 2004-06-21 2010-09-01 株式会社Sumco 半導体シリコン基板用熱処理治具
KR100578819B1 (ko) 2004-07-15 2006-05-11 삼성전자주식회사 원자층 적층 방법과 이를 이용한 게이트 구조물의 제조방법 및 커패시터의 제조 방법
JP2008508710A (ja) 2004-07-30 2008-03-21 エルピーイー ソシエタ ペル アチオニ 位置制御されるサセプタを備えるエピタキシャルリアクター
ITMI20041677A1 (it) 2004-08-30 2004-11-30 E T C Epitaxial Technology Ct Processo di pulitura e processo operativo per un reattore cvd.
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US20060060930A1 (en) 2004-09-17 2006-03-23 Metz Matthew V Atomic layer deposition of high dielectric constant gate dielectrics
DE102005045081B4 (de) 2004-09-29 2011-07-07 Covalent Materials Corp. Suszeptor
US7241475B2 (en) 2004-09-30 2007-07-10 The Aerospace Corporation Method for producing carbon surface films by plasma exposure of a carbide compound
US20060257563A1 (en) 2004-10-13 2006-11-16 Seok-Joo Doh Method of fabricating silicon-doped metal oxide layer using atomic layer deposition technique
CN101061578A (zh) 2004-10-19 2007-10-24 佳能安内华股份有限公司 基板支撑·运送用托盘
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
TWI654661B (zh) 2004-11-18 2019-03-21 日商尼康股份有限公司 位置測量方法、位置控制方法、測量方法、裝載方法、曝光方法及曝光裝置、及元件製造方法
AU2005309226B2 (en) 2004-11-24 2010-06-03 Oerlikon Solar Ag, Truebbach Vacuum processing chamber for very large area substrates
US20060113675A1 (en) 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
JP2006186271A (ja) 2004-12-28 2006-07-13 Sharp Corp 気相成長装置および成膜済基板の製造方法
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
WO2006078666A2 (en) 2005-01-18 2006-07-27 Asm America, Inc. Reaction system for growing a thin film
US7298009B2 (en) 2005-02-01 2007-11-20 Infineon Technologies Ag Semiconductor method and device with mixed orientation substrate
US6972478B1 (en) 2005-03-07 2005-12-06 Advanced Micro Devices, Inc. Integrated circuit and method for its manufacture
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20070155138A1 (en) 2005-05-24 2007-07-05 Pierre Tomasini Apparatus and method for depositing silicon germanium films
WO2006129643A1 (ja) 2005-05-31 2006-12-07 Tokyo Electron Limited プラズマ処理装置およびプラズマ処理方法
US8435905B2 (en) 2005-06-13 2013-05-07 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device, and substrate processing apparatus
JP4753173B2 (ja) 2005-06-17 2011-08-24 株式会社フジキン 流体制御装置
US7575990B2 (en) 2005-07-01 2009-08-18 Macronix International Co., Ltd. Method of forming self-aligned contacts and local interconnects
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7314838B2 (en) 2005-07-21 2008-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a high density dielectric film by chemical vapor deposition
TWI313486B (en) 2005-07-28 2009-08-11 Nuflare Technology Inc Position measurement apparatus and method and writing apparatus and method
US20070028842A1 (en) 2005-08-02 2007-02-08 Makoto Inagawa Vacuum chamber bottom
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US7718225B2 (en) 2005-08-17 2010-05-18 Applied Materials, Inc. Method to control semiconductor film deposition characteristics
USD557226S1 (en) 2005-08-25 2007-12-11 Hitachi High-Technologies Corporation Electrode cover for a plasma processing apparatus
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7393736B2 (en) 2005-08-29 2008-07-01 Micron Technology, Inc. Atomic layer deposition of Zrx Hfy Sn1-x-y O2 films as high k gate dielectrics
JP2007088113A (ja) 2005-09-21 2007-04-05 Sony Corp 半導体装置の製造方法
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7691204B2 (en) 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
DE102005051994B4 (de) 2005-10-31 2011-12-01 Globalfoundries Inc. Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
JP4940635B2 (ja) 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
JP4666496B2 (ja) 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
KR101296911B1 (ko) 2005-12-28 2013-08-14 엘지디스플레이 주식회사 평판표시소자의 제조장치 및 그의 정전기량 검출장치 및검출방법
TWI284390B (en) 2006-01-10 2007-07-21 Ind Tech Res Inst Manufacturing method of charge store device
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US8673413B2 (en) 2006-01-27 2014-03-18 Tosoh Finechem Corporation Method for packing solid organometallic compound and packed container
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
KR20070093493A (ko) 2006-03-14 2007-09-19 엘지이노텍 주식회사 서셉터 및 반도체 제조장치
JP2007266464A (ja) 2006-03-29 2007-10-11 Hitachi Ltd 半導体集積回路装置の製造方法
US8951478B2 (en) 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7410852B2 (en) 2006-04-21 2008-08-12 International Business Machines Corporation Opto-thermal annealing methods for forming metal gate and fully silicided gate field effect transistors
US7537804B2 (en) 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
WO2008004278A1 (fr) 2006-07-04 2008-01-10 Toshiba Mitsubishi-Electric Industrial Systems Corporation Procédé et dispositif de concentration / dilution de gaz spécifique
JP4193883B2 (ja) 2006-07-05 2008-12-10 住友電気工業株式会社 有機金属気相成長装置
KR100799735B1 (ko) 2006-07-10 2008-02-01 삼성전자주식회사 금속 산화물 형성 방법 및 이를 수행하기 위한 장치
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
KR100753020B1 (ko) 2006-08-30 2007-08-30 한국화학연구원 원자층 증착법을 이용한 비휘발성 부유 게이트 메모리소자를 위한 나노적층체의 제조방법
US20080057659A1 (en) 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US7976898B2 (en) 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7723648B2 (en) 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
USD593969S1 (en) 2006-10-10 2009-06-09 Tokyo Electron Limited Processing chamber for manufacturing semiconductors
US8137462B2 (en) 2006-10-10 2012-03-20 Asm America, Inc. Precursor delivery system
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7776395B2 (en) 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
KR20080046436A (ko) * 2006-11-22 2008-05-27 삼성전자주식회사 베이크 장치
DE102007002962B3 (de) 2007-01-19 2008-07-31 Qimonda Ag Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators
US7833353B2 (en) 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20080216077A1 (en) 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US7833913B2 (en) 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
US7435987B1 (en) 2007-03-27 2008-10-14 Intel Corporation Forming a type I heterostructure in a group IV semiconductor
KR100829759B1 (ko) 2007-04-04 2008-05-15 삼성에스디아이 주식회사 카바이드 유도 탄소를 이용한 카본나노튜브 혼성체, 이를포함하는 전자 방출원 및 상기 전자 방출원을 구비한 전자방출 소자
US7575968B2 (en) 2007-04-30 2009-08-18 Freescale Semiconductor, Inc. Inverse slope isolation and dual surface orientation integration
JP5103056B2 (ja) 2007-05-15 2012-12-19 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN100590804C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US20090000550A1 (en) 2007-06-29 2009-01-01 Applied Materials, Inc. Manifold assembly
JP4900110B2 (ja) 2007-07-20 2012-03-21 東京エレクトロン株式会社 薬液気化タンク及び薬液処理システム
US7720560B2 (en) 2007-07-26 2010-05-18 International Business Machines Corporation Semiconductor manufacturing process monitoring
US8004045B2 (en) 2007-07-27 2011-08-23 Panasonic Corporation Semiconductor device and method for producing the same
US8440259B2 (en) 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
JP4986784B2 (ja) 2007-09-18 2012-07-25 東京エレクトロン株式会社 処理システムの制御装置、処理システムの制御方法および制御プログラムを記憶した記憶媒体
US20090085156A1 (en) 2007-09-28 2009-04-02 Gilbert Dewey Metal surface treatments for uniformly growing dielectric layers
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090139657A1 (en) 2007-12-04 2009-06-04 Applied Materials, Inc. Etch system
US20090214777A1 (en) 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
KR100968132B1 (ko) 2008-02-29 2010-07-06 (주)얼라이드 테크 파인더즈 안테나 및 이를 구비한 반도체 장치
US8252114B2 (en) 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8110453B2 (en) 2008-04-17 2012-02-07 Applied Materials, Inc. Low temperature thin film transistor process, device property, and device stability improvement
US8076237B2 (en) 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
JP2009295932A (ja) 2008-06-09 2009-12-17 Canon Inc 露光装置及びデバイス製造方法
US8726837B2 (en) 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
KR20100015213A (ko) 2008-08-04 2010-02-12 삼성전기주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
WO2010017136A1 (en) 2008-08-04 2010-02-11 Amir Dassoud Dabiran Microchannel plate photocathode
JP2010087467A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US9711373B2 (en) 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices
USD609655S1 (en) 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
CN103337453B (zh) 2008-10-07 2017-10-24 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
US20110254052A1 (en) 2008-10-15 2011-10-20 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Hybrid Group IV/III-V Semiconductor Structures
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
JP2010153769A (ja) 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
US20100130017A1 (en) 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
WO2010073578A1 (ja) * 2008-12-24 2010-07-01 芝浦メカトロニクス株式会社 プラズマ発生装置及びプラズマ処理装置
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
KR101691044B1 (ko) 2009-02-04 2016-12-29 맷슨 테크놀로지, 인크. 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
JP5221421B2 (ja) 2009-03-10 2013-06-26 東京エレクトロン株式会社 シャワーヘッド及びプラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102414794B (zh) 2009-04-21 2015-01-28 应用材料公司 改良膜厚度不均匀性与粒子表现的cvd设备
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
JP5136574B2 (ja) 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5320171B2 (ja) * 2009-06-05 2013-10-23 東京エレクトロン株式会社 基板処理装置
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
USD634719S1 (en) 2009-08-27 2011-03-22 Ebara Corporation Elastic membrane for semiconductor wafer polishing apparatus
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
EP2306497B1 (en) 2009-10-02 2012-06-06 Imec Method for manufacturing a low defect interface between a dielectric and a III/V compound
US8465791B2 (en) 2009-10-16 2013-06-18 Msp Corporation Method for counting particles in a gas
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
JP5451324B2 (ja) 2009-11-10 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9443753B2 (en) 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US9449858B2 (en) * 2010-08-09 2016-09-20 Applied Materials, Inc. Transparent reflector plate for rapid thermal processing chamber
WO2012061278A1 (en) 2010-11-05 2012-05-10 Synos Technology, Inc. Radical reactor with multiple plasma chambers
JP5573666B2 (ja) 2010-12-28 2014-08-20 東京エレクトロン株式会社 原料供給装置及び成膜装置
JP5820731B2 (ja) 2011-03-22 2015-11-24 株式会社日立国際電気 基板処理装置および固体原料補充方法
JP5203482B2 (ja) 2011-03-28 2013-06-05 株式会社小松製作所 加熱装置
JP5922219B2 (ja) 2011-03-31 2016-05-24 アイメックImec 単結晶スズ含有半導体材料を成長させる方法
US8900402B2 (en) 2011-05-10 2014-12-02 Lam Research Corporation Semiconductor processing system having multiple decoupled plasma sources
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US20120304935A1 (en) 2011-05-31 2012-12-06 Oosterlaken Theodorus G M Bubbler assembly and method for vapor flow control
US8927318B2 (en) 2011-06-14 2015-01-06 International Business Machines Corporation Spalling methods to form multi-junction photovoltaic structure
US9175392B2 (en) 2011-06-17 2015-11-03 Intermolecular, Inc. System for multi-region processing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8927059B2 (en) 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
USD691974S1 (en) 2011-12-22 2013-10-22 Tokyo Electron Limited Holding pad for transferring a wafer
WO2013095651A1 (en) 2011-12-23 2013-06-27 Intel Corporation Non-planar gate all-around device and method of fabrication thereof
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9082684B2 (en) 2012-04-02 2015-07-14 Applied Materials, Inc. Method of epitaxial doped germanium tin alloy formation
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20130330911A1 (en) 2012-06-08 2013-12-12 Yi-Chiau Huang Method of semiconductor film stabilization
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US20140077240A1 (en) 2012-09-17 2014-03-20 Radek Roucka Iv material photonic device on dbr
US8921207B2 (en) 2012-09-24 2014-12-30 Asm Ip Holding B.V., Inc. Tin precursors for vapor deposition and deposition processes
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5960028B2 (ja) 2012-10-31 2016-08-02 東京エレクトロン株式会社 熱処理装置
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9666702B2 (en) 2013-03-15 2017-05-30 Matthew H. Kim Advanced heterojunction devices and methods of manufacturing advanced heterojunction devices
CN110592554A (zh) 2013-06-26 2019-12-20 应用材料公司 沉积金属合金膜的方法
USD705745S1 (en) 2013-07-08 2014-05-27 Witricity Corporation Printed resonator coil
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
USD716742S1 (en) 2013-09-13 2014-11-04 Asm Ip Holding B.V. Substrate supporter for semiconductor deposition apparatus
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US20060240574A1 (en) * 2005-04-20 2006-10-26 Toru Yoshie Method for manufacturing semiconductor device
US20100279008A1 (en) * 2007-09-12 2010-11-04 Tokyo Electron Limited Film deposition apparatus and film deposition method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200045067A (ko) * 2018-10-19 2020-05-04 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
DE112012004884T5 (de) 2014-08-14
US9167625B2 (en) 2015-10-20
TWI555109B (zh) 2016-10-21
WO2013078066A1 (en) 2013-05-30
US20130126515A1 (en) 2013-05-23
KR102021163B1 (ko) 2019-09-11
TW201338074A (zh) 2013-09-16
CN104081513B (zh) 2017-04-19
CN104081513A (zh) 2014-10-01

Similar Documents

Publication Publication Date Title
KR102021163B1 (ko) 기판 홀더를 위한 방사선 실딩
US11133210B2 (en) Dual temperature heater
US11417545B2 (en) Radiation shield
US9076826B2 (en) Plasma confinement ring assembly for plasma processing chambers
KR101629065B1 (ko) 열처리 장치
KR102233851B1 (ko) 열적 프로세싱 챔버용 에지 링
KR20070091332A (ko) 웨이퍼 지지핀 어셈블리
TWI678761B (zh) 基板傳送機構
TWI680490B (zh) 電漿處理裝置及上部電極總成
WO2015119744A1 (en) Chucking capability for bowed wafers on dsa
KR20160010342A (ko) 온도 균일도의 증가를 위한 서셉터 히터의 국부적 온도 제어
US20120160419A1 (en) Substrate-supporting unit and substrate-processing apparatus comprising same
KR101582207B1 (ko) 플라즈마 처리 장치
US9773647B2 (en) Plasma processing apparatus and upper electrode assembly
US20160237569A1 (en) Semiconductor manufacturing apparatus
KR20230138405A (ko) 기판 처리 장치 및 그 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant