KR101629065B1 - 열처리 장치 - Google Patents

열처리 장치 Download PDF

Info

Publication number
KR101629065B1
KR101629065B1 KR1020130109106A KR20130109106A KR101629065B1 KR 101629065 B1 KR101629065 B1 KR 101629065B1 KR 1020130109106 A KR1020130109106 A KR 1020130109106A KR 20130109106 A KR20130109106 A KR 20130109106A KR 101629065 B1 KR101629065 B1 KR 101629065B1
Authority
KR
South Korea
Prior art keywords
flange
reaction tube
tube
holding member
ring
Prior art date
Application number
KR1020130109106A
Other languages
English (en)
Other versions
KR20140035270A (ko
Inventor
히로후미 가네코
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140035270A publication Critical patent/KR20140035270A/ko
Application granted granted Critical
Publication of KR101629065B1 publication Critical patent/KR101629065B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D1/00Casings; Linings; Walls; Roofs
    • F27D1/0003Linings or walls
    • F27D1/0023Linings or walls comprising expansion joints or means to restrain expansion due to thermic flows
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27DDETAILS OR ACCESSORIES OF FURNACES, KILNS, OVENS, OR RETORTS, IN SO FAR AS THEY ARE OF KINDS OCCURRING IN MORE THAN ONE KIND OF FURNACE
    • F27D7/00Forming, maintaining, or circulating atmospheres in heating chambers
    • F27D7/02Supplying steam, vapour, gases, or liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

열처리 공정에 있어서, 반응관의 지지 부재가 열수축했을 경우라도 반응관이 손상하는 일이 없는 열처리 장치를 제공한다. 열처리 장치(1)는 매니폴드(7)와, 매니폴드(7) 상에 적재되고 내관(4a)과, 외관(4b)을 갖는 반응관(4)을 구비하고 있다. 매니폴드(7)에, 내관(4a)을 적재하는 적재용 스테이지부(40)를 포함하는 받침 링(18)이 설치되어 있다. 내관(4a)의 하단부 외주에 오목부(44)가 형성되고, 받침 링(18)에 내관(4a)의 오목부(44)에 끼워져서 내관(4a)의 원주 방향의 위치 결정을 행하는 회전 롤러(35)가 설치되어 있다.

Description

열처리 장치{HEAT TREATMENT APPARATUS}
본 발명은, 반응관을 구비한 열처리 장치에 관한 것으로, 특히 손상을 주는 일 없이 반응관을 지지할 수 있는 열처리 장치에 관한 것이다.
예를 들어 반도체 디바이스의 제조 프로세스에 있어서는, 피처리체인 반도체 웨이퍼에 CVD(화학 기상 성장), 확산, 산화, 어닐 등의 처리를 실시하기 위해서, 각종 열처리 장치가 사용되고 있다. 그 중에서도, CVD 처리 및 비교적 고압의 감압하에 있어서의 확산 처리에 있어서는, 열처리 장치는 석영제의 종형의 반응관을 갖고, 이 반응관은 가스 도입부 및 배기부를 갖는 금속제의 매니폴드에 의해 지지되어 있다. 그리고 반응관 내의 처리 영역에 보유 지지구인 웨이퍼 보트를 통해서 다단으로 보유 지지된 반도체 웨이퍼를 수용하여 밀폐하고, 반응관의 외측에 설치한 히터에 의해 처리 영역을 소정의 처리 온도로 가열하여 소정의 처리 가스 및 처리 압력 하에서 반도체 웨이퍼에 소정의 열처리를 실시하고 있다.
이와 같은 열처리 장치에 있어서는, 반응관은 석영제의 내관과 외관을 갖는 2중관 구성을 가지며, 내관은 매니폴드 내면에 설치된 받침 링에 의해 지지되어 있다. 또한 내관의 하단 외주에는 오목부가 형성되고, 받침 링에는 내관의 오목부와 계합하여 내관의 원주 방향의 위치 결정을 행하는 돌기가 형성되어 있다.
열처리 공정에 있어서, 반응관 내부의 온도가 변화됨에 따라, 받침 링은 열팽창하거나 열수축한다. 이와 같이 받침 링이 열팽창하거나 열수축하면, 받침 링 상에서 내관이 어긋나 버리는 경우가 있다.
이때, 내관의 오목부가 받침 링의 돌기와 접촉한 상태에서 열수축하고, 내관이, 이 오목부와 돌기의 접촉점과, 이 접촉점에 대향하는 위치 사이에서 끼워져서, 강도가 약한 오목부 근방이 파손해 버리는 것이 고려된다
일본 특허 출원 공개 제2000-223432호
본 발명은 열처리 공정 시에 있어서, 반응관에 손상을 주는 일 없이 반응관을 지지할 수 있는 열처리 장치를 제공한다.
본 발명의 일 실시예에 따른 열처리장치는, 복수의 기판을 처리하는 반응관과, 상기 반응관을 지지하는 지지 부재와, 상기 반응관의 하단부에 외방으로 돌출하는 플랜지와, 상기 플랜지의 외주에 형성된 오목부와, 상기 지지 부재의 상면에 설치된 회전 롤러를 포함한다. 상기 회전 롤러는 상기 오목부와 계합하여 상기 반응관의 원주 방향의 위치 결정을 행한다.
본 발명의 일 실시예에 있어서, 상기 반응관은 내관과 외관을 갖고, 상기 지지 부재는 매니폴드에 설치되고, 상기 내관을 적재하는 적재용 스테이지부를 포함하는 받침 링으로 이루어지고, 상기 회전 롤러는 상기 받침 링에 설치된다.
본 발명의 일 실시예에 있어서, 상기 받침 링의 적재용 스테이지부는, 상기 내관의 플랜지를 적재하는 수평면과, 상기 플랜지의 외주에 맞닿아 상기 플랜지의 반경 방향의 위치 결정을 행하는 수직면을 갖는다.
본 발명의 일 실시예에 있어서, 상기 받침 링의 수평면은, 중심선 평균 거칠기 Ra가 0.8㎛ 내지 3.2㎛로 되는 평활면으로 되어 있다.
본 발명의 일 실시예에 있어서, 열처리 장치는 상기 내관에 반경 방향 외방으로 돌출하여 수직 방향으로 연장되어 가스 도입부를 수용하는 가스 도입부 공간을 더 포함한다.
본 발명의 일 실시예에 있어서, 상기 가스 도입부 공간의 돌출 길이는 상기 반응관의 플랜지의 돌출 길이보다 짧다.
본 발명의 일 실시예에 있어서, 플랜지의 외연은 진원형으로 되어 있다.
본 발명의 일 실시예에 있어서, 열처리 장치는 받침 링 상에 상기 내관의 플랜지를 상방으로부터 덮도록 설치된 전도 방지 링을 더 포함하고, 상기 전도 방지 링은 서로 분리된 복수의 분할 링을 갖는다.
본 발명의 일 실시예에 있어서, 전도 방지 링의 복수의 분할 링은 상기 가스 도입부 공간을 통과하는 내관의 직경에 대하여 좌우 대칭으로 되는 위치에 배치되어 고정된다.
본 발명의 일 실시예에 있어서, 상기 지지 부재는 매니폴드로 이루어지고, 상기 매니폴드의 상단부에 상기 반응관을 적재하는 적재용 스테이지부가 형성된 상단 플랜지부가 설치되고, 상기 회전 롤러는 상기 상단 플랜지부에 설치되고, 상기 적재용 스테이지부는, 반응관의 플랜지를 적재하는 수평면과, 상기 플랜지의 외주에 맞닿아 상기 플랜지의 반경 방향의 위치 결정을 행하는 수직면을 갖는다.
본 발명의 일 실시예에 있어서, 상기 지지 부재는 반응관을 지지하는 원환상의 플랜지 보유 지지 부재로 이루어지고, 상기 플랜지 보유 지지 부재의 상면에 적재용 스테이지부가 형성되고, 상기 플랜지 보유 지지 부재에 상기 플랜지의 오목부와 계합하여 상기 반응관의 원주 방향의 위치 결정을 행하는 회전 롤러를 설치하고, 상기 적재용 스테이지부는, 반응관의 플랜지를 적재하는 수평면과, 플랜지의 외주에 맞닿아 반응관의 원주 방향의 위치 결정을 행하는 수직면을 갖는다.
본 발명의 일 실시예에 있어서, 열처리 장치는 플랜지 보유 지지 부재에, 설치되는 플랜지 상부 보유 지지 부재를 더 포함하고, 상기 반응관의 플랜지는 상기 플랜지 보유 지지 부재와 상기 플랜지 상부 보유 지지 부재의 사이에서 협지되고, 상기 회전 롤러는 상기 플랜지 상부 보유 지지 부재에 설치된 고정 핀에 의해 회전 가능하게 지지되어 있다.
이상과 같이 본 발명에 따르면, 열처리 공정에 있어서 받침 링이 열수축했을 경우에, 받침 링의 회전 롤러가 회전하면서 내관을 미끄러지듯이 이동시킨다. 이에 의해 내관의 오목부 내에 회전 롤러가 끼워지기 때문에, 받침 링의 열수축 시에 내관측이 손상되는 일은 없다.
도 1은 본 발명에 의한 열처리 장치를 나타내는 종단면도이다.
도 2는 열처리 장치의 주요부 확대도이다.
도 3은 내관을 지지하는 받침 링을 나타내는 확대도이다.
도 4는 내관을 나타내는 사시도이다.
도 5는 받침 링에 설치된 회전 롤러를 나타내는 단면도이다.
도 6a는 받침 링에 설치된 전도 방지 링을 나타내는 평면도이고, 도 6b는 도 6a의 부분 확대도이다.
도 7은 받침 링에 설치된 전도 방지 링을 나타내는 단면도이다.
도 8은 본 발명의 작용을 나타내는 도면이다.
도 9는 받침 링에 설치된 회전 롤러를 나타내는 파단 사시도이다.
도 10은 받침 링에 설치된 회전 롤러를 나타내는 평면도이다.
도 11은 회전 롤러 대신에 받침 링에 고정 롤러를 설치한 비교예를 나타내는 도면이다.
도 12는 본 발명에 의한 열처리 장치의 변형예를 나타내는 부분 종단면도이다.
도 13은 본 발명에 의한 열처리 장치의 다른 변형예를 나타내는 부분 종단면도이다.
도 14는 본 발명에 의한 열처리 장치의 다른 변형예를 나타내는 부분 종단면도이다.
이하에, 본 발명의 실시 형태를 첨부 도면에 기초하여 상세하게 설명한다. 도 1 내지 도 11은 본 발명에 의한 열처리 장치를 나타내는 도면이다.
우선 도 1 및 도 2에 의해 본 발명에 의한 열처리 장치의 개략에 대해서 설명한다.
도 1에 있어서, 종형 열처리 장치(1)는 하부에 노구(2)를 갖는 열처리로(3)를 구비하고, 이 열처리로(3)는, 처리 용기인 석영제의 종형의 반응관(4)과, 이 반응관(4)을 하단부로부터 지지하는 매니폴드(7)와, 매니폴드(7)에 설치되고, 반응관(4) 내의 처리 영역(열처리로 내의 처리 영역과 동일) (A)에 처리 가스를 도입하는 가스 도입부(5)와, 매니폴드(7)에 설치되고, 처리 영역(A)으로부터의 가스를 배기하는 배기부(6)를 구비하고 있다.
그리고 매니폴드(7)의 하부에 의해 노구(2)가 형성되고, 또한 반응관(4)은, 내관(4a)과 외관(4b)의 이중관 구조로 되어 있다. 이 중 내관(4a)은, 상단이 밀폐되고, 또한 하단이 개방되어 있다. 외관(4b)은, 상단이 폐색되고, 하단이 개방되어 있다. 내관(4a)과 외관(4b)의 사이에는, 환형상 통로(9)가 형성되어 있다. 가스 도입부(5)는 상방을 향해서 연장되고, 이 가스 도입부(5)에는 상하 방향을 따라 다수의 처리 가스 도입구(5a)가 형성되어 있다. 그리고 이 처리 가스 도입구(5a)로부터 처리 가스가 처리 영역(A) 내에 수평 방향으로 공급된다. 처리 영역(A) 내에 공급된 처리 가스는, 내관(4a)의 가스 도입부(5)와 대응하여 형성된 슬릿 형상의 개구(도시 생략)를 경유하여, 내관(4a)과 외관(4b) 사이의 환형상 통로(9)로 보내지고, 그 후 하방으로 향하여 흘러 배기된다.
매니폴드(7)는, 내열성 및 내식성을 갖는 재료, 예를 들어 스테인리스강에 의해 형성되어 있다. 가스 도입부(5)는, L자 형상의 인젝터 관으로 이루어지고, 매니폴드(7)의 후술하는 내측 플랜지부(8)보다도 하방의 측벽을 기밀하게 관통하고, 또한 처리 가스를 반응관(4) 내의 처리 영역(A)에, 상하 방향으로 형성된 처리 가스 도입구(5a)로부터 수평 방향으로 도입되도록 내관(4a)의 내벽을 따라 수직으로 연장되어 있다. 가스 도입부(5)는, 가스종에 대응하여 매니폴드(7)의 둘레 방향으로 복수 설치되어 있다.
배기부(6)는, 매니폴드(7)의 내측 플랜지부(8)보다도 상방의 측벽에, 내관(4a)과 외관(4b) 사이의 환형상 통로(9)와 연통하도록 설치되어 있다. 배기부(6)에는 배기관(10)이 접속되고, 이 배기관(10)에는, 반응관(4) 내의 처리 영역(A)을 소정의 처리 압력 예를 들어 26.6Pa 내지 93100Pa(0.2Torr 내지 700Torr)로 제어 가능한 콤비네이션 밸브(11)와, 진공 펌프(12)와, 제해 장치(13)가 순서대로 설치되어 있다. 그리고, 이들 콤비네이션 밸브(11)와, 진공 펌프(12)와, 제해 장치(13)를 구비한 배기관(10) 및 배기부(6)가 배기계(14)를 구성하고 있다. 이 배기계(14)에 의해 처리 영역(A)이 소정의 처리 압력으로 제어된 상태에 있어서, 상하 방향으로 복수 형성된 처리 가스 도입구(5a)로부터 분출된 처리 가스는 반응관(4)의 내관(4a) 내의 처리 영역(A) 내에 수평 방향으로 공급되어 소정의 열처리에 기여된 후, 내관(4a)과 외관(4b) 사이의 환형상 통로(9)를 하강하여 배기부(6)로부터 배기된다.
매니폴드(7)의 상단과 하단에는, 플랜지부(7a), (7b)가 일체로 형성되어 있고, 상단 플랜지부(7a)의 상면에는, 외관(4b)의 하단 플랜지부(4g)가 적재되고, 이 하단 플랜지부(4g)를 둘러싸는 환형상의 플랜지 누름부(15)에 의해 고정되어 있다. 매니폴드(7)의 상단 플랜지부(7a)와 외관(4b)의 하단 플랜지부(4g) 사이를 시일하기 위해, 예를 들어 도 2에 나타낸 바와 같이, O링(16)이 개재되어 있다.
상술한 바와 같이 매니폴드(7)의 내주부에는 내측 플랜지부(8)가 형성되고, 내측 플랜지부(8)에 내관(4a)의 하단부를 지지하기 위한 받침 링(18)이 보유 지지되어 있다. 이 받침 링(18)은, 도 2 내지 도 3에 나타낸 바와 같이, 내열성 및 내식성을 갖는 재료 예를 들어 인코넬에 의해 링 형상으로 형성되어 있고, 그 외주에 형성한 복수의 갈고리부(18B)와, 하단에 나사 고정된 누름판(18A)에 의해 매니폴드(7)의 내측 플랜지부(8)에 착탈 가능하게 고정되어 있다.
또한, 매니폴드(7)에 설치된 받침 링(18)에 대해서는, 다시 후술한다.
그런데 매니폴드(7)는, 베이스 플레이트(21)의 하부에 설치되어 있고, 이 베이스 플레이트(21)의 상부에는, 반응관(4) 내의 처리 영역(A)을 소정의 열처리 온도 예를 들어 300 내지 1100도 정도로 가열 제어 가능한 히터(22)가 설치되어 있다. 이 히터(22)는, 반응관(4)의 상방을 포함하는 주위를 둘러싸도록 원통 형상으로 형성된 단열재와, 이 단열재의 내주에 설치된 저항 발열체를 포함한다.
반응관(4) 내의 처리 영역(A)에 복수매, 예를 들어 150매 정도의 피처리체(피처리 기판이라고도 함)인 반도체 웨이퍼(W)를 수평 상태에서 상하 방향으로 적절한 간격으로 다단으로 수용 보유 지지하기 위해서, 반도체 웨이퍼(W)는 보유 지지구인 웨이퍼 보트(23)에 보유 지지되고, 이 웨이퍼 보트(23)는 노구(2)를 밀폐하는 승강 가능한 덮개(24)의 상부에 단열체인 보온통(25)을 통해서 적재되어 있다. 덮개(24)는, 내열성 및 내식성을 갖는 재료, 예를 들어 스테인리스강에 의해 형성되어 있다.
열처리로(3)의 하방에는, 로딩 에어리어(E)가 형성되고, 이 로딩 에어리어(E)에는, 덮개(24)를 승강시켜 반응관(4) 내로의 웨이퍼 보트(23) 및 보온통(25)의 반입 반출 및 노구(2)의 개폐를 행하기 위한 승강 기구(26)가 설치되고, 이 승강 기구(26)의 승강 아암(26a)에 덮개(24)가 설치되어 있다. 매니폴드(7)의 하단 플랜지부(7b)와 덮개(24)의 접합부에는, O링(27)이 설치되어 있다. 매니폴드(7)의 상단 플랜지부(7a) 및 하단 플랜지부(7b)에는, O링(16), (27)의 열열화를 방지하기 위해 냉각하는 수단으로서, 냉각수를 순환시키는 냉각수 통로(28)가 형성되어 있다.
다음에 도 3 내지 도 10에 의해, 매니폴드(7)에 고정되고, 내관(4a)을 적재하여 지지하는 받침 링(18)에 대해서 상세하게 설명한다.
우선 내관(4a)에 대해서 설명한다. 내관(4a)은, 도 4에 나타낸 바와 같이 내관 본체(41)와, 내관 본체(41)의 하단부에 설치된 플랜지(42)를 갖고 있다. 또한 내관 본체(41)에는, 반경 방향 외방으로 돌출하는 가스 도입부 공간(43)이 형성되어 있다. 이 가스 도입부 공간(43)은, 내관(4a) 내에 연장하는 가스 도입부(5)를 수납하는 공간이다. 그리고 내관(4a)의 플랜지(42)의 외연은 진원형으로 되어 있다.
또한, 가스 도입부 공간(43)의 반경 방향의 돌출 길이는, 플랜지(42)의 반경 방향의 길이와 동등하거나, 그보다 작게 되어 있다. 이에 의해 가스 도입부 공간(43)이 받침 링(18)의 수직면(40b)과 직접 간섭하는 일이 없어진다. 이 때문에, 받침 링(18)이 열팽창·열수축을 반복해도, 가스 도입부 공간(43)이 받침 링(18)의 수직면(40b) 사이에서 끼워져서 고착되거나 파손되는 것을 억제할 수 있다.
이와 같은 구성으로 이루어지는 내관(4a)은, 매니폴드(7)에 고정된 받침 링(18)에 의해 지지된다.
상술한 바와 같이, 매니폴드(7)의 내주부에는, 내측 플랜지(8)가 돌출하여 설치되고, 받침 링(18)은 링 형상으로 형성되고, 그 외주에 형성한 복수의 갈고리부(18B)와, 받침 링(18)의 하단부에 나사 고정된 누름판(18A)에 의해, 매니폴드(7)의 내측 플랜지부(8)에 착탈 가능하게 고정되어 있다.
또한 받침 링(18)은, 내관(4a)의 하단부를 적재하여 지지하는 적재용 스테이지부(40)를 갖고, 이 적재용 스테이지부(40)는 내관(4a)의 플랜지(42)를 적재하는 수평면(40a)과, 플랜지(42)의 외주에 맞닿아 내관(4a)의 반경 방향의 위치 결정을 행하는 수직면(40b)으로 이루어진다(도 3 참조).
또한, 도 5, 도 8 내지 도 10에 나타낸 바와 같이, 내관(4a)의 플랜지(42)의 외주에 오목부(44)가 형성되고, 받침 링(18)측에는 내관(4a)의 오목부(44) 내에 끼워져 받침 링(18)에 대한 내관(4a)의 원주 방향의 위치 결정을 행하는 회전 롤러(35)가 설치되어 있다.
이 회전 롤러(35)는, 받침 링(18)에 고정 핀(36)을 개재하여, 회전 가능하게 설치되어 있다. 회전 롤러(35)의 일부는 적재용 스테이지부(40)측에 위치하여 내관(4a)의 플랜지(42)의 오목부(44) 내에 끼워지도록 되어 있다. 고정 핀(36)은, 육각 구멍(36d)을 갖는 헤드부(36A)와, 헤드부(36A)보다 소경의 축부(36B)에 의해 형성되어 있다. 또한, 축부(36B)는 대경 축부(36b)와 소경 축부(36c)를 갖고, 대경 축부(36b)와, 소경 축부(36c)에 의해 축 스테이지부(36e)가 형성되고, 받침 링(18)의 핀 구멍(18c)에 형성된 단차부(18d)에 의해 고정 핀(36)의 삽입 깊이가 제한 되도록 되어 있다. 이때, 고정 핀(36)의 헤드부(36A)의 하면과 받침 링(18)의 거리는, 회전 롤러(35)의 높이보다 커지도록 설정되어 있다. 이 때문에, 고정 핀(36)을 지나치게 압입하여 회전 롤러(35)가 받침 링(18)에 끼워지게 될 염려는 없다. 또한, 고정 핀(36)은, 축부(36B)와 핀 구멍(18c)의 마찰력에 의해 고정되도록 각각의 직경을 설정해도 되고, 혹은, 소경 축부(36c)와 핀 구멍(18c)과의 사이에 나사 홈을 형성하여 나사결합에 의해 고정해도 된다.
또한 받침 링(18)의 적재용 스테이지부(40)의 수평면(40a)은, 예를 들어 중심선 평균 거칠기(Ra)가 0.8㎛ 내지 3.2㎛ 정도의 평활면으로 이루어지고, 적재용 스테이지부(40)의 수평면(40a) 상에서 내관(4a)이 용이하게 미끄러지듯이 이동할 수 있게 되어 있다.
즉, 후술하는 바와 같이, 예를 들면 내관(4a)의 오목부(44)와 회전 롤러(35) 사이에서 위치가 어긋나 있어도, 받침 링(18)의 열수축 시에 있어서, 회전 롤러(35)가 회전해서 적재용 스테이지부(40)의 수평면(40a) 상에서 내관(4a)을 미끄러지듯이 이동시킴으로써, 내관(4a)의 오목부(44)에 회전 롤러(35)를 확실하게 끼워 맞출 수 있다.
또한, 도 6 및 도 7에 나타낸 바와 같이, 받침 링(18) 상에 내관(4a)의 플랜지(42)를 상방으로부터 덮는 전도 방지 링(50)이 설치되어 있다.
전도 방지 링(50)은, 서로 분리된 원호 형상의 한 쌍의 분할 링(50a), (50b)으로 이루어지고, 각 분할 링(50a), (50b)은 각각 멈춤 나사(51a), (5lb)에 의해 받침 링(18)에 고착되어 있다.
또한, 한 쌍의 분할 링(50a), (50b)은 가스 도입부 공간(43)을 통과하는 내관(4a)의 직경에 대하여 좌우 대칭으로 되는 위치에 배치되어 고정되어 있다.
다음에, 이와 같은 구성으로 이루어지는 종형 열처리 장치의 작용에 대해서 설명한다.
반도체 웨이퍼(W)에 대하여 열처리를 실시하는 동안, 내관(4a)을 포함하는 반응관(4)은, 히터(22)에 의해 가열되고, 또한 냉각된다.
다음에 내관(4a)을 포함하는 반응관(4)을 반복하여 가열 냉각했을 경우의 내관(4a)과 받침 링(18)의 거동에 대해서 설명한다.
예를 들어, 히터(22)에 의한 반응관(4)의 가열 시에는, 받침 링(18)은 열팽창하여 그 직경이 커지고, 이에 따라 받침 링(18)의 적재용 스테이지부(40) 상의 내관(4a)은 적재용 스테이지부(40)의 수직면(40b)과의 사이에 간극을 형성하고, 적재용 스테이지부(40) 상에서 여유 공간을 가지고 적재용 스테이지부(40)의 수평면(40a) 상에서 조금 이동한다.
이와 같이 받침 링(18)의 적재용 스테이지부(40) 상에서 내관(4a)이 이동하면, 내관(4a)의 플랜지(42)에 형성된 오목부(44)의 중심으로부터 회전 롤러(35)가 벗어나버리는 경우가 있다(도 8 참조).
다음에 히터(22)에 의한 가열이 종료되면, 받침 링(18)은 열수축하여 그 직경이 작아진다. 이때, 내관(4a)의 오목부(44)와 회전 롤러(35)가 접촉점 P에 있어서 접촉하고, 또한 받침 링(18)이 열수축하여 직경이 작아지면, 회전 롤러(35)가 회전한다. 그리고 회전 롤러(35)의 회전에 수반하여, 회전 롤러(35)에 의해 내관(4a)이 눌러져 내관(4a)이 적재용 스테이지부(40)의 수평면(40a) 상을 원주 방향으로 미끄러지듯이 이동한다. 이 경우, 적재용 스테이지부(40)의 수평면(40a)은 중심선 평균 거칠기Ra이 0.8㎛ 내지 3.2㎛ 정도인 평활면으로 되어 있기 때문에, 수평면(40a) 상에서 내관(4a)은 원활하게 미끄러지듯이 이동할 수 있다. 또한, 내관 본체(41)의 플랜지(42)가 진원형으로 형성되어 있음으로써, 받침 링(18)은 내관(4a)를 향해 열수축한다. 내관(4a)이 회전 롤러(35)와, 받침 링(18)의 회전 롤러(35)에 대향하는 위치 사이에서 끼워지면, 플랜지(42)는 일방향으로 회전하여 슬라이드한다. 그로 인해, 플랜지(42)를 소정의 설치 위치로 미끄러지듯이 복귀시킬 수 있다.
이와 같이 적재용 스테이지부(40)의 수평면(40a) 상을 내관(4a)이 미끄러지듯이 이동함으로써, 내관(4a)의 오목부(44) 내에 받침 링(18)의 회전 롤러(35)를 확실하게 끼워 맞출 수 있다(도 9 및 도 10 참조).
한편, 도 11에 나타내는 비교예와 같이, 받침 링(18)에 내관(4a)의 오목부(44)에 끼워지는 회전하지 않는 고정 롤러(37)를 설치했을 경우, 내관(4a)의 오목부(44)의 입구와 고정 롤러(37)가 접촉된 상태에서 받침 링(18)이 열수축 하면, 이 접촉 부분과 대향하는 위치 사이에서 내관(4a)이 끼워지는 것이 실험 결과로부터 얻어져 있다. 이 때문에, 고정 롤러(37)를 채용한 경우에는, 여전히 내관(4a) 측을 파손할 우려가 있다(도 11 참조).
이에 대해 본 발명에 따르면, 상술한 바와 같이 받침 링(18)의 열수축 시에 회전 롤러(35)가 회전하여 적재용 스테이지부(40)의 수평면(40a) 상을 내관(4a)이 미끄러지듯이 이동한다. 이에 의해, 내관(4a)의 오목부(44) 내에 받침 링(18)의 회전 롤러(35)를 확실하게 끼워 맞출 수 있다. 또한, 내관(4a)의 오목부(44)의 입구에 회전 롤러(35)가 맞물리는 일은 없으므로, 내관(4a)이 손상하는 일은 없다.
그 동안, 전도 방지 링(50)의 한 쌍의 분할 링(50a), (50b)은, 내관(4a)의 플랜지(42)의 상방을 소정 간극을 두고 덮고 있기 때문에, 전도 방지 링(50)에 의해 내관(4a)의 전도를 확실하게 방지할 수 있다. 또한, 받침 링(18)의 열수축 시에 있어서, 받침 링(18)의 적재용 스테이지부(40) 상에서 내관(4a)을 지장 없이 미끄러지듯이 이동시킬 수 있다.
그런데 전도 방지 링(50)은 종래는 C형 형상을 하고 있고, 내관(4a)의 가스 도입부 공간(43)을 피하도록 불균등한 위치에서 나사 고정되어 있었다. 그 때문에, 강도적으로 강한 부분과 약한 부분이 존재하여, 내관(4a)이 어긋나기 쉬운 상태로 되어 있었다. 이에 대해 본 발명에 따르면, 한 쌍의 분할 링(50a), (50b)은 가스 도입부 공간(43)을 통과하는 내관(4a)의 직경에 대하여 좌우 대칭으로 되는 위치에 배치되어 고정되어 있기 때문에, 내관(4a)을 전도 방지 링(50)에 의해, 균등한 힘으로 고정할 수 있어, 열팽창이나 열수축이 일어나도 치우친 방향으로의 내관(4a)의 어긋남을 억제하는 효과를 발휘할 수 있다.
변형예
다음에 본 발명의 변형예에 대해서 도 12에 의해 설명한다. 또한, 도 12에 나타내는 변형예에 있어서, 도 1 내지 도 11에 나타내는 실시 형태와 동일 부분에는 동일한 부호를 붙여 상세한 설명은 생략한다.
도 1 내지 도 11에 나타내는 실시 형태에 있어서, 반응관(4)이 내관(4a)과 외관(4b)을 갖는 2중관 구조를 갖고, 반응관(4)의 내관(4a)을 지지 부재로서의 받침 링(18)에 의해 지지하고, 이 받침 링(18)의 적재용 스테이지부(40)에 의해 내관(4a)을 지지하는 예를 나타냈지만, 이에 한하지 않고 반응관(4)을 단관 구조로 구성해도 된다.
도 12에 나타낸 바와 같이, 단관 구조의 반응관(4)은 지지 부재로 되는 매니폴드(7)에 의해 지지되고, 매니폴드(7)의 상부에 반응관(4)을 적재하는 적재용 스테이지부(40)를 갖는 상단 플랜지부(7a)가 형성되어 있다.
반응관(4)은 반응관 본체(41)와, 반응관 본체(41)의 하단부에 설치된 플랜지(42)를 갖고, 한편, 매니폴드(7)에 형성된 적재용 스테이지부(40)는 반응관(4)의 플랜지(42)를 적재하는 수평면(40a)과, 플랜지(42)의 외주에 맞닿아 플랜지(42)의 반경 방향의 위치 결정을 행하는 수직면(42b)을 갖고 있다.
그리고, 반응관(4)의 플랜지(42)의 외주에 오목부(44)(도 8 참조)가 형성되고, 적재용 스테이지부(40)에 플랜지(42)의 오목부(44)에 계합하여 반응관(4)의 원주 방향의 위치 결정을 행하는 회전 롤러(35)(도 8 참조)가 설치되어 있다.
도 12에 나타내는 변형예에 있어서, 열처리 공정 중 매니폴드(7)가 열수축 할 때, 회전 롤러(35)가 회전하여 적재용 스테이지부(40)의 수평면(40a) 상을 반응관(4)이 미끄러지듯이 이동한다. 이에 의해 반응관(4)의 오목부(44) 내에 매니폴드(7)의 회전 롤러(35)를 확실하게 끼워 맞출 수 있다. 또한 반응관(4)의 오목부(44)의 입구에 회전 롤러(35)가 맞물리는 것이 없으므로, 반응관(4)이 손상하는 일도 없다.
또한, 도 12에 있어서, 매니폴드(7)는, 베이스 플레이트(21)의 하부에 설치되어 있고, 베이스 플레이트(21)의 상부에는, 반응관(4) 내의 웨이퍼 보트(23)에 지지된 반도체 웨이퍼(W)를 가열하는 히터(22)가 설치되어 있다.
또한 매니폴드(7)의 상단 플랜지부(7a)에는 반응관(4)의 플랜지(42)를 누르는 플랜지 누름부(15)가 설치되어 있다.
또한 매니폴드(7)의 하단 플랜지부(7b)에는 덮개(24)가 맞닿아 노구를 밀폐하고 있다.
다음에 본 발명의 다른 변형예에 대해서 도 13에 의해 설명한다. 또한,도 13에 나타내는 변형예에 있어서, 도 1 내지 도 11에 나타내는 실시 형태와 동일 부분에는 동일한 부호를 붙이고 상세한 설명은 생략한다.
도 1 내지 도 11에 나타내는 실시 형태에 있어서, 반응관(4)이 내관(4a)과 외관(4b)을 갖는 2중관 구조를 가지고, 반응관(4)의 내관(4a)을 지지 부재로서의 받침 링(18)에 의해 지지하고, 이 받침 링(18)의 적재용 스테이지부(40)에 의해 내관(4a)을 지지하는 예를 나타냈지만, 이에 한하지 않고 반응관(4)을 단관 구조로 구성해도 된다.
도 13에 나타낸 바와 같이, 단관 구조의 반응관(4)은 지지 부재로 되는 원환상의 플랜지 보유 지지 부재(7A)에 의해 지지되고, 플랜지 보유 지지 부재(7A)의 상부에 반응관(4)을 적재하는 적재용 스테이지부(40)가 형성되어 있다. 그리고 플랜지 보유 지지 부재(7A)는 외주면에 적어도 3개의 돌출부(7B)가 형성되어 있고, 이 돌출부(7B)에 형성된 개구부(7C)를 통해서 볼트(7D)에 의해 베이스 플레이트(21)에 고정되어 있다.
반응관(4)은 반응관 본체(41)와, 반응관 본체(41)의 하단부에 설치된 플랜지(42)를 갖고, 한편, 플랜지 보유 지지 부재(7A)에 형성된 적재용 스테이지부(40)는 반응관(4)의 플랜지(42)를 적재하는 수평면(40a)과, 플랜지(42)의 외주에 맞닿아 플랜지(42)의 반경 방향의 위치 결정을 행하는 수직면(40b)을 갖고 있다.
그리고, 반응관(4)의 플랜지(42)의 외주에 오목부(44)(도 8 참조)가 형성되고, 적재용 스테이지부(40)에 플랜지(42)의 오목부(44)에 계합해서 반응관(4)의 원주 방향의 위치 결정을 행하는 회전 롤러(35)(도 8 참조)가 설치되어 있다.
도 13에 나타내는 변형예에 있어서, 열처리 공정 중 원환상의 플랜지 보유 지지 부재(7A)가 열수축할 때, 회전 롤러(35)가 회전하여 적재용 스테이지부(40)의 수평면(40a) 상을 반응관(4)이 미끄러지듯이 이동한다. 이에 의해 반응관(4)의 오목부(44) 내에 플랜지 보유 지지 부재(7A)의 회전 롤러(35)를 확실하게 끼워 맞출 수 있다. 또한 반응관(4)의 오목부(44)의 입구에 회전 롤러(35)가 맞물리는 것이 없으므로, 반응관(4)이 손상하는 일도 없다.
또한, 도 13에 있어서, 플랜지 보유 지지 부재(7A)는, 연결부(7E)를 통해서 베이스 플레이트(21)의 하부에 설치되어 있고, 베이스 플레이트(21)의 상부에는, 반응관(4) 내의 웨이퍼 보트(23)에 지지된 반도체 웨이퍼(W)를 가열하는 히터(22)가 설치되어 있다.
또한 플랜지 보유 지지 부재(7A)에는 덮개(24)가 접촉하여 노구를 밀폐하고 있다.
다음에 도 14에 의해 본 발명의 다른 예에 대하여 설명한다.
도 14에 나타내는 변형예는, 플랜지 보유 지지 부재(7A)의 상부에, 반응관(4)의 플랜지(42)를 플랜지 보유 지지 부재(7A)의 사이에서 협지하는 플랜지 상부 보유 지지 부재(55)를 설치함과 함께, 회전 롤러(35)를 이 플랜지 상부 보유 지지 부재(55)에 설치된 고정 핀(36)에 의해 회전 가능하게 지지한 것이며, 다른 구성은 도 13에 나타내는 변형예와 거의 동일하다.
도 14에 도시한 변형예에 있어서, 도 13에 나타내는 변형예와 동일 부분에 대해서는 동일한 부호를 붙이고 상세한 설명은 생략한다.
도 14에 나타낸 바와 같이, 단관 구조의 반응관(4)은 지지 부재가 되는 원환상의 플랜지 보유 지지 부재(7A)에 의해 지지되고, 플랜지 보유 지지 부재(7A)의 상부에 반응관(4)을 적재하는 적재용 스테이지부(40)가 형성되어 있다. 그리고 도 14에서는 생략되어 있지만, 도 13에 나타내는 변형예와 마찬가지로, 플랜지 보유 지지 부재(7A)는 외주면에 적어도 3개의 돌출부(7B)가 형성되어 있고, 이 돌출부(7B)에 형성된 개구부(7C)를 통해서 볼트(7D)에 의해 베이스 플레이트(21)에 고정되어 있다.
반응관(4)은 반응관 본체(41)와, 반응관 본체(41)의 하단부에 설치된 플랜지(42)를 갖고, 한편, 플랜지 보유 지지 부재(7A)에 형성된 적재용 스테이지부(40)는 반응관(4)의 플랜지(42)를 적재하는 수평면(40a)과, 플랜지(42)의 외주에 맞닿아 플랜지(42)의 반경 방향의 위치 결정을 행하는 수직면(40b)을 갖고 있다.
그리고, 반응관(4)의 플랜지(42)의 외주에 오목부(44)가 형성되고, 적재용 스테이지부(40)에 플랜지(42)의 오목부(44)에 계합하여 반응관(4)의 원주 방향의 위치 결정을 행하는 회전 롤러(35)가 설치되어 있다.
그런데, 상술한 바와 같이 플랜지 보유 지지 부재(7A)의 상부에 반응관(4)의 플랜지(42)를 플랜지 보유 지지 부재(7A)의 사이에서 협지하는 플랜지 상부 보유 지지 부재(55)가 고정 나사(56)에 의해 고정되어 있다. 회전 롤러(35)는, 플랜지 상부 보유 지지 부재(55)에 설치된 고정 핀(36)에 의해 회전 가능하게 지지되어 있다. 이 경우, 고정 핀(36)은, 축부(36B)와, 축부(36B)의 상단부에 형성된 끝부(36A)와, 축부(36B)의 하단에 형성된 환형상 돌기(36E)를 갖고, 회전 롤러(35)는 이 환형상 돌기(36E)에 의해 지지되어 있다.
또한 플랜지 보유 지지 부재(7A)에는, 냉각수 유로(58)가 형성되어 있다. 이 냉각수 유로(58)는 플랜지 보유 지지 부재(7A)를 냉각수에 의해 냉각하여 적재용 스테이지부(40)의 형상을 유지함과 함께, 회전 롤러(35)를 보호하기 위한 것이다.
W : 반도체 웨이퍼(피처리체)
1 : 종형 열처리 장치(열처리 장치)
2 : 노구
3 : 열처리로
4 : 반응관
4a : 내관
4b : 외관
A : 처리 영역
5 : 가스 도입부
6 : 배기부
7 : 매니폴드
7a : 상단 플랜지부
7b : 하단 플랜지부
7A : 플랜지 보유 지지 부재
14 : 배기계
18 : 받침 링
21 : 베이스 플레이트
22 : 히터
23 : 웨이퍼 보트
24 : 덮개
29 : 퍼지 가스 도입부
35 : 회전 롤러
36 : 고정 핀
40 : 스테이지부
40a : 수평면
40b : 수직면
41 : 내관 본체
42 : 플랜지
44 : 오목부
50 : 전도 방지 링
50a, 50b : 분할 링
55 : 플랜지 상부 보유 지지 부재

Claims (12)

  1. 복수의 기판을 처리하는 반응관과,
    상기 반응관을 지지하는 지지 부재와,
    상기 반응관의 하단부에 외방으로 돌출하는 플랜지와,
    상기 플랜지의 외주에 형성된 오목부와,
    상기 지지 부재의 상면에 설치된 회전 롤러
    를 포함하고,
    상기 회전 롤러는 상기 오목부와 계합하여 상기 반응관의 원주 방향의 위치 결정을 행하는, 열처리 장치.
  2. 제1항에 있어서, 상기 반응관은 내관과 외관을 갖고,
    상기 지지 부재는 매니폴드에 설치되고, 상기 내관을 적재하는 적재용 스테이지부를 포함하는 받침 링으로 이루어지고,
    상기 회전 롤러는 상기 받침 링에 설치되는, 열처리 장치.
  3. 제2항에 있어서,
    상기 받침 링의 적재용 스테이지부는, 상기 내관의 플랜지를 적재하는 수평면과, 상기 플랜지의 외주에 맞닿아 상기 플랜지의 반경 방향의 위치 결정을 행하는 수직면을 갖는, 열처리 장치.
  4. 제3항에 있어서,
    상기 받침 링의 수평면은, 중심선 평균 거칠기 Ra가 0.8㎛ 내지 3.2㎛로 되는 평활면으로 되어 있는, 열처리 장치.
  5. 제2항 내지 제4항 중 어느 한 항에 있어서,
    상기 내관에 반경 방향 외방으로 돌출하여 수직 방향으로 연장되어 가스 도입부를 수용하는 가스 도입부 공간을 더 포함하는, 열처리 장치.
  6. 제5항에 있어서,
    상기 가스 도입부 공간의 돌출 길이는 상기 반응관의 플랜지의 돌출 길이보다 짧은, 열처리 장치.
  7. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 플랜지의 외연은 진원형으로 되어 있는, 열처리 장치.
  8. 제2항 내지 제4항 중 어느 한 항에 있어서,
    상기 받침 링 상에 상기 내관의 플랜지를 상방으로부터 덮도록 설치된 전도 방지 링을 더 포함하고,
    상기 전도 방지 링은 서로 분리된 복수의 분할 링을 갖는, 열처리 장치.
  9. 제8항에 있어서,
    상기 전도 방지 링의 복수의 분할 링은 가스 도입부 공간을 통과하는 내관의 직경에 대하여 좌우 대칭으로 되는 위치에 배치되어 고정되고,
    상기 가스 도입부 공간은 상기 내관에 반경 방향 외방으로 돌출하여 수직 방향으로 연장되어 가스 도입부를 수용하는, 열처리 장치.
  10. 제1항에 있어서,
    상기 지지 부재는 매니폴드를 포함하여 이루어지고,
    상기 매니폴드의 상단에 상기 반응관을 적재하는 적재용 스테이지부가 형성된 상단 플랜지부가 설치되고,
    상기 회전 롤러는 상기 상단 플랜지부에 설치되고,
    상기 적재용 스테이지부는, 상기 반응관의 플랜지를 적재하는 수평면과, 상기 플랜지의 외주에 맞닿아 상기 플랜지의 반경 방향의 위치 결정을 행하는 수직면을 갖는, 열처리 장치.
  11. 제1항에 있어서,
    상기 지지 부재는 상기 반응관을 지지하는 원환상의 플랜지 보유 지지 부재로 이루어지고,
    상기 플랜지 보유 지지 부재의 상면에 적재용 스테이지부가 형성되고,
    상기 회전 롤러는 상기 플랜지 보유 지지 부재에 설치되고
    상기 적재용 스테이지부는, 상기 반응관의 플랜지를 적재하는 수평면과, 상기 플랜지의 외주에 맞닿아 상기 플랜지의 반경 방향의 위치 결정을 행하는 수직면을 갖는, 열처리 장치.
  12. 제11항에 있어서,
    상기 플랜지 보유 지지 부재에 설치되는 플랜지 상부 보유 지지 부재를 더 포함하고,
    상기 반응관의 플랜지는 상기 플랜지 보유 지지 부재와 상기 플랜지 상부 보유 지지 부재의 사이에서 협지되고,
    상기 회전 롤러는 상기 플랜지 상부 보유 지지 부재에 설치된 고정 핀에 의해 회전 가능하게 지지되어 있는, 열처리 장치
KR1020130109106A 2012-09-13 2013-09-11 열처리 장치 KR101629065B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2012201400A JP5882167B2 (ja) 2012-09-13 2012-09-13 熱処理装置
JPJP-P-2012-201400 2012-09-13

Publications (2)

Publication Number Publication Date
KR20140035270A KR20140035270A (ko) 2014-03-21
KR101629065B1 true KR101629065B1 (ko) 2016-06-09

Family

ID=50233610

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130109106A KR101629065B1 (ko) 2012-09-13 2013-09-11 열처리 장치

Country Status (4)

Country Link
US (2) US9759489B2 (ko)
JP (1) JP5882167B2 (ko)
KR (1) KR101629065B1 (ko)
TW (1) TWI641051B (ko)

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5702657B2 (ja) * 2011-04-18 2015-04-15 東京エレクトロン株式会社 熱処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10224222B2 (en) * 2014-09-09 2019-03-05 Asm Ip Holding B.V. Assembly of liner and flange for vertical furnace as well as a vertical process furnace
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6706901B2 (ja) 2015-11-13 2020-06-10 東京エレクトロン株式会社 処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP7023147B2 (ja) * 2018-03-13 2022-02-21 東京エレクトロン株式会社 断熱構造体及び縦型熱処理装置
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI763351B (zh) * 2021-03-05 2022-05-01 志聖工業股份有限公司 高潔淨度烘烤設備
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4493823B2 (ja) 2000-09-20 2010-06-30 東京エレクトロン株式会社 縦型熱処理装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4229950A (en) * 1979-03-02 1980-10-28 Eastman Kodak Company Coupling for end gudgeon and internally heated roller
US4565237A (en) * 1979-08-17 1986-01-21 Allied Corporation Expandable quench surface
JP3278011B2 (ja) * 1993-08-19 2002-04-30 東京エレクトロン株式会社 熱処理装置
JP4015791B2 (ja) * 1998-11-26 2007-11-28 東京エレクトロン株式会社 熱処理装置
TW430866B (en) * 1998-11-26 2001-04-21 Tokyo Electron Ltd Thermal treatment apparatus
US6395221B1 (en) * 2000-03-23 2002-05-28 Mdy Engineering Corp. Tilting rotary furnace system for recovery of non-ferrous metals from scrap or dross and method of operation
JP2002086481A (ja) * 2000-09-14 2002-03-26 Terumo Corp ガスケットの製造方法
TW502299B (en) 2000-09-20 2002-09-11 Tokyo Electron Ltd Vertical heat-processing apparatus and fastening member used in the same
JP4093823B2 (ja) * 2002-08-20 2008-06-04 富士通株式会社 視野移動操作方法
JP2006278631A (ja) * 2005-03-29 2006-10-12 Hitachi Kokusai Electric Inc 半導体製造装置
KR20070048986A (ko) * 2005-11-07 2007-05-10 삼성전자주식회사 종형 확산로의 인렛 플랜지와 아우터 튜브의 체결 구조
JP5157100B2 (ja) 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5144990B2 (ja) 2006-10-13 2013-02-13 東京エレクトロン株式会社 熱処理装置
JP4327206B2 (ja) * 2007-01-30 2009-09-09 東京エレクトロン株式会社 縦型熱処理装置及び縦型熱処理方法
JP2009016532A (ja) * 2007-07-04 2009-01-22 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2010056249A (ja) * 2008-08-27 2010-03-11 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
KR20110107818A (ko) * 2008-12-19 2011-10-04 램 리서치 아게 디스크형 물품을 처리하기 위한 디바이스 및 이를 동작시키기 위한 방법
JP5239983B2 (ja) * 2009-03-23 2013-07-17 株式会社リコー 縦型ウエハ処理装置
JP2011061037A (ja) * 2009-09-10 2011-03-24 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2011222710A (ja) * 2010-04-08 2011-11-04 Hitachi Kokusai Electric Inc 反応容器の着脱方法
TWM392271U (en) 2010-04-14 2010-11-11 Protorsion Hinge Corp Co Ltd Structural improvement of pivotal shaft having roller for assisting locking
JP5524132B2 (ja) 2010-07-15 2014-06-18 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法、及び、薄膜形成装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4493823B2 (ja) 2000-09-20 2010-06-30 東京エレクトロン株式会社 縦型熱処理装置

Also Published As

Publication number Publication date
TW201419421A (zh) 2014-05-16
US10465986B2 (en) 2019-11-05
US20140072925A1 (en) 2014-03-13
TWI641051B (zh) 2018-11-11
US20170336143A1 (en) 2017-11-23
KR20140035270A (ko) 2014-03-21
JP5882167B2 (ja) 2016-03-09
US9759489B2 (en) 2017-09-12
JP2014056959A (ja) 2014-03-27

Similar Documents

Publication Publication Date Title
KR101629065B1 (ko) 열처리 장치
JP5960028B2 (ja) 熱処理装置
US9484233B2 (en) Carousel reactor for multi-station, sequential processing systems
JP5144990B2 (ja) 熱処理装置
US7762809B2 (en) Heat treatment apparatus
US20140076494A1 (en) Processing system
KR20010087350A (ko) 기판 처리 장치, 기판 처리 방법 및 전자 장치의 제조 방법
US11990321B2 (en) Fast response pedestal assembly for selective preclean
US10669632B2 (en) Processing apparatus
JP5868619B2 (ja) 熱処理炉及び熱処理装置
US6031205A (en) Thermal treatment apparatus with thermal protection members intercepting thermal radiation at or above a predetermined angle
JP5933399B2 (ja) 熱処理装置
JP2002009009A (ja) 縦型熱処理装置
KR101907247B1 (ko) 기판 처리 장치 및 기판 적재 유닛의 제조 방법
JP2002009010A (ja) 熱処理装置及びその方法
KR20130140310A (ko) 기판처리장치
KR101771901B1 (ko) 기판처리장치
JPH076965A (ja) 半導体製造装置の高温縦型炉
KR20240011180A (ko) 기판 처리 장치
KR20070048986A (ko) 종형 확산로의 인렛 플랜지와 아우터 튜브의 체결 구조
JP4027372B2 (ja) 縦型ウェーハホルダ
JP2006005198A (ja) 半導体製造装置
KR20060086204A (ko) 열처리설비
JPH04143273A (ja) 基板冷却機構
JP2008016859A (ja) 縦型ウェーハホルダ

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190516

Year of fee payment: 4