KR20070091332A - 웨이퍼 지지핀 어셈블리 - Google Patents

웨이퍼 지지핀 어셈블리 Download PDF

Info

Publication number
KR20070091332A
KR20070091332A KR1020077016153A KR20077016153A KR20070091332A KR 20070091332 A KR20070091332 A KR 20070091332A KR 1020077016153 A KR1020077016153 A KR 1020077016153A KR 20077016153 A KR20077016153 A KR 20077016153A KR 20070091332 A KR20070091332 A KR 20070091332A
Authority
KR
South Korea
Prior art keywords
pins
pin
support
substrate support
substrate
Prior art date
Application number
KR1020077016153A
Other languages
English (en)
Inventor
카일 폰듀룰리아
칼 화이트
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20070091332A publication Critical patent/KR20070091332A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B35/00Apparatus not otherwise provided for, specially adapted for the growth, production or after-treatment of single crystals or of a homogeneous polycrystalline material with defined structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

반도체 웨이퍼 지지핀 어셈블리에 관한 것이다. 서셉터는, 서셉터의 상면 위로 웨이퍼를 상승시키기 위하여 구성된, 적어도 3개의 지지핀들을 포함한다. 각 지지핀은, 베이어닛 마운트(bayonet mount) 형태의 급속해제(quick-release) 메커니즘에 의해 함께 체결되는 상부핀과 하부핀을 포함한다. 상부핀은 폴리벤지미다졸(polybenzimidazole)과 같은 비금속성 재료로 제작된다. 서셉터는 전기 모터 또는 공압 실린더에 의해 구동되는 승강 메커니즘에 의해 상하 방향으로 구동된다. 서셉터는 지지핀에 대하여 상하 방향으로 이동한다.

Description

웨이퍼 지지핀 어셈블리{Wafer support pin assembly}
본 출원은 2005년 1월 18일에 출원된 미국 임시출원 제60/645,581호 및 2005년 2월 24일에 출원된 미국 임시출원 제60/656,832호의 이익을 주장한다.
본 발명은 반도체 제조에 관한 것으로서, 특히 반도체 기판의 처리를 위한 반도체 기판 홀더에 관한 것이다.
반도체 처리 단계에서는 일반적으로, 다양한 처리 도구들을 채용한다. 그러한 처리 도구들은 증착 장치들, 포토리소그래피 장치들, 연마 장치들 등을 포함한다. 이러한 장치들 대부분(전부는 아니지만)은, 처리 공정을 위하여 반도체 기판을 지지하기 위한 기판 지지 메커니즘이라고 알려진 것을 이용한다. 일부 기판 홀더들 즉 지지부들은, 상기 기판 홀더의 상면으로부터 상측 축방향으로 연장된 복수의(바람직하게는 적어도 3개) 지지핀들을 포함한다. 상기 지지핀들은, 처리 공정 동안 사용을 위해서 정지해 있을 수도 있고, 기판 홀더의 상면으로부터 반도체 기판을 상승시키거나 기판 홀더의 상면으로 반도체 기판을 하강시키도록 구성될 수도 있다. 기판 지지핀들의 상면은 반도체 기판의 하면 즉 바닥면(배면)과 접촉하도록 구성된다. 처리 공정(예컨대, 증착, 연마 등)은, 일반적으로 반도체 기판의 상면상에 수행된다.
많은 반도체 처리 장치들은 하나의 반응 챔버 내에 하나의 기판 지지부를 포함하는 단일 웨이퍼 처리식(single-wafer processing type) 장치들이다. 기판 즉 웨이퍼의 처리는, 일반적으로 기판 지지부 즉 서셉터 상에서 기판을 가열하는 동안 수행된다. 단일 웨이퍼 처리식 장치의 전형적인 서셉터는, 높은 열전도성을 가진 금속 또는 세라믹으로 형성된 디스크 형상의 몸체부를 구비하고, 서셉터 내부에 전기 히터와 같이 내장된(built-in) 가열요소 또한 포함할 수 있다.
기판의 배면의 일부 영역들은, 하나 이상의 처리 단계 동안 및/또는 하나 이상의 처리 단계 후, 파티클에 의해 오염될 수가 있다. 그러한 오염은 기판에 결함을 유발할 수 있다. 파티클들은 반응 챔버 내의 처리 환경을 오염시킬 수 있고, 결국 챔버 내에서 처리되는 기판을 오염시킬 수 있다.
파티클들은 때때로, 기판 지지부가 조립될 때 발생한다. 예를 들면, 지지핀들을 포함하는 기판 지지부들은 조립을 위하여 일반적으로 핸드 공구(예컨대, 렌치)를 필요로 하는데, 그러한 핸드 공구는 파티클의 발생을 증가시킨다. 지지핀의 조립에 사용된 재료들도 핀과 가이드의 마모를 유발할 수 있는데, 이것 또한 파티클을 증가시킨다. 흔히, 지지핀의 핀 헤드부와 몸체부 사이에는 나사산 형상의 접촉부가 존재한다. 처리 압력의 상승으로 인해 핀 헤드부와 핀 몸체부 사이의 나사산 형상의 연결부에서, 바람직하지 못하게 갇혀 있는 가스를 방출하기 위하여, 나사산 형상의 디자인은 일반적으로 진공 배기홀을 필요로 한다. 이러한 배기홀들은, 불행히도, 잠재적인 파티클과 오염의 온상이 된다. 게다가, 금속은 반도체 처리 공정에서 바람직하지 못한 금속성의 오염물질을 배출할 수 있기 때문에, 금속으 로 제작된 핀 헤드부들은 바람직하지 않다. 일부 지지핀들은 티타늄으로 제작되는데, 티타늄을 보호하고 기판을 위한 수동표면(passive surface)을 형성하기 위하여, 상기 티타늄 핀들 위로 알루미나 패시베이션층(alumina passivation layer)이 필요하다.
기판 지지부들은 화학기상증착(CVD) 챔버 및 원자층증착(ALD) 챔버와 같은 증착 챔버들에 사용된다. ALD 처리 공정들은 등각 증착층의 이점을 제공한다. 그러나, 원자층증착 처리 공정에는, 순차적인 자체포화 펄스에 대한 필요성과 같은 특수한 문제점이 존재한다. ALD 처리 공정들에서는, ALD의 등각성 이점을 훼손시키는 CVD와 유사한 반응을 피하기 위하여, 반응물질들을 시간과 공간에 따라 분리하는 것이 중요하다. 예를 들면, ALD 처리 공정들에서는, 어느 한 펄스 후에 갇힌 가스가 갇힌 장소에서 누출되고 확산되어 다른 펄스와 반응하여, 파티클을 생성하고, CVD와 유사한 반응에서 볼 수 있는 비균일성을 만들어 낼 수 있다.
상기에서 언급한 바와 같이, 기판 지지부의 부재들을 위한 재료의 선택뿐만 아니라, 도구에 대한 요구조건으로 인해, 기판 지지부를 제작하고 조립하는 데 복잡함이 가중된다.
본 발명의 일 측면에 따르면, 반도체 기판을 처리하기 위한 기판 지지부가 제공된다. 상기 기판 지지부는, 상면부터 바닥면까지 연장된 복수의 개구부들을 포함한다. 상기 기판 지지부는, 복수의 지지핀들을 포함한다. 상기 복수의 지지핀들 각각은, 상기 복수의 개구부들 중 어느 하나에 슬라이딩 가능하게 결합된다. 상기 복수의 지지핀들 각각은, 상부핀 및 하부핀을 포함한다. 상기 상부핀은, 베이어닛 마운트(bayonet mount)에 의해 상기 하부핀과 결합한다.
본 발명의 또다른 측면에 따르면, 복수의 지지 구조물들을 포함하는 반도체 기판 지지부를 조립하는 방법이 제공된다. 상면부터 바닥면까지 관통하면서 연장된 복수의 보어(bore)들을 가지는 서셉터가 제공된다. 상부핀은 상기 복수의 보어들 각각을 통과하고, 상기 상부핀과 상기 상부핀 아래에 위치하는 하부핀을 360도 미만의 각도로 회전시킴으로써, 상기 상부핀들 각각은 상기 하부핀에 결합된다.
본 발명의 또다른 측면에 따르면, 반도체 기판을 처리하기 위한 처리 도구가 제공된다. 상기 처리 도구는 서셉터와, 승강 메커니즘과, 히터를 포함한다. 상기 서셉터는 상면부터 바닥면까지 연장된 복수의 개구부들을 포함한다. 상기 서셉터는 복수의 지지핀들을 포함하는데, 여기서 상기 복수의 지지핀들 각각은 상기 복수의 개구부들 중 어느 하나에 슬라이딩 가능하게 결합된다. 상기 복수의 지지핀들 각각은, 상부핀과, 하부핀을 포함하는데, 여기서 상기 상부핀은 급속해제(quick-release) 메커니즘에 의해 상기 하부핀에 결합된다. 상기 승강 메커니즘은, 상기 서셉터를 상승시키거나 하강시키도록 구성된다. 상기 서셉터는 상기 히터의 상측에 설치된다.
본 발명의 또다른 측면에 따르면, 반도체 처리를 위한 웨이퍼 지지부의 개구부에 슬라이딩 가능하게 결합하도록 구성된 웨이퍼 지지핀이 제공된다. 상기 지지핀은, 확대된 핀 헤드부 및 상기 핀 헤드부로부터 하측으로 연장된 상부핀 샤프트를 가지는 상부핀을 포함한다. 하부핀은, 베이어닛 마운트(bayonet mount)에 의해 상기 상부핀과 결합하도록 구성된다.
본 발명의 여러 측면들은, 본 발명을 예시하지만 본 발명을 한정하지 않는, 하기의 상세한 설명 및 추가된 도면들(비례는 맞지 않음)로부터 명백하게 될 것이다.
도 1a는 지지핀을 포함하는 기판 지지부의 일 실시양태의 사시도 및 부분 단면도.
도 1b는 지지부의 보어를 관통하며 연장된 지지핀을 포함하는 기판 지지부의 일 실시양태의 저면 분해 사시도.
도 1c는 기판 지지부에서 하강된 위치에 있는 지지핀의 측면 단면도.
도 1d는 일 실시양태의 히터와 승강 메커니즘의 분해 사시도.
도 1e는 히터와 히터의 중앙부로부터 하측으로 연장된 샤프트의 사시도.
도 2a는 지지핀의 상부핀 부분의 측면도.
도 2b는 도 2a에 도시된 상부핀 부분의 커넥터의 상세도.
도 2c는 도 2a에 도시된 상부핀 부분을 90도 회전한 측면도.
도 3a는 지지핀의 하부핀 부분의 사시도.
도 3b는 도 3a에 도시된 하부핀 부분을 90도 회전한 사시도.
도 3c는 도 3a에 도시된 하부핀 부분의 측면도.
하기의 바람직한 실시양태들과 방법들의 상세한 설명은, 청구범위의 이해를 돕기 위하여 일부 특정 실시양태들에 대한 기술을 제공한다. 그러나, 청구범위에 의해 한정되고 보호되는 실시양태들 및 방법들과는 다른 수많은 실시양태들과 방법들에 의해 본 발명을 실행할 수 있다. 예를 들면, 바람직한 실시양태의 급속해제(quick-release) 연결 메커니즘은 베이어닛 마운트(bayonet mount)이지만, 당업자는 나사산 형상의 스크류 즉 볼트를 제외한, 다른 급속해제 메커니즘이 수동으로 작동될 수 있다는 것을 이해할 것이다.
예시하기 위한 목적으로 특히 도면들을 참조하면서, 본 발명은 도면들에 일반적으로 나타난 장치들에 의해 구체화된다. 본원에 개시된 기본적인 개념에서 벗어나지 않는 범위에서, 장치들은 구성에 따라 그리고 부재들의 상세 부분에 따라 변경될 수 있고, 방법들은 특정한 단계들 및 시퀀스에 따라 변경될 수 있다는 점은 이해될 것이다.
ALD 처리 공정에서는 반응물질들을 분리한 채로 가스가 이송된다. ALD의 반응물질들은 CVD 반응처럼 혼합되지 않는다. 게다가, ALD 챔버에서는 반응물질들을 이송하기 위한 제어가, 펄스 단계와 그 펄스 단계들의 사이의 제거 단계 즉 퍼지 단계가 교차하면서 순차적으로 진행하도록, 프로그램화되어 있다. 자체포화 흡수 및 반응을 확실히 하기 위하여 온도는 일반적으로 100℃ 내지 500℃ 사이의 범위에서 유지되는데, 이러한 온도 범위는 반응물질에 따라 좌우된다. 한 번의 사이클에서, 하나의 분자로 이루어진 단일층이 완전하게 증착되지는 못한다.
일 실시양태가 도 1a 내지 도 1c에 도시되어 있다. 도 1a에 도시된 바와 같이, 기판 지지부(110)(예컨대, 서셉터 즉 척(chuck))는 그 위에서 기판(미도시)을 지지하도록 구성된다. 바람직하게, 기판 지지부(110)는 그 기판 지지부(110)에 형성된 지지핀의 개구부들 즉 보어(bore)(130)들에 슬라이딩 가능하게 결합된, 적어도 3개의 지지 구조물들 즉 핀(120)들을 가진다. 기판 지지부(110)의 기계적인 복잡성을 최소화하기 위해서는 지지핀(120)들의 수량을 최소화하는 것이 일반적으로 바람직하다. 바람직한 실시양태에서는, 기판 지지부(110)는 3개의 지지핀(120)들을 가지며, 각각은 기판 지지부(110)의 원주 방향으로 120도씩 떨어져 위치한다(도 1d 및 도 1e 참조). 당업자는 지지핀(120)들이 기판 지지부(110)의 중앙부 근처에 또는 가장자리부에 가깝게 위치할 수도 있다는 것을 이해할 것이다. 도 1d 및 도 1e에 도시된 예시적인 실시양태에 있어서, 지지핀(120)들은 기판 지지부(110)의 중앙부와 가장자리부의 사이에서 대략 중간에 위치한다. 지지핀(120)들은 기판 지지부(110) 위로 기판을 일정 거리 띄우기 위해, 기판을 위한 평탄한 지지 플랫폼을 형성한다. 바람직한 실시양태에서는, 기판 지지부(110)는 티타늄으로 만들어진다. 대안적인 실시양태에서는, 기판 지지부(110)는 스테인리스 스틸, 알루미늄, 실리콘, 알루미나 (세라믹), 니켈, 니켈 합금 (예컨대, 인코넬(Inconel®), 하스텔로이(Hastelloy®)) 등으로 만들어질 수 있다.
예시된 실시양태에서, 기판 지지부(110)는 히터(135) 상에 설치된다. 상기 히터(135)는, 기판 지지부(110)의 중앙부에 위치한 샤프트(180)(도 1d 및 도 1e를 보라)에 연결된다. 샤프트(180)는 모터에 의해 구동되는 리드 스크류(lead screw)에 의해 상하 방향으로 움직이는데, 이는 하기에 보다 자세히 기술될 것이다. 도 1a 내지 도 1c에 도시된 바와 같이, 개구부(130)들은 기판 지지부(110) 및 히 터(135)를 관통하며 연장된다.
로딩 및 언로딩하는 동안, 기판 지지부(110)의 상면 위로 기판을 들어 올리기 위하여 상기 지지핀(120)들을 이용함으로써, 로봇 즉 웨이퍼 핸들링 암은 기판 지지부(110)의 상면과 접촉하지 않는다. 그럼으로써, 기판과 기판 지지부(110)에 손상을 입힐 가능성을 최소화한다. 당업자는 지지핀(120)들에 의해 기판을 로딩 또는 언로딩하는 동안, 기판 아래로 뻗어지는 이송 포크와 패들(paddle)을 이용할 수 있다는 것을 이해할 것이다. 또한, 기판을 로딩/언로딩하는 동안, 지지핀(120)들을 이용함으로써, 부착/슬라이딩되는 문제점(the problem of stick and slide)을 방지한다. 부착/슬라이딩되는 문제점이 있는 경우, 흡입으로 인해 기판을 들어 올리기 힘들고, 내려 놓을 때 갇혀 있던 가스 위에서 기판이 미끄러질 수 있다.
도 1a에 도시된 바와 같이, 타원형 커넥터(140)는 히터(135)와 지지핀(120) 아래에 위치한다. 상기 타원형 커넥터(140)는 처리 챔버의 바닥부에 고정된 베이스(160)에 연결되는데, 바람직하게는 나사결합된다. 기판 지지부(110)는, 기판 지지부(110)를 전기로 또는 공압으로 상하 방향으로 구동하기 위하여, 예를 들어 모터 또는 공압 실린더와 같은 승강 메커니즘(170)(도 1d 참조)에 의해 상하 방향으로 이동한다. 바람직한 실시양태에 있어서, 승강 메커니즘(170)은 전기 모터에 연결된 리드 스크류에 의해 구동된다. 당업자는 일부 실시양태들에서 승강 메커니즘은 공압 액츄에이터에 의해 구동된다는 것을 이해할 것이다.
도 1b의 분해 사시도 및 도 1c의 측면 단면도에 도시된 바와 같이, 기판 지지부(110)에 있어서, 지지핀 개구부들 즉 보어(130)들은 기판 지지부(110)의 상면 부터 히터(135)의 바닥면까지 기판 지지부(110)를 관통하여 연장되도록 정렬된다. 바람직하게, 개구부(130)들 각각은 약 6 ㎜ 부터 약 10 ㎜ 까지의 직경을 가진다. 지지핀(120)은 개구부(130)들 각각에 슬라이딩 가능하게 설치되며, 기판을 상승시키고/상승시키거나 하강시키도록 구성된다. 도 1c에 도시된 바와 같이, 지지핀(120)들 각각은 개구부(130) 내에서 슬라이딩하도록 배치된다. 하기에서 보다 상세히 기술되는 바와 같이, 기판이 기판 지지부(110) 상으로 로딩되거나 기판 지지부(110)로부터 언로딩될 때, 슬라이딩 가능하게 설치된 지지핀(120)들은 기판 지지부(110)의 개구부(130)들을 통해 상승하고 기판을 상승시키거나 하강시킨다.
도 1c에 잘 나타난 바와 같이, 지지핀(120) 각각은, 실질적으로 원통 형상의 표면을 가지는 핀 헤드부(120A)를 포함하는데, 핀 헤드부(120A)는 하강시 기판 지지부(110)의 상부에 형성된 함몰부(130A)에 안착한다. 바람직하게, 핀 헤드부(120A)는 지지핀(120)의 몸체부(120B)의 직경보다 큰 직경을 가진다. 개구부(130)의 내측벽에 접촉함으로써 발생할 수 있는 마모를 유발하지 않고 지지핀(120)이 개구부(130) 내에서 슬라이딩할 수 있도록, 바람직하게, 지지핀(120)의 몸체부(120B)의 직경은 개구부(130)의 직경보다 약간 더 작다. 기판을 상승시키고/상승시키거나 하강시키기 위하여, 지지핀(120)들은 기판 지지부(110)에 대하여 상승 그리고/또는 하강할 수 있다.
도 1a 내지 도 1c, 도 2a 및 도 2c에 도시된 실시양태에 있어서, 지지핀(120)은 약간 테이퍼진(tapered) 형상(핀 샤프트 즉 몸체부(120B)측으로 갈수록 폭이 점점 감소하는)의 핀 헤드부(120A)를 가진다. 도 1c에 도시된 바와 같이, 핀 헤드부(120A)가 하강시 후퇴하는, 기판 지지부(110)의 함몰부(130A) 또한 테이퍼진 형상이다. 예시된 실시양태에 있어서, 함몰부(130A)가 테이퍼진 형상이고, 핀 헤드부(120A)에서 함몰부(130A)와 짝을 이루는 표면 또한 테이퍼진 형상이므로, 핀 헤드부(120A)의 짝을 이루는 표면과 함몰부(130A)의 표면이 짝을 이루어, 개구부(130)들을 통해 가스가 흐르는 것을 방지한다. 당업자는 개구부들을 통해 가스가 흐르는 것을 방지하는 것이 기판 배면의 오염 위험을 최소화할 수 있다는 것을 이해할 것이다.
당업자는, 지지핀 헤드부(120A)가, 예시된 실시양태에 도시된 바와 같이, 하강된 위치에 있는 함몰부(130A)의 테이퍼진 표면과 대응되게 짝을 이루는 테이퍼진 표면을 가지도록 형성될 수 있다는 것을 이해할 것이다. 대안으로, 함몰부(130A)는 원통 형상의 핀 헤드부(120A)와 짝을 이루는 표면을 가지도록 형성될 수 있다.
도 1b 및 도 1c에 도시된 바와 같이, 각각의 지지핀(120)은, 바람직하게는 베이어닛 마운트(bayonet mount)에 의해 결합하는 상부핀(122)과 하부핀(124)을 포함한다. 상부핀(122)들과 하부핀(124)들이 기술자에 의해 바람직하게 360도 미만의 각도로 서로에 대하여 회전될 때, 상부핀(122)들과 하부핀(124)들은 결합하고 서로 체결되는 것이 바람직하다. 압축 스프링 메커니즘(128) 예컨대, 압축 스프링으로부터의 탄성력은 상부핀(122)과 하부핀(124)을 서로 떨어지게 하는 방향으로 편향시킨다. 바람직하게는, 회전은 180도 미만이며, 예시된 실시양태에서는 약 90도이다.
도 2a는 상부핀의 측면도이고, 도 2c는 도 2a에 도시된 상부핀을 90도 회전 한 상태의 측면도이다. 도 2a 내지 도 2c에 도시된 바와 같이, 상부핀(122)은, 하부핀(129)에 형성된 슬롯(127) 및 홈부(129)와 결합하도록 구성된 커넥터(125)를 가진다(도 3a 및 도 3b 참조). 도 2b는 도 2a의 원 내부의 커넥터에 대한 상세도이다.
도 3a 및 도 3b는 하부핀(124)의 사시도이고, 도 3b는 도 3a에 도시된 사시도를 약 90도 회전한 사시도다. 도 3c는 하부핀(124)의 측면도이다. 당업자는 커넥터(125)가 슬롯(127)에 삽입된 후(상부핀(122)과 하부핀(124)을 밀어넣고 스프링(128)을 압축시킨다), 상부핀(122) 또는 하부핀(124) 중 어느 하나가 바람직하게 약 90도로 회전될 때, 상부핀(122)은 하부핀(124)으로부터 멀어지는 방향으로 편향된다는 것을 이해할 것이다. 약 90도 회전 후, 커넥터(125)는 스프링(128)에 의해 편향되어, 하부핀(124)의 홈부(129)의 상면에 대하여 안착된다. 압축 스프링(128)은 상부핀(122)과 하부핀(124)이 제자리에서 체결되도록 유지시킨다(도 1c 참조). 이와 같이 회전된 위치에서, 스프링(128)을 해제하기 위하여, 하부핀(124)이 스프링(128) 저항력의 반대 방향인 하측으로 밀려 홈부(129)로부터 벗어나고 90도 반대 방향으로 다시 회전되지 않는다면, 상부핀(122)은 하부핀(124)으로부터 분리될 수 없다. 당업자는, 이러한 실시양태에서, 상부핀(122)과 하부핀(124)을 결합하기 위한 어떠한 도구도 필요하지 않으며, 급속해제 메커니즘(베이어닛 마운트(bayonet mount))과 스프링(128)은 상부핀(122)과 하부핀(124) 사이에 나사산 형상의 접촉부에 대한 필요성을 제거하고, 그럼으로써, 바람직하지 못한 파티클의 발생을 최소화하고, 설치와 교체를 상당히 단순화시킨다는 것을 이해할 것이다.
상부핀(122)은, 도 1a 내지 도 1c, 도 2a 및 도 2c에 도시된 바와 같이, 바람직하게 확대된 헤드부(120A)를 가지며, 바람직하게 Celazole®과 같은 비결정질의 폴리머 PBI(폴리벤지미다졸(polybenzimidazole)) 재료로 만들어진다. 여기서, Celazole®은 미국 노스캐롤라이나주 샬럿에 위치하는 PBI 퍼포먼스 프라덕트 주식회사(PBI Performance Products, Inc.)의 등록상표이며, 미국 펜실베니아주 레딩에 위치하는 쿼드런트 엔지니어링 플라스틱 프라덕트(Quadrant Engineering Plastic Products)에 의해 상업적으로 이용된다. 상기 PBI 재료는 높은 온도저항성 때문에 바람직하다. PBI 재료로 만들어진 상부핀(122)은 비금속 핀 헤드부(120A)를 제공하는데, 이는 기판의 배면 상에 핀 헤드부(120A)로부터 발생되는 금속성의 오염을 방지한다. PBI 재료는 또한, 알루미나 패시베이션층에 대한 필요성을 제거한다. 하부핀(124) 또한, 바람직하게 PBI 재료로 만들어진다. 하부핀(124)에 대한 비금속성의 대안적인 재료는, 세라믹(예컨대, 알루미나) 및 토론(Torlon), 세미트론(Semitron), 피크(Peek), 울템(Ultem), 베스펠(Vespel), Ertalyte 와 같은 엔지니어링 플라스틱을 포함한다. 그러나 상기 재료에 한정되는 것은 아니다. 하부핀은 또한 티타늄 또는 스테인리스 스틸과 같은 금속으로 만들어질 수 있다.
예시된 실시양태에 있어서, 도 1b 및 도 1c에 도시된 바와 같이, 하부핀(124)은 압축 스프링(128)과 결합하도록 구성된다. 설치에 앞서 압축 스프링(128)과 하부핀(124)을 제 위치에 고정하기 위하여, 예시된 실시양태의 세트 스크류와 같은 부착 수단(131)이 제공된다. 도 1c에 도시된 바와 같이, 압축 스프링(128)은 하부핀(124)의 중앙 구멍에 맞춰진다.
상기 언급한 바와 같이, 기판 지지부(110)가 승강 메커니즘(170)에 의해 제어되어 상하 방향으로 각각 구동될 때, 지지핀(120)들은, 기판 지지부(110)의 상면 위로 상승하고, 함몰부(130A)의 내부에 안착하도록 구성된다. 상기에서 언급한 바와 같이, 예를 들어 모터 또는 공압 실린더와 같은 승강 메커니즘(170)은, 전기를 이용하여 또는 공압을 이용하여 상기 기판 지지부(110)를 상하로 구동한다. 바람직한 실시양태에서, 상기 승강 메커니즘(170)은 전기 모터에 연결된 리드 스크류(lead screw)에 의해 구동된다. 당업자는 일부 실시양태에서, 승강 메커니즘이 공압 액츄에이터에 의해 구동된다는 것을 이해할 것이다.
도 1a에 도시된 바와 같이, 바람직한 실시양태에 있어서, 타원형 커넥터(140)는 챔버에 대하여 움직이지 않는다. 잼 너트(150)(타원형 커넥터(140)와 베이스(160) 사이의 연결을 조정하고 죔)는 타원형 커넥터(140)와 베이스(160) 사이에 위치한다. 기판 지지부(110)의 상면의 상측인 상승 위치로부터 지지핀(120)들을 하강시키기 위하여, 승강 메커니즘(170)은 기판 지지부(110)를 상측으로 구동시킨다. 처음에는, 기판 지지부(110)가 상측으로 이동하면서, 스프링(126)은 지지핀(120)들(플랫폼 즉 커넥터(140)에 대하여 정지한 상태로 있음)이 기판 지지부(110)의 함몰부(130A) 내로 후퇴하도록 즉 하강하도록, 지지핀(120)들을 편향시킨다. 핀 헤드부(120A)는 원추형 구멍형상의 함몰부(130A)에 안착하여 기판 지지부(110)에 대하여 더 이상 하강하지 않으며, 보어(130)는 반응 가스들로부터 밀봉된다. 챔버를 밀봉하기 위하여 기판 지지부(110)가 계속하여 상측으로 이동하면, 지지핀(120)들은 기판 지지부(110)와 함께 이동한다.
상기 함몰부(130A)에 안착한 하강 위치로부터 지지핀(120)들을 상승시키기 위하여, 기판 지지부(110)는 도 1d에 도시된 바와 같이, 승강 메커니즘에 의해 하측으로 구동된다. 초기에는, 챔버가 개방될 때 지지핀(120)들(스프링(126)에 의해 후퇴한 위치로 편향되어 있음)이 기판 지지부(110)와 함께 하측으로 이동한다. 하측으로 계속 이동하면 지지핀(120)들 각각의 바닥면은 타원형 커넥터(140)에 접촉하게 된다. 지지핀(120)과 타원형 커넥터(140)의 접촉은, 도 1a 내지 도 1c에 도시된 바와 같이, 지지핀(120)의 하부를 둘러싸는 스프링(126)을 압축한다. 기판 지지부(110)가 승강 메커니즘(170)에 의해 하측으로 구동되는 동안, 스프링(126)은 압축되면서, 다음에 기판 지지부(110)가 상승될 때 지지핀(120)의 상대적인 하강을 용이하게 할 복원력을 얻게 된다. 따라서, 스프링(126)과, 타원형 커넥터(140)에 의해 제공되며 지지핀의 하측으로의 이동을 위한 플랫폼 즉 바닥부의 조합은, 지지핀들을 커넥터(140)에 의해 형성된 플랫폼에 대하여 고정시키지 않고 또한 더 짧은 지지핀(120)들을 사용하지 않으면서도, 기판 지지부(110)가 상하로 이동하는 동안, 지지핀들이 기판 지지부(110)에 대하여 이동하는 것을 가능하게 한다. 지지핀(120)들을 고정시키면, 챔버에 대하여 지지핀(120)들의 횡방향 이동을 막아서, 기판을 로딩 및 언로딩하는 동안 기판 지지부(110)가 횡방향으로 이동하는 경우, 지지핀들이 파손될 위험이 있다. 예시된 실시양태에 있어서, 기판 지지부(110)가 횡방향으로 약간 이동하면, 지지핀(120)들은 횡방향으로 이동할 것이다.
도 1d는 히터(135)와 승강 메커니즘(170)의 분해 사시도이다. 도 1e는 히터(135)와 히터(135)의 중앙부로부터 하측으로 연장된 샤프트(180)의 사시도이다. 도 1d에 도시된 바와 같이, 히터(135)는 승강 메커니즘(170)에 설치된다. 예시된 실시양태에 있어서, 샤프트(180)는 승강 메커니즘(170)의 벨로우즈 어셈블리(190) 내부에 끼워지고, 벨로우즈 어셈블리(190)의 내부 기저면의 위치에서 승강 메커니즘(170)에 설치된다. 바람직하게는, 승강 메커니즘(170)은 처리 챔버의 바닥면에 고정된다. 당업자는 벨로우즈 어셈블리(190)가 처리 챔버의 바닥부에서 밀봉을 형성함을 이해할 것이다.
지지핀(120)들이 하강할 때, 지지핀(120)들의 핀 헤드부(120A)들이 지지핀의 개구부(130)들의 함몰부(130A)들에 안착하고, 지지핀(120)들의 상면들이 기판 지지부(110)의 상면으로부터 약간 함몰된 위치에 있도록(다른 실시양태에서는 동일 높이에 위치), 상기 지지핀(120)들은 후퇴한다. 이때, 기판이 기판 지지부(110)에 안착하도록 기판은 기판 지지부(110) 상에 놓여진다.
도 1c는 함몰부(130A)로 후퇴한 지지핀(120)을 예시한다. 바람직하게는, 지지핀 헤드부(120A)들은 함몰부(130A)에 아늑하게 안착하여 시일(seal)을 형성함으로써, 반응 가스들이 갇혀 있는 개구부들 즉 보어(130)들의 내부로 그리고 보어(130)들을 통과하여 반응 가스들이 흐르지 않도록 하여 기판의 배면을 오염시키지 않으며, 또는 반응 가스들이 확산, 다른 반응 물질들과 혼합하여 화학기상증착에 의해 생성된 파티클과 비균일성으로 웨이퍼를 오염시키지 않도록 한다. 기판 배면의 오염을 방지하고자 기판의 처리 공정 중 기판 지지부(110)에 있는 개구부(130)를 통하여 가스가 흐르는 것을 막기 위해, 각 지지핀 헤드부(120A)는 바람직하게는, 개구부(130)의 대응되는 함몰부(130A)의 표면과 짝을 이룬다. 게다가, 일부 실시양태에 있어서, 기판 지지부(110)의 동일 높이의 상면은, 기판의 균일한 처리를 위하여, 균일한 기판 지지 표면(예컨대, 균일하게 가열되는)을 제공한다. 지지핀(120)들은 일반적으로 기판의 처리 공정 동안 하강 위치에 있다는 것을 이해할 수 있다. 지지핀(120)이 기판 지지부(110)에 대하여 하강한 위치에 있을 때 밀봉(seal) 기능을 제공하기 위하여, 부가적인 스프링(126)은 기판 지지부(110)의 함몰부(130A)의 하부 표면측으로 핀 헤드부(120A)를 잡아당긴다.
도 1c에 도시된 지지핀 헤드부(120A)의 디자인 및 이에 대응되는 원추형 구멍 형상의 함몰부(130A)는 또한, 지지핀(120)들이 하강할 때 지지핀(120)을 위한 멈춤점을 제공하여, 지지핀(120)은 기판 지지부(110)에서 정확한 위치까지 예상 가능하게 하강할 수 있다. 이때, 핀 헤드부(120A)의 상부는 기판 지지부(110)의 상면과 동일 높이이다. 상기에서 언급한 바와 같이, 하강한 상태의 지지핀(120)들은 예상 가능하게 동일한 높이의 상면을 가진 기판 지지부(110)를 제공하는데, 동일한 높이의 상면을 통해 기판을 균일하게 가열한다.
상승 위치에서, 바람직하게는, 기판 지지부(110)의 상면 위로 약 0.1 inch 내지 약 1.0 inch 범위 내의 거리를 두고 기판을 위치시키며, 보다 바람직하게는 약 0.2 inch 내지 약 0.8 inch 범위 내이며, 가장 바람직하게는 지지핀(120)들은 기판 지지부(110)의 상면으로부터 약 0.6 inch(15 ㎜)의 높이에 위치시킨다.
예시된 실시양태에 있어서, 기판 지지부(110)는, 예를 들어 기판 지지부(110)의 하부에 위치하는 저항성 히터(135)에 의해 가열된다. 다른 실시양태들에 있어서, 기판 지지부(110)는 반응 챔버의 외부에 설치된 복사 히터들에 의해 복 사 가열될 수도 있다. 그러한 복사 가열하는 실시양태들에 있어서, 바람직하게는, 기판을 가열하고 기판상의 화학증착을 위하여 복수의 복사 가열 램프들이 반응 챔버의 외부 둘레에 배열된다. 일부 실시양태들에 있어서, 긴 가열 램프들의 상부열이 반응 챔버의 상부벽의 외곽에 배열될 수 있고, 가열 램프들의 하부열은 램프들의 상부열과 교차하게 배열될 수 있다. 다른 실시양태들에 있어서, 가열 램프들이 집중 배치된 열이 기판 지지부(110)의 아래로부터 위로 향하도록 배열될 수 있다. 그러한 램프 배열은, EPSILON®이라는 이름 하에, 애리조나주 피닉스에 위치한 ASM America, Inc.에 의해 상업적으로 이용되는 CVD 챔버에 채용된다.
일부 실시양태들에 있어서, 기판의 처리 공정 동안 기판을 회전시키기 위하여, 기판 지지부(110)가 회전할 수 있다. 바람직하게, 기판 지지부(110)의 회전은, 기판 지지부(110)와 히터(135)로부터 연장된 회전 샤프트에 부착된 회전 드라이브에 의해 구동된다. 당업자라면 처리 공정 동안 기판을 회전시키면 균일한 가열 및 반응 가스들의 분포를 확실히 하는데 도움이 되고, 그럼으로써 처리된 기판의 균일성을 향상시킨다.
여기에 기술된 실시양태는 핀들을 위한 급속해제 메커니즘을 사용하여 쉽게 조립될 수 있다. 기술자는, 기판 지지부(110)를 챔버 내에 놓은 후 상부핀(122)을 하부핀에 삽입하고 회전시킴으로써, 기판 지지부(110)와 지지핀(120) 장치를 조립한다. 당업자는 기판 지지부(110)의 지지핀(120)들을 조립하는 데 있어서 공구가 필요치 않다는 것을 이해할 것이다. 조립 공정에서 공구를 사용하지 않음으로써, 지지핀(120)과 개구부(130)의 마모에 의해 유발되는 파티클의 양을 줄일 수 있다. 게다가, 예시된 실시양태의 핀 헤드부(120A)는 기판과 금속성의 접촉을 막고, 개구부(130)에서 잠재하는 트랩(trap) 위치를 밀봉한다.
본 발명이 일부 바람직한 실시양태들 및 실시예들의 범위 내에서 개시되었지만, 당업자는, 본 발명이 특정하게 개시된 실시양태들을 넘어서 다른 대안적인 실시양태들 및/또는 발명의 실시 및 발명의 명백한 변형례까지 확장된다는 사실을 이해할 것이다. 그러므로, 여기에 개시된 본 발명의 범위는 상기 기술된 특정한 개시된 실시양태들에 의해 한정되는 것이 아니라, 하기의 청구범위를 공정하게 이해함으로써 결정되어야 한다.
여기에 기술된 실시양태는 핀들을 위한 급속해제 메커니즘을 사용하여 쉽게 조립될 수 있다. 기술자는, 기판 지지부(110)를 챔버 내에 배치한 후 상부핀(122)을 하부핀에 삽입하고 회전시킴으로써, 기판 지지부(110)와 지지핀(120) 장치를 조립한다. 당업자는 기판 지지부(110)의 지지핀(120)들을 조립하는 데 있어서 공구가 필요치 않다는 것을 이해할 것이다. 조립 공정에서 공구를 사용하지 않음으로써, 지지핀(120)과 개구부(130)의 마모에 의해 유발되는 파티클의 양을 줄일 수 있다. 게다가, 예시된 실시양태의 핀 헤드부(120A)는 기판과의 금속성 접촉을 막고, 개구부(130)에 잠재되어 있는 트랩(trap) 위치를 밀봉한다.

Claims (54)

  1. 상면부터 바닥면까지 연장된 복수의 개구부들을 포함하는, 반도체 기판을 처리하기 위한 기판 지지부에 있어서,
    상기 기판 지지부는 복수의 지지핀들을 포함하며, 상기 복수의 지지핀들 각각은 상기 복수의 개구부들 중 어느 하나에 슬라이딩 가능하게 결합되고,
    상기 복수의 지지핀들 각각은, 상부핀과, 베이어닛 마운트(bayonet mount)에 의해 상기 상부핀과 결합하는 하부핀을 포함하는 것을 특징으로 하는 기판 지지부.
  2. 제1항에 있어서,
    상기 복수의 지지핀들 각각은 비금속 재료로 만들어진 것을 특징으로 하는 기판 지지부.
  3. 제2항에 있어서,
    상기 비금속 재료는 폴리벤지미다졸(polybenzimidazole)인 것을 특징으로 하는 기판 지지부.
  4. 제2항에 있어서,
    상기 비금속 재료는 세라믹인 것을 특징으로 하는 기판 지지부.
  5. 제1항에 있어서,
    상기 기판 지지부를 상승시키거나 하강시키도록 구성된 승강 메커니즘을 더 구비하는 것을 특징으로 하는 기판 지지부.
  6. 제5항에 있어서,
    상기 승강 메커니즘은 전기 모터에 의해 구동되는 것을 특징으로 하는 기판 지지부.
  7. 제5항에 있어서,
    상기 승강 메커니즘은 공압 실린더에 의해 구동되는 것을 특징으로 하는 기판 지지부.
  8. 제5항에 있어서,
    상기 지지핀들은, 상기 기판 지지부가 상승하거나 하강할 때, 상기 기판 지지부에 대하여 수직방향으로 이동하도록 구성된 것을 특징으로 하는 기판 지지부.
  9. 제8항에 있어서,
    상기 기판 지지부가 하강할 때, 상기 복수의 지지핀들 각각의 상부핀은 상기 기판 지지부의 상면 위로 상승하도록 구성된 것을 특징으로 하는 기판 지지부.
  10. 제8항에 있어서,
    상기 기판 지지부가 상승할 때, 상기 복수의 지지핀들 각각의 상부핀은 상기 복수의 개구부들 중 어느 하나로 후퇴하도록 구성된 것을 특징으로 하는 기판 지지부.
  11. 제10항에 있어서,
    상기 기판 지지부에 대하여 상기 지지핀들을 하측으로 편향시키도록 구성된 스프링을 더 구비하는 것을 특징으로 하는 기판 지지부.
  12. 제1항에 있어서,
    상기 기판 지지부는 히터 위에 설치되는 것을 특징으로 하는 기판 지지부.
  13. 제1항에 있어서,
    상기 기판 지지부는 챔버 내에 배치되며,
    상기 기판 지지부는 상기 히터 아래에 위치하는 커넥터를 더 포함하며,
    상기 커넥터는 상기 챔버의 바닥부에 고정된 베이스에 연결되는 것을 특징으로 하는 기판 지지부.
  14. 제13항에 있어서,
    상기 커넥터와 상기 베이스는 잼 너트(jam nut)에 의해 연결되는 것을 특징 으로 하는 기판 지지부.
  15. 제1항에 있어서,
    상기 기판 지지부를 가열하도록 구성된 복사 히터를 더 포함하는 것을 특징으로 하는 기판 지지부.
  16. 제1항에 있어서,
    상기 복수의 지지핀들 각각은 상기 기판 지지부의 개구부 안에 안착하도록 구성된 핀 헤드부를 포함하며,
    상기 핀 헤드부의 상면은 상기 기판 지지부의 상면 아래에 위치하는 것을 특징으로 하는 기판 지지부.
  17. 제1항에 있어서,
    상기 복수의 지지핀들 각각은 상기 기판 지지부의 개구부 안에 안착하도록 구성된 확대된 핀 헤드부를 포함하며,
    상기 핀 헤드부의 상면은 상기 기판 지지부의 상면과 실질적으로 동일한 높이에 위치하는 것을 특징으로 하는 기판 지지부.
  18. 제1항에 있어서,
    기판이 상기 기판 지지부의 상면으로부터 들어 올려지거나 상기 기판 지지부 의 상면으로 내려 놓아지는 동안,
    상기 복수의 지지핀들 각각은, 상기 기판 지지부의 상면의 상측에 위치하도록 구성된 핀 헤드부를 포함하는 것을 특징으로 하는 기판 지지부.
  19. 제1항에 있어서,
    스프링과, 상기 상부핀의 하면에 위치하는 커넥터를 더 포함하며,
    상기 스프링은, 상기 하부핀에 대한 상기 상부핀의 회전을 방지하기 위하여, 상기 커넥터를 상기 하부핀의 홈부에 대하여 편향시켜 상기 커넥터와 상기 하부핀의 홈부를 결합시키도록 구성된 것을 특징으로 하는 기판 지지부.
  20. 제19항에 있어서,
    상기 상부핀들과 상기 하부핀들은, 결합을 위하여 서로에 대하여 180도 미만의 각도로 회전하도록 구성된 것을 특징으로 하는 기판 지지부.
  21. 제19항에 있어서,
    상기 상부핀들과 상기 하부핀들은, 결합을 위하여 서로에 대하여 360도 미만의 각도로 회전하도록 구성된 것을 특징으로 하는 기판 지지부.
  22. 복수의 지지 구조물들을 포함하는 반도체 기판 지지부를 조립하는 방법에 있어서,
    상면부터 바닥면까지 관통하면서 연장된 복수의 보어(bore)들을 가지는 서셉터를 제공하는 단계;
    상기 복수의 보어들 각각을 통하여 상부핀을 통과시키는 단계; 및
    상기 상부핀과 상기 상부핀 아래에 위치하는 하부핀을 360도 미만의 각도로 회전시킴으로써, 상기 상부핀들 각각을 상기 하부핀과 결합하는 단계;를 포함하는 것을 특징으로 하는 방법.
  23. 제22항에 있어서,
    상기 상부핀과 상기 하부핀을 180도 미만의 각도로 회전시킴으로써, 상기 상부핀들 각각을 상기 하부핀과 결합하는 것을 특징으로 하는 방법.
  24. 제22항에 있어서,
    상기 상부핀과 상기 하부핀을 약 90도의 각도로 회전시킴으로써, 상기 상부핀들 각각을 상기 하부핀과 결합하는 것을 특징으로 하는 방법.
  25. 제22항에 있어서,
    상기 상부핀들 각각은 그 하면에 위치하는 커넥터를 포함하며,
    상기 상부핀들 각각을 상기 하부핀과 결합하는 단계는,
    회전시키기 전에, 상기 하부핀 아래에 배치된 스프링을 압축하면서, 상기 하부핀의 상면에 있는 슬롯으로 상기 커넥터를 삽입하는 단계를 더 포함하는 것을 특 징으로 하는 방법.
  26. 제25항에 있어서,
    상기 결합하는 단계 후에, 상기 커넥터는 상기 슬롯에 대하여 360도 미만의 각도로 배치된 홈부에 대하여 편향된 것을 특징으로 하는 방법.
  27. 제26항에 있어서,
    상기 슬롯은 수직이고, 상기 홈부는 수평인 것을 특징으로 하는 방법.
  28. 제22항에 있어서,
    상기 상부핀들은 폴리벤지미다졸(polybenzimidazole)로 만들어진 것을 특징으로 하는 방법.
  29. 제22항에 있어서,
    상기 서셉터를 히터 위에 설치하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  30. 제22항에 있어서,
    상기 서셉터를 가열하도록 구성된 복사 히터들을 제공하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  31. 제22항에 있어서,
    상기 서셉터와, 상기 서셉터를 상승시키고 하강시키도록 구성된 승강 메커니즘을 연결하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  32. 제31항에 있어서,
    상기 승강 메커니즘이 상기 서셉터를 상승시킬 때, 상기 상부핀들은 상기 서셉터의 상면에 대하여 하강하는 것을 특징으로 하는 방법.
  33. 제32항에 있어서,
    상기 승강 메커니즘이 상기 서셉터를 하강시킬 때, 상기 상부핀들은 상기 서셉터의 상면에 대하여 상승하는 것을 특징으로 하는 방법.
  34. 제31항에 있어서,
    상기 기판 지지부가 상승하거나 하강할 때,
    상기 상부핀들 및 상기 하부핀들은, 상기 기판 지지부에 대하여 수직방향으로 이동하는 것을 특징으로 하는 방법.
  35. 제31항에 있어서,
    상기 기판 지지부가 상승할 때,
    스프링은 상기 상부핀들 및 상기 하부핀들을 상기 기판 지지부에 대하여 하측으로 편향시키는 것을 특징으로 하는 방법.
  36. 제22항에 있어서,
    상기 서셉터와 상기 상부핀들은, 서로 상대적으로 이동하도록 구성된 것을 특징으로 하는 방법.
  37. 반도체 기판을 처리하기 위한 처리 도구(process tool)에 있어서,
    상면부터 바닥면까지 연장된 복수의 개구부들과, 복수의 지지핀들을 포함하는 서셉터; 상기 서셉터를 상승시키거나 하강시키도록 구성된 승강 메커니즘; 및 상측에 상기 기판 지지부가 설치되는 히터;를 포함하며,
    상기 복수의 지지핀들 각각은, 상기 복수의 개구부들 중 어느 하나에 슬라이딩 가능하게 결합되고,
    상기 복수의 지지핀들 각각은, 상부핀과, 급속해제(quick-release) 메커니즘에 의해 상기 상부핀과 결합하는 하부핀을 포함하는 것을 특징으로 하는 처리 도구.
  38. 제37항에 있어서,
    상기 처리 도구는 원자층증착을 위해 구성된 것을 특징으로 하는 처리 도구.
  39. 제37항에 있어서,
    상기 복수의 지지핀들 각각은 비금속 재료로 만들어진 것을 특징으로 하는 처리 도구.
  40. 제39항에 있어서,
    상기 비금속 재료는 폴리벤지미다졸(polybenzimidazole)인 것을 특징으로 하는 처리 도구.
  41. 제39항에 있어서,
    상기 비금속 재료는 세라믹인 것을 특징으로 하는 처리 도구.
  42. 제39항에 있어서,
    상기 승강 메커니즘은 전기 모터에 의해 구동되는 것을 특징으로 하는 처리 도구.
  43. 제37항에 있어서,
    상기 승강 메커니즘은 공압 실린더에 의해 구동되는 것을 특징으로 하는 처리 도구.
  44. 제37항에 있어서,
    상기 기판 지지부가 상승하거나 하강할 때, 상기 지지핀들은 상기 기판 지지부에 대하여 수직방향으로 이동하도록 구성된 것을 특징으로 하는 처리 도구.
  45. 제37항에 있어서,
    상기 기판 지지부가 상승하거나 하강할 때,
    상기 서셉터는, 하부 플랫폼과, 상기 기판 지지부에 대하여 수직방향으로 이동하도록 구성된 스프링을 더 포함하는 것을 특징으로 하는 처리 도구.
  46. 제37항에 있어서,
    상기 서셉터는 챔버 내에 배치되며,
    상기 서셉터는 상기 히터 아래에 위치하는 커넥터를 더 포함하며,
    상기 커넥터는 상기 챔버의 바닥부에 고정된 베이스에 연결되는 것을 특징으로 하는 처리 도구.
  47. 제46항에 있어서,
    상기 커넥터와 상기 베이스는 잼 너트(jam nut)에 의해 연결되는 것을 특징으로 하는 처리 도구.
  48. 제37항에 있어서,
    상기 복수의 지지핀들 각각은 상기 개구부 안에 안착하도록 구성된 핀 헤드 부를 구비하며,
    상기 핀 헤드부의 상면은 상기 기판 지지부의 상면과 실질적으로 동일한 높이에 위치하는 것을 특징으로 하는 처리 도구.
  49. 제37항에 있어서,
    기판이 상기 기판 지지부의 상면으로부터 들어 올려지거나 상기 기판 지지부의 상면으로 내려 놓아지는 동안,
    상기 복수의 지지핀들 각각은, 상기 기판 지지부의 상면의 상측에 위치하도록 구성된 핀 헤드부를 구비하는 것을 특징으로 하는 처리 도구.
  50. 제37항에 있어서,
    상기 급속해제(quick-release) 메커니즘은 베이어닛 마운트(bayonet mount)를 포함하는 것을 특징으로 하는 처리 도구.
  51. 제50항에 있어서,
    상기 지지핀들 각각은, 스프링과, 상기 상부핀의 하면에 위치하는 커넥터를 더 포함하며,
    상기 스프링은, 상기 하부핀에 대한 상기 상부핀의 회전을 방지하기 위하여, 상기 커넥터를 상기 하부핀의 홈부에 대하여 편향시키며 상기 커넥터와 상기 하부핀의 홈부를 결합시키도록 구성된 것을 특징으로 하는 처리 도구.
  52. 제51항에 있어서,
    상기 상부핀들과 상기 하부핀들은, 결합을 위하여 서로에 대하여 180도 미만의 각도로 회전하도록 구성된 것을 특징으로 하는 처리 도구.
  53. 제51항에 있어서,
    상기 상부핀들과 상기 하부핀들은, 결합을 위하여 서로에 대하여 360도 미만의 각도로 회전하도록 구성된 것을 특징으로 하는 처리 도구.
  54. 반도체 처리를 위한 웨이퍼 지지부의 개구부에 슬라이딩 가능하게 결합하도록 구성된 웨이퍼 지지핀에 있어서,
    확대된 핀 헤드부와, 상기 핀 헤드부로부터 하측으로 연장된 상부핀 샤프트를 포함하는 상부핀; 및
    베이어닛 마운트(bayonet mount)에 의해 상기 상부핀과 결합하도록 구성된 하부핀을 포함하는 것을 특징으로 하는 지지핀.
KR1020077016153A 2005-01-18 2006-01-17 웨이퍼 지지핀 어셈블리 KR20070091332A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US64558105P 2005-01-18 2005-01-18
US60/645,581 2005-01-18
US65683205P 2005-02-24 2005-02-24
US60/656,832 2005-02-24

Publications (1)

Publication Number Publication Date
KR20070091332A true KR20070091332A (ko) 2007-09-10

Family

ID=36407895

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077016153A KR20070091332A (ko) 2005-01-18 2006-01-17 웨이퍼 지지핀 어셈블리

Country Status (6)

Country Link
US (1) US20060156981A1 (ko)
JP (1) JP2008533697A (ko)
KR (1) KR20070091332A (ko)
CN (1) CN101495668A (ko)
TW (1) TW200636900A (ko)
WO (1) WO2006078585A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100065947A (ko) * 2008-12-09 2010-06-17 엘아이지에이디피 주식회사 어라이너
KR20130092204A (ko) * 2012-02-10 2013-08-20 에이에스엠 아이피 홀딩 비.브이. 증착 장치
KR20140020016A (ko) * 2012-08-07 2014-02-18 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US10955758B2 (en) 2018-10-22 2021-03-23 Semes Co., Ltd. Guide pin, photo mask supporting unit including the same, and photo mask cleaning apparatus including the same

Families Citing this family (338)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7571893B2 (en) * 2006-11-16 2009-08-11 Asm America, Inc. Valve with high temperature rating
US20090148256A1 (en) * 2007-12-10 2009-06-11 Nanometrics Incorporated Support Pin with Dome Shaped Upper Surface
KR20090090071A (ko) * 2008-02-20 2009-08-25 주식회사 만도 전동식 브레이크용 주차 케이블의 연결장치
JP2011520925A (ja) * 2008-05-22 2011-07-21 ジボダン エス エー 清涼組成物
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8608146B2 (en) * 2009-12-18 2013-12-17 Lam Research Ag Reinforced pin for being used in a pin chuck, and a pin chuck using such reinforced pin
US8613288B2 (en) * 2009-12-18 2013-12-24 Lam Research Ag High temperature chuck and method of using same
US9190310B2 (en) * 2010-04-16 2015-11-17 Lam Research Ag Grounded chuck
NL2008007A (en) * 2011-01-20 2012-07-23 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102820250B (zh) * 2012-08-14 2015-04-22 沈阳拓荆科技有限公司 半导体镀膜设备用分节式销
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
CN102881623A (zh) * 2012-09-27 2013-01-16 上海宏力半导体制造有限公司 一种承载台
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101432152B1 (ko) * 2012-11-13 2014-08-22 삼성디스플레이 주식회사 기판 지지 모듈
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP2014165217A (ja) 2013-02-21 2014-09-08 Tokyo Electron Ltd 基板搬送装置および剥離システム
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10857655B2 (en) * 2013-03-13 2020-12-08 Applied Materials, Inc. Substrate support plate with improved lift pin sealing
US10195704B2 (en) * 2013-03-15 2019-02-05 Infineon Technologies Ag Lift pin for substrate processing
US10163676B2 (en) * 2013-06-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and system for preventing backside peeling defects on semiconductor wafers
JP6165110B2 (ja) * 2013-07-08 2017-07-19 カール ツァイス マイクロスコーピー エルエルシー 荷電粒子ビームシステム
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR102195649B1 (ko) * 2014-08-13 2020-12-28 에스케이실트론 주식회사 에피택셜 웨이퍼 성장 장치
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6321509B2 (ja) * 2014-09-24 2018-05-09 東京エレクトロン株式会社 基板処理装置及び基板載置ユニットの製造方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9887478B2 (en) * 2015-04-21 2018-02-06 Varian Semiconductor Equipment Associates, Inc. Thermally insulating electrical contact probe
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10490436B2 (en) 2015-11-04 2019-11-26 Applied Materials, Inc. Enhanced lift pin design to eliminate local thickness non-uniformity in teos oxide films
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10460977B2 (en) * 2016-09-29 2019-10-29 Lam Research Corporation Lift pin holder with spring retention for substrate processing systems
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10262887B2 (en) * 2016-10-20 2019-04-16 Lam Research Corporation Pin lifter assembly with small gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US9899193B1 (en) 2016-11-02 2018-02-20 Varian Semiconductor Equipment Associates, Inc. RF ion source with dynamic volume control
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN108242419A (zh) * 2016-12-26 2018-07-03 北京北方华创微电子装备有限公司 一种腔室及半导体设备
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6836913B2 (ja) * 2017-01-17 2021-03-03 東京エレクトロン株式会社 基板処理装置、基板処理方法、及び記憶媒体
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP2017085177A (ja) * 2017-02-10 2017-05-18 東京エレクトロン株式会社 基板搬送装置および剥離システム
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
JP6797063B2 (ja) 2017-04-14 2020-12-09 東京エレクトロン株式会社 ピン制御方法及び基板処理装置
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10141670B1 (en) * 2017-08-21 2018-11-27 Lam Research Corporation Substrate connector including a spring pin assembly for electrostatic chucks
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20190029365A (ko) * 2017-09-12 2019-03-20 삼성전자주식회사 리프트 핀 조립체, 이를 갖는 기판 지지 유닛 및 기판 처리 장치
US11955362B2 (en) 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10535549B2 (en) * 2017-10-27 2020-01-14 Applied Materials, Inc. Lift pin holder
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10784142B2 (en) * 2018-01-09 2020-09-22 Varian Semiconductor Equipment Associates, Inc. Lift pin system for wafer handling
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10755955B2 (en) * 2018-02-12 2020-08-25 Applied Materials, Inc. Substrate transfer mechanism to reduce back-side substrate contact
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112368796B (zh) * 2018-06-28 2024-05-03 应用材料公司 用于真空腔室的部件、真空腔室和制造排气孔的方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
DE102018007307A1 (de) * 2018-09-17 2020-03-19 Vat Holding Ag Stifthubvorrichtung
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP7198694B2 (ja) * 2019-03-18 2023-01-04 東京エレクトロン株式会社 基板リフト機構、基板支持器、及び基板処理装置
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
JP7204606B2 (ja) * 2019-07-24 2023-01-16 京セラ株式会社 バックアップピン組み立て体および部品実装機
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
CN112420592A (zh) * 2019-08-23 2021-02-26 中微半导体设备(上海)股份有限公司 一种含可调节升降顶针组件的等离子处理装置及其方法
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US20220415702A1 (en) * 2020-02-24 2022-12-29 Lam Research Corporation Semiconductor processing chamber with dual-lift mechanism for edge ring elevation management
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220293451A1 (en) * 2021-03-12 2022-09-15 Applied Materials, Inc. Lift pin assembly
WO2022194342A1 (en) * 2021-03-15 2022-09-22 Applied Materials, Inc. Lift pin, lift pin assembly, pin array plate, lift pin array, processing system, method of processing a substrate, and method of maintaining a lift pin array
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3822680A (en) * 1973-01-11 1974-07-09 M Showalter Isothermal valve seat for internal combustion engine
US4236495A (en) * 1978-10-13 1980-12-02 Rosan, Inc. Self locking valve seat insert
US4676482A (en) * 1986-04-28 1987-06-30 Rexnord Inc. Valve seat insert
US5215286A (en) * 1992-05-26 1993-06-01 Nupro Company High pressure diaphragm valve
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
KR960002534A (ko) * 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6213521B1 (en) * 1996-10-08 2001-04-10 Syron Engineering & Manufacturing Corporation Quick release and bayonet connector for a suction cup
JP3602324B2 (ja) * 1998-02-17 2004-12-15 アルプス電気株式会社 プラズマ処理装置
US6228232B1 (en) * 1998-07-09 2001-05-08 Semitool, Inc. Reactor vessel having improved cup anode and conductor assembly
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6958098B2 (en) * 2000-02-28 2005-10-25 Applied Materials, Inc. Semiconductor wafer support lift-pin assembly
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
US20030178145A1 (en) * 2002-03-25 2003-09-25 Applied Materials, Inc. Closed hole edge lift pin and susceptor for wafer process chambers
US7780786B2 (en) * 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US20040255442A1 (en) * 2003-06-19 2004-12-23 Mcdiarmid James Methods and apparatus for processing workpieces

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100065947A (ko) * 2008-12-09 2010-06-17 엘아이지에이디피 주식회사 어라이너
KR20130092204A (ko) * 2012-02-10 2013-08-20 에이에스엠 아이피 홀딩 비.브이. 증착 장치
KR20140020016A (ko) * 2012-08-07 2014-02-18 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US10955758B2 (en) 2018-10-22 2021-03-23 Semes Co., Ltd. Guide pin, photo mask supporting unit including the same, and photo mask cleaning apparatus including the same

Also Published As

Publication number Publication date
TW200636900A (en) 2006-10-16
WO2006078585A2 (en) 2006-07-27
CN101495668A (zh) 2009-07-29
JP2008533697A (ja) 2008-08-21
US20060156981A1 (en) 2006-07-20
WO2006078585A3 (en) 2009-04-16

Similar Documents

Publication Publication Date Title
KR20070091332A (ko) 웨이퍼 지지핀 어셈블리
US11859307B2 (en) Apparatus and methods for alignment of a susceptor
US11133210B2 (en) Dual temperature heater
KR101365129B1 (ko) 프로세스 챔버 내의 기판을 센터링하기 위한 장치 및 방법
US9167625B2 (en) Radiation shielding for a substrate holder
TWI791020B (zh) 用以消除在晶圓背側邊緣及凹口處之沉積的晶圓邊緣接觸硬體及方法
TWI738901B (zh) 用於電漿處理系統中的載體板
EP1564791A2 (en) Substrate support bushing
KR102071681B1 (ko) 자가 중심설정 서셉터 링 조립체
US20070215049A1 (en) Transfer of wafers with edge grip
TW201630107A (zh) 基板傳送機構
JP2022122958A (ja) 裏側の基板接触を減少させる基板移送機構
JP4106957B2 (ja) クランプ機構及び処理装置
JP2006005198A (ja) 半導体製造装置
JP2004186552A (ja) 基板処理装置

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid