DE202010012763U1 - Festgeklemmte monolithische Gasverteilungselektrode - Google Patents
Festgeklemmte monolithische Gasverteilungselektrode Download PDFInfo
- Publication number
- DE202010012763U1 DE202010012763U1 DE202010012763U DE202010012763U DE202010012763U1 DE 202010012763 U1 DE202010012763 U1 DE 202010012763U1 DE 202010012763 U DE202010012763 U DE 202010012763U DE 202010012763 U DE202010012763 U DE 202010012763U DE 202010012763 U1 DE202010012763 U1 DE 202010012763U1
- Authority
- DE
- Germany
- Prior art keywords
- gas distribution
- distribution electrode
- gas
- inches
- row
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000009826 distribution Methods 0.000 title claims abstract description 133
- 238000000034 method Methods 0.000 claims abstract description 21
- 230000002093 peripheral effect Effects 0.000 claims abstract description 15
- 238000006243 chemical reaction Methods 0.000 claims abstract description 10
- 229910052782 aluminium Inorganic materials 0.000 claims description 13
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 13
- 229910021421 monocrystalline silicon Inorganic materials 0.000 claims description 5
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 4
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 4
- 238000007789 sealing Methods 0.000 claims description 3
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 claims description 3
- 238000010438 heat treatment Methods 0.000 claims 3
- 238000009530 blood pressure measurement Methods 0.000 claims 1
- 238000004891 communication Methods 0.000 claims 1
- 239000002826 coolant Substances 0.000 claims 1
- 239000007789 gas Substances 0.000 description 145
- 235000012431 wafers Nutrition 0.000 description 21
- 239000000463 material Substances 0.000 description 20
- 238000005530 etching Methods 0.000 description 8
- 238000012545 processing Methods 0.000 description 8
- 239000004065 semiconductor Substances 0.000 description 8
- 239000000758 substrate Substances 0.000 description 7
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 5
- 239000002245 particle Substances 0.000 description 5
- 239000004696 Poly ether ether ketone Substances 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 230000000712 assembly Effects 0.000 description 4
- 238000000429 assembly Methods 0.000 description 4
- 239000010408 film Substances 0.000 description 4
- 150000002500 ions Chemical class 0.000 description 4
- 229920002530 polyetherether ketone Polymers 0.000 description 4
- 239000004952 Polyamide Substances 0.000 description 3
- 239000004642 Polyimide Substances 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 239000004963 Torlon Substances 0.000 description 3
- 229920003997 Torlon® Polymers 0.000 description 3
- 150000001241 acetals Chemical class 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 239000013078 crystal Substances 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 239000004033 plastic Substances 0.000 description 3
- 229920003023 plastic Polymers 0.000 description 3
- 229920002647 polyamide Polymers 0.000 description 3
- 229920001721 polyimide Polymers 0.000 description 3
- -1 polytetrafluoroethylenes Polymers 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 229920005123 Celcon® Polymers 0.000 description 2
- 229920004943 Delrin® Polymers 0.000 description 2
- 239000004809 Teflon Substances 0.000 description 2
- 229920006362 Teflon® Polymers 0.000 description 2
- 239000000853 adhesive Substances 0.000 description 2
- 230000001070 adhesive effect Effects 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 229920002313 fluoropolymer Polymers 0.000 description 2
- 239000004811 fluoropolymer Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 230000013011 mating Effects 0.000 description 2
- 229910003465 moissanite Inorganic materials 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 229920003223 poly(pyromellitimide-1,4-diphenyl ether) Polymers 0.000 description 2
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- BUHVIAUBTBOHAG-FOYDDCNASA-N (2r,3r,4s,5r)-2-[6-[[2-(3,5-dimethoxyphenyl)-2-(2-methylphenyl)ethyl]amino]purin-9-yl]-5-(hydroxymethyl)oxolane-3,4-diol Chemical compound COC1=CC(OC)=CC(C(CNC=2C=3N=CN(C=3N=CN=2)[C@H]2[C@@H]([C@H](O)[C@@H](CO)O2)O)C=2C(=CC=CC=2)C)=C1 BUHVIAUBTBOHAG-FOYDDCNASA-N 0.000 description 1
- 229910017083 AlN Inorganic materials 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 239000004698 Polyethylene Substances 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910004541 SiN Inorganic materials 0.000 description 1
- 239000004699 Ultra-high molecular weight polyethylene Substances 0.000 description 1
- 238000009412 basement excavation Methods 0.000 description 1
- 239000011230 binding agent Substances 0.000 description 1
- 238000012993 chemical processing Methods 0.000 description 1
- KYKAJFCTULSVSH-UHFFFAOYSA-N chloro(fluoro)methane Chemical compound F[C]Cl KYKAJFCTULSVSH-UHFFFAOYSA-N 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 239000007772 electrode material Substances 0.000 description 1
- 239000000835 fiber Substances 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 229920000573 polyethylene Polymers 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000005382 thermal cycling Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 229920000785 ultra high molecular weight polyethylene Polymers 0.000 description 1
- 230000001755 vocal effect Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32541—Shape
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32568—Relative arrangement or disposition of electrodes; moving means
Landscapes
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
Gasverteilungselektrode zur Verwendung in einer Plasmareaktionskammer, wobei die Gasverteilungselektrode umfasst:
einen mittigen Teil und einen peripheren Teil, die durch Ober- und Unterseite der Gasverteilungselektrode begrenzt werden, wobei die Oberseite eine plane Oberfläche umfasst, die sich über den mittigen Teil und den peripheren Teil erstreckt, die Unterseite durch eine plane innere Oberfläche, die sich über den mittigen Teil erstreckt, und eine gestufte äußere Oberfläche, die sich über den peripheren Teil erstreckt, begrenzt wird, wobei die gestufte äußere Oberfläche mindestens eine ringförmige plane Oberfläche umfasst, die einen Bereich von erhöhter Dicke der Gasverteilungselektrode begrenzt;
eine Mehrzahl von in Umfangsrichtung im Abstand voneinander angeordneten Aushöhlungen in der Oberseite im peripheren Teil, wobei die Aushöhlungen ausgebildet sind, um Nockenarretierungen darin aufzunehmen, die angepasst sind, um die Gasverteilungselektrode an einer Trägerplatte festzuklemmen;
eine Mehrzahl von Gasauslässen im mittigen Teil der Gasverteilungselektrode, durch die Prozessgas zu einem Spalt zwischen der Gasverteilungselektrode und einer...
einen mittigen Teil und einen peripheren Teil, die durch Ober- und Unterseite der Gasverteilungselektrode begrenzt werden, wobei die Oberseite eine plane Oberfläche umfasst, die sich über den mittigen Teil und den peripheren Teil erstreckt, die Unterseite durch eine plane innere Oberfläche, die sich über den mittigen Teil erstreckt, und eine gestufte äußere Oberfläche, die sich über den peripheren Teil erstreckt, begrenzt wird, wobei die gestufte äußere Oberfläche mindestens eine ringförmige plane Oberfläche umfasst, die einen Bereich von erhöhter Dicke der Gasverteilungselektrode begrenzt;
eine Mehrzahl von in Umfangsrichtung im Abstand voneinander angeordneten Aushöhlungen in der Oberseite im peripheren Teil, wobei die Aushöhlungen ausgebildet sind, um Nockenarretierungen darin aufzunehmen, die angepasst sind, um die Gasverteilungselektrode an einer Trägerplatte festzuklemmen;
eine Mehrzahl von Gasauslässen im mittigen Teil der Gasverteilungselektrode, durch die Prozessgas zu einem Spalt zwischen der Gasverteilungselektrode und einer...
Description
- HINTERGRUND
- Die Herstellung eines IC-Chips beginnt typischerweise mit einer dünnen polierten Kristallscheibe eines hochreinen Einkristallhalbleitermaterialsubstrats (wie z. B. Silicium oder Germanium), die ein ”Wafer” genannt wird. Jeder Wafer wird einer Aufeinanderfolge von physikalischen und chemischen Verarbeitungsschritten unterzogen, die die verschiedenen Schaltungsstrukturen auf dem Wafer bilden. Während des Herstellungsverfahrens können unter Verwendung von verschiedenen Techniken, wie z. B. thermische Oxidation, um Siliciumdioxidfilme zu erzeugen, chemische Abscheidung aus der Gasphase, um Silicium-, Siliciumdioxid- und Siliciumnitridfilme zu erzeugen, und Sputtern, oder anderen Techniken, um andere Metallfilme zu erzeugen, verschiedene Typen von Dünnfilmen auf dem Wafer abgelagert werden.
- Nach Ablagerung eines Films auf dem Halbleiterwafer werden die einzigartigen elektrischen Eigenschaften von Halbleitern erzeugt, indem ausgewählte Verunreinigungen unter Verwendung eines Verfahrens, das Dotieren genannt wird, in dem Halbleiterkristallgitter substituiert werden. Der dotierte Siliciumwafer kann dann mit einer dünnen Schicht von fotoempfindlichem oder strahlungsempfindlichem Material, das ein ”Resist” genannt wird, gleichförmig beschichtet werden. Kleine geometrische Muster, die Elektronenwege in der Schaltung begrenzen, können dann unter Verwendung eines als Lithografie bekannten Verfahrens auf den Resist übertragen werden. Während des lithografischen Verfahrens können die IC-Muster auf einer als eine ”Maske” bezeichneten Glasplatte gezeichnet werden und dann optisch verkleinert, auf die fotoempfindliche Beschichtung projiziert und übertragen werden.
- Das lithografierte Resistmuster wird dann auf die darunter liegende kristalline Oberfläche des Halbleitermaterials durch ein Verfahren übertragen, das als Ätzen bekannt ist. Vakuumverarbeitungskammern werden im Allgemeinen zum Ätzen und zur chemischen Abscheidung aus der Gasphase (CVD) von Materialien auf Substraten verwendet, indem ein Ätz- oder Ablagerungsgas zur Vakuumkammer zugeführt wird und ein Radiofrequenz(RF)-Feld an das Gas angelegt wird, um das Gas in einen Plasmazustand zu aktivieren.
- Ein reaktives Ionenätzsystem besteht typischerweise aus einer Ätzkammer mit einer oberen Elektrode oder Anode und einer unteren Elektrode oder Kathode, die darin positioniert sind. Die Kathode ist in Bezug zur Anode und zu den Behälterwänden negativ vorgespannt. Der zu ätzende Wafer wird durch eine geeignete Maske bedeckt und direkt auf die Kathode platziert. Ein chemisch reaktives Gas, wie z. B. CF4, CHF3, CClF3, HBr, Cl2 und SF6 oder Mischungen davon mit O2, N2, He oder Ar, wird in die Ätzkammer eingeleitet und bei einem Druck gehalten, der typischerweise im Millitorrbereich liegt. Die obere Elektrode ist eine Gasverteilungselektrode, die mit einem Gasauslass (Gasauslässen) versehen ist, der (die) ermöglicht(chen), dass das Gas durch die Elektrode in die Kammer gleichförmig verteilt wird. Das zwischen der Anode und der Kathode erstellte elektrische Feld dissoziiert das reaktive Gas, wobei ein Plasma gebildet wird. Die Oberfläche des Wafers wird durch chemische Wechselwirkung mit den aktiven Ionen und durch Momentübertragung der Ionen, die auf die Oberfläche des Wafers auftreffen, geätzt. Das durch die Elektroden erzeugte elektrische Feld zieht die Ionen zur Kathode an, wobei bewirkt wird, dass die Ionen die Oberfläche in einer vorherrschend vertikalen Richtung treffen, so dass das Verfahren wohldefinierte vertikal geätzte Seitenwände erzeugt.
- Eine zuverlässige und reproduzierbare Temperaturregelung der Gasverteilungselektrode während einer Plasmaverarbeitung von Halbleitersubstraten ist wünschenswert, um eine gewünschte Plasmachemie an der zum Plasma hin freiliegenden Oberfläche der Gasverteilungselektrode zu erzielen. Die in unserem Besitz befindlichen veröffentlichten US-Patentanmeldungs-Nos. 2009/0081878 und 2008/0308228, deren Offenbarungen hierdurch durch Bezug aufgenommen werden, offenbaren Temperaturregelmodule für Gasverteilungselektrodenanordnungen.
- Aufgrund von komplizierten Montageanordnungen kann eine Instandhaltung von Gasverteilungselektroden schwierig sein. Eine gemeinsam übertragene nicht-vorläufige US-Patentanmeldung 12/216524, eingereicht am 7. Juli 2008, offenbart eine monolithische Gasverteilungselektrode, die durch eine Reihe von Nockenarretierungen entfernbar an einer Trägerplatte angebracht ist. In der dargestellten Ausführungsform weist die Trägerplatte einen ringförmigen Vorsprung auf, der die Nockenarretierungen beherbergt, und die Gasverteilungselektrode weist eine ringförmige Ausnehmung auf, die mit dem Vorsprung auf der Trägerplatte ineinandergreift.
- Bei einigen Plasmaverfahren würde es wünschenswert sein, ein Gasauslassmuster bereitzustellen, das Prozessgas gleichförmiger in der Kammer verteilt.
- Hierin wird eine festgeklemmte monolithische Gasverteilungselektrode mit einer verbesserten Gasverteilung und Temperaturregelung offenbart.
- ZUSAMMENFASSUNG
- Gemäß einer Ausführungsform umfasst eine Gasverteilungselektrode zur Verwendung in einer Plasmareaktionskammer einen mittigen Teil und einen peripheren Teil, die durch Ober- und Unterseite der Gasverteilungselektrode begrenzt werden. Die Oberseite umfasst eine plane Oberfläche, die sich über den mittigen Teil und den peripheren Teil erstreckt, und die Unterseite wird durch eine plane innere Oberfläche, die sich über den mittigen Teil erstreckt, und eine gestufte äußere Oberfläche, die sich über den peripheren Teil erstreckt, begrenzt. Die gestufte äußere Oberfläche umfasst mindestens eine ringförmige plane Oberfläche, die einen Bereich von erhöhter Dicke der Gasverteilungselektrode begrenzt, und eine Mehrzahl von in Umfangsrichtung im Abstand voneinander angeordneten Aushöhlungen ist in der Oberseite im peripheren Teil angeordnet, wobei die Aushöhlungen ausgebildet sind, um Nockenarretierungen darin aufzunehmen, die angepasst sind, um die Gasverteilungselektrode an einer Trägerplatte festzuklemmen. Eine Mehrzahl von Gasauslässen ist im mittigen Teil der Gasverteilungselektrode angeordnet, durch die Prozessgas zu einem Spalt zwischen der Gasverteilungselektrode und einer unteren Elektrode geliefert werden kann, auf der ein Wafer getragen wird. Die Gasauslässe sind in einem Muster mit einem mittigen Gasauslass und 13 in Umfangsrichtung verlaufenden Reihen von Gasauslässen angeordnet, wobei 10 Gasauslässe in der ersten Reihe etwa 12,7 mm (0,5 Inch) von der Mitte der Gasverteilungselektrode angeordnet sind, 18 Gasauslässe in der zweiten Reihe etwa 22,86 mm (0,9 Inch) von der Mitte angeordnet sind, 28 Gasauslässe in der dritten Reihe etwa 35,56 mm (1,4 Inch) von der Mitte angeordnet sind, 38 Gasauslässe in der vierten Reihe etwa 45,72 mm (1,8 Inch) von der Mitte angeordnet sind, 46 Gasauslässe in der fünften Reihe etwa 58,42 mm (2,3 Inch) von der Mitte angeordnet sind, 56 Gasauslässe in der sechsten Reihe etwa 68,58 mm (2,7 Inch) von der Mitte angeordnet sind, 66 Gasauslässe in der siebten Reihe etwa 81,28 mm (3,2 Inch) von der Mitte angeordnet sind, 74 Gasauslässe in der achten Reihe etwa 91,44 mm (3,6 Inch) von der Mitte angeordnet sind, 84 Gasauslässe in der neunten Reihe etwa 104,14 mm (4,1 Inch) von der Mitte angeordnet sind, 94 Gasauslässe in der zehnten Reihe etwa 116,84 mm (4,6 Inch) von der Mitte angeordnet sind, 104 Gasauslässe in der elften Reihe etwa 129,54 mm (5,1 Inch) von der Mitte angeordnet sind, 110 Gasauslässe in der zwölften Reihe etwa 137,16 mm (5,4 Inch) von der Mitte angeordnet sind und 120 Löcher in der dreizehnten Reihe etwa 144,78 mm (5,7 Inch) von der Mitte angeordnet sind. Ein Temperatursensoraufnahmeloch in der Oberseite ist ausgebildet, um einen Temperatursensor aufzunehmen.
- Die gestufte äußere Oberfläche kann eine Einstufen- oder Mehrstufenausbildung umfassen. Die Einstufenausbildung umfasst eine einzige ringförmige plane Oberfläche und eine innere und äußere geneigte Oberfläche, wobei sich die innere geneigte Oberfläche zwischen der planen inneren Oberfläche und der einzigen ringförmigen planen Oberfläche erstreckt und sich die äußere geneigte Oberfläche zwischen der einzigen ringförmigen planen Oberfläche und einem äußeren Rand der Gasverteilungselektrode erstreckt.
- Die Mehrstufenausbildung umfasst eine innere und äußere ringförmige plane Oberfläche und eine innere, dazwischenliegende und äußere geneigte Oberfläche. Die innere geneigte Oberfläche erstreckt sich zwischen der planen inneren Oberfläche und der inneren ringförmigen planen Oberfläche, die dazwischenliegende geneigte Oberfläche erstreckt sich zwischen der inneren ringförmigen planen Oberfläche und der äußeren ringförmigen planen Oberfläche, und die äußere geneigte Oberfläche erstreckt sich zwischen der äußeren ringförmigen planen Oberfläche und einem äußeren Rand der Gasverteilungselektrode. Die Dicke der Mehrstufen-Gasverteilungselektrode über die plane innere Oberfläche ist kleiner als die Dicke über die innere ringförmige plane Oberfläche, und die Dicke über die innere ringförmige plane Oberfläche ist kleiner als die Dicke über die äußere ringförmige plane Oberfläche.
- KURZE BESCHREIBUNG DER ZEICHNUNGEN
-
1 stellt eine Teil-Querschnittsansicht einer Gasverteilungselektrodenanordnung dar. -
2A ist eine dreidimensionale Darstellung einer beispielhaften Nockenarretierung zum Festklemmen einer Gasverteilungselektrode in dem in1 dargestellten Reaktor. -
2B ist eine Querschnittsansicht der beispielhaften Nockenarretierelektrodenfestklemmeinrichtung von2A . -
3 stellt Seitenaufriss- und Zusammenbauzeichnungen eines beispielhaften Arretierstifts dar, der in der Nockenarretierfestklemmeinrichtung der2A und2B verwendet wird. -
4A stellt Seitenaufriss- und Zusammenbauzeichnungen einer beispielhaften Nockenwelle dar, die in der Nockenarretierfestklemmeinrichtung der2A und2B verwendet wird. -
4B stellt eine Querschnittsansicht eines beispielhaften Werkzeugbahnrands eines Teils der Nockenwelle von4A dar. -
5 stellt eine Gasverteilungselektrodenanordnung mit einer Gasverteilungselektrode, Trägerplatte, Temperaturregelplatte, Schutzring und Deckenplatte dar. -
6A ist eine Ansicht von oben der Gasverteilungselektrode. -
6B ist eine Querschnittsansicht der Gasverteilungselektrode entsprechend einer Ausführungsform der Gasverteilungselektrode. -
6C ist eine vergrößerte Ansicht des Teils C von6B . -
6D ist eine Teil-Querschnittsansicht der Gasverteilungselektrode durch eine Ausnehmung zur Aufnahme eines Temperatursensors. -
6E ist eine Teil-Querschnittsansicht einer anderen Ausführungsform der Gasverteilungselektrode. -
6F ist eine Teil-Querschnittsansicht noch einer anderen Ausführungsform der Gasverteilungselektrode. -
7 ist eine Perspektivansicht der in5 dargestellten Trägerplatte. Das Gasdurchlassmuster und das Ausrichtstiftlochmuster, die dargestellt sind, sind nicht exakt. -
8 ist eine Perspektivansicht der Gasverteilungselektrodenanordnung ohne den Schutzring. Das Gasdurchlassmuster, das dargestellt ist, ist nicht exakt. - AUSFÜHRLICHE BESCHREIBUNG
-
1 stellt eine Teil-Querschnittsansicht einer Ausführungsform einer Gasverteilungselektrodenanordnung100 eines Plasmaverarbeitungssystems zum Ätzen von Substraten dar. Wie in1 dargestellt, umfasst die Gasverteilungselektrodenanordnung100 eine Gasverteilungselektrode110 , eine Trägerplatte140 und einen Schutzring (oder äußeren Ring)170 . Die Gasverteilungselektrodenanordnung100 umfasst auch eine Plasmaeinschlussringanordnung (oder Waferbereich-Druck(WAP)-Anordnung)180 , die die äußere Peripherie der Gasverteilungselektrode110 und der Trägerplatte140 umgibt. - Die Anordnung
100 umfasst auch eine Temperaturregelplatte102 und eine obere (Decken-)Platte104 , die Flüssigkeitsströmungskanäle darin aufweist und eine temperaturgeregelte Wand der Kammer bildet. Die Gasverteilungselektrode110 ist vorzugsweise eine kreisförmige Platte und kann aus einem leitenden hochreinen Material, wie z. B. einkristallinem Silicium, polykristallinem Silicium, Siliciumcarbid, oder einem anderen geeigneten Material (wie z. B. Aluminium oder dessen Legierung, eloxiertem Aluminium, Yttriumoxid-beschichtetem Aluminium) hergestellt sein. Ein Temperatursensor580 (5 ) mit einem geeigneten Temperaturbereich, wie z. B. ein Thermoelement, ein faseroptischer Temperatursensor oder ein Widerstandstemperaturdetektor, ist so ausgebildet, dass es/er die Gasverteilungselektrode110 direkt berührt. Die Trägerplatte140 ist mit unten beschriebenen mechanischen Befestigungseinrichtungen an der Gasverteilungselektrode110 mechanisch gesichert. Der Schutzring170 umgibt die Trägerplatte140 und gewährt einen Zugriff auf Nockenarretierelemente, wie unten beschrieben. Der Temperatursensor580 gibt Temperaturdaten zu einem Kontroller581 aus, der ein oder mehrere Heizer582 aktiviert, die die Temperatur der Gasverteilungselektrode einstellen. - Die Gasverteilungselektrodenanordnung
100 , wie in1 dargestellt, wird typischerweise mit einem elektrostatischen Halter (nicht dargestellt) einschließlich einer ebenen unteren Elektrode verwendet, auf der ein Wafer in einem Abstand von ungefähr 1 bis 2 cm unter der Gasverteilungselektrode110 getragen wird. Ein Beispiel für ein solches Plasmaverarbeitungssystem ist ein Reaktor vom Parallelplattentyp, wie z. B. die Exelan®-Dielektrika-Ätzsysteme, die von Lam Research Corporation of Fremont, Kalifornien, hergestellt werden. Solche Halteranordnungen liefern eine Temperaturregelung des Wafers, indem für einen rückseitigen Helium(He)-Druck gesorgt wird, der die Wärmedurchgangszahl zwischen dem Wafer und dem Halter steuert. - Die Gasverteilungselektrode
110 ist ein Verbrauchsteil, das regelmäßig ersetzt werden muss. Um Prozessgas zum Spalt zwischen dem Wafer und der Gasverteilungselektrode110 zuzuführen, ist die Gasverteilungselektrode110 mit Gasauslässen106 versehen, die von einer Größe und Verteilung sind, die geeignet sind, um ein Prozessgas zuzuführen, das durch die Elektrode aktiviert wird und in einer Reaktionszone unterhalb der Gasverteilungselektrode110 ein Plasma bildet. - Die Gasverteilungselektrodenanordnung
100 umfasst auch eine Plasmaeinschlussringanordnung (oder Waferbereich-Plasma(WAP)-Anordnung)180 , die die äußere Peripherie der Gasverteilungselektrode110 und der Trägerplatte140 umgibt. Die Plasmaeinschlussanordnung180 besteht vorzugsweise aus einem Stapel oder einer Mehrzahl von im Abstand voneinander angeordneten Ringen190 , die die äußere Peripherie der Gasverteilungselektrode110 und der Trägerplatte140 umgeben. Während einer Verarbeitung bewirkt die Plasmaeinschlussanordnung180 einen Druckunterschied in der Reaktionszone und erhöht den elektrischen Widerstand zwischen den Reaktionskammerwänden und dem Plasma, wodurch das Plasma zwischen der Gasverteilungselektrode110 und der unteren Elektrode (nicht dargestellt) eingeschlossen wird. - Während eines Gebrauchs beschränken die Einschlussringe
190 das Plasma auf das Kammervolumen und steuern den Druck des Plasmas in der Reaktionskammer. Die Beschränkung des Plasmas auf die Reaktionskammer ist eine Funktion von vielen Faktoren, einschließlich des Zwischenraums zwischen den Einschlussringen190 , dem Druck in der Reaktionskammer außerhalb der Einschlussringe und in dem Plasma, dem Typ und Durchsatz des Gases, sowie dem Pegel und der Frequenz von RF-Leistung. Ein Einschluss des Plasmas wird leichter erreicht, wenn der Zwischenraum zwischen den Einschlussringen190 sehr klein ist. Typischerweise wird ein Zwischenraum von 3,81 mm (0,15 Inch) oder weniger zum Einschluss benötigt. Jedoch bestimmt der Zwischenraum der Einschlussringe190 auch den Druck des Plasmas, und es ist wünschenswert, dass der Zwischenraum eingestellt werden kann, um den Druck zu erzielen, der zur optimalen Verfahrensausführung unter Aufrechterhaltung eines Plasmas erforderlich ist. Prozessgas von einer Gasversorgung wird durch einen oder mehrere Durchlässe in der oberen Platte104 zur Gasverteilungselektrode110 zugeführt, die ermöglichen, dass Prozessgas zu einer einzigen Zone oder mehreren Zonen über dem Wafer zugeführt wird. - Die Gasverteilungselektrode
110 ist vorzugsweise eine kreisförmige Platte mit einer gleichförmigen Dicke von der Mitte (linke Seite von1 ) zu einem Bereich von erhöhter Dicke, der mindestens eine Stufe auf der zum Plasma hin freiliegenden Oberfläche bildet, die sich von einem äußeren Rand einwärts erstreckt. Die Gasverteilungselektrode110 weist vorzugsweise einen Durchmesser auf, der größer als ein zu verarbeitender Wafer ist, z. B. über 300 mm. Der Durchmesser der Gasverteilungselektrode110 kann von etwa 381 mm (15 Inch) bis etwa 431,8 mm (17 Inch) reichen, um 300 mm-Wafer zu verarbeiten (wie hierin verwendet, bezieht sich ”etwa” auf ±10%). - Einkristallines Silicium und polykristallines Silicium sind bevorzugte Materialien für zum Plasma hin freiliegende Oberflächen der Gasverteilungselektrode
110 . Hochreines einkristallines oder polykristallines Silicium minimiert eine Verunreinigung von Substraten während einer Plasmaverarbeitung, da es nur eine minimale Menge von unerwünschten Elementen in die Reaktionskammer einführt und während einer Plasmaverarbeitung auch unmerklich verschleißt, wodurch Teilchen minimiert werden. Alternative Materialien einschließlich Zusammensetzungen von Materialien, die für zum Plasma hin freiliegende Oberflächen der Gasverteilungselektrode110 verwendet werden können, umfassen z. B. Aluminium (wie hierin verwendet, bezieht sich ”Aluminium” auf reines Al und dessen Legierungen mit oder ohne eloxierte oder andere beschichtete Oberflächen), polykristallines Silicium, Yttriumoxid-beschichtetes Aluminium, SiC, SiN und AlN. - Die Trägerplatte
140 ist vorzugsweise aus einem Material hergestellt, das chemisch mit Prozessgasen verträglich ist, die zum Verarbeiten von Halbleitersubstraten in der Plasmaverarbeitungskammer verwendet werden, einen thermischen Ausdehnungskoeffizienten aufweist, der demjenigen des Elektrodenmaterials genau entspricht, und/oder strom- und wärmeleitend ist. Bevorzugte Materialien, die verwendet werden können, um die Trägerplatte140 herzustellen, umfassen, sind aber nicht darauf beschränkt, Grafit, SiC, Aluminium (Al) oder andere geeignete Materialien. - Die Gasverteilungselektrode
110 ist ohne jegliches Kleben zwischen der Elektrode und Trägerplatte mechanisch an der Trägerplatte140 angebracht, d. h. es wird kein wärme- und stromleitendes elastomeres Bindemittel verwendet, um die Elektrode an der Trägerplatte anzubringen. - Die Trägerplatte
140 wird vorzugsweise mit geeigneten mechanischen Befestigungseinrichtungen, die Gewindebolzen, Schrauben oder dergleichen sein können, an der Temperaturregelplatte102 angebracht. Z. B. können Bolzen (nicht dargestellt) in Löchern in der Temperaturregelplatte102 eingesetzt sein und in Gewindeöffnungen in der Trägerplatte140 eingeschraubt sein. Die Temperaturregelplatte102 umfasst einen Krümmteil184 und ist vorzugsweise aus einem maschinell bearbeiteten metallischen Material hergestellt, wie z. B. Aluminium oder dergleichen. Die obere temperaturgeregelte Platte104 ist vorzugsweise aus Aluminium hergestellt. Die Plasmaeinschlussanordnung (oder Waferbereich-Plasmaanordnung (WAP))180 ist außen an der Gasverteilungselektrodenanordnung100 positioniert. Eine geeignete Plasmaeinschlussanordnung180 einschließlich einer Mehrzahl von vertikal einstellbaren Plasmaeinschlussringen190 ist in dem in unserem Besitz befindlichenUS-Patent No. 5,534,751 beschrieben, das in seiner Gesamtheit durch Bezug hierin aufgenommen wird. - Die Gasverteilungselektrode
110 kann durch einen Nockenarretiermechanismus an der Trägerplatte140 mechanisch angebracht werden, wie in der in unserem Besitz befindlichenPCT/US2009/001593 2A umfasst eine dreidimensionale Ansicht einer beispielhaften Nockenarretierelektrodenfestklemmeinrichtung Teile einer Elektrode201 und einer Trägerplatte203 . Die Elektrodenfestklemmeinrichtung kann in den verschiedensten mit einer Fertigung in Beziehung stehenden Geräten, wie z. B. der in1 dargestellten Plasmaätzkammer, eine selbstverzehrende Elektrode201 schnell, sauber und genau an einer Trägerplatte anbringen. - Die Elektrodenfestklemmeinrichtung umfasst einen Zapfen (Arretierstift)
205 , der in einem Sockel213 eingebaut ist. Der Zapfen kann durch ein Tellerfederstapel215 umgeben sein, wie beispielsweise Edelstahl-Belleville-Federscheiben. Der Zapfen205 und der Tellerfederstapel215 können dann durch die Verwendung von Klebemitteln oder mechanischen Befestigungseinrichtungen durch Presssitz in den Sockel213 eingepasst oder anders darin befestigt werden. Der Zapfen205 und der Tellerfederstapel215 sind im Sockel213 so angeordnet, dass ein beschränkter Betrag einer lateralen Bewegung zwischen der Elektrode201 und der Trägerplatte203 möglich ist. Beschränken des Betrags einer lateralen Bewegung ermöglicht eine Feinpassung zwischen der Elektrode201 und der Trägerplatte203 , wodurch ein guter Wärmekontakt sichergestellt ist, während doch noch für eine gewisse Bewegung gesorgt ist, um Unterschieden in einer Wärmeausdehnung zwischen den zwei Teilen Rechnung zu tragen. Zusätzliche Einzelheiten über das beschränkte laterale Bewegungsmerkmal werden in größerer Einzelheit unten erörtert. - In einer spezifischen beispielhaften Ausführungsform ist der Sockel
213 aus Torlon® von Lager-Güteklasse hergestellt. Alternativ kann der Sockel213 aus anderen Materialien hergestellt sein, die gewisse mechanische Eigenschaften besitzen, wie z. B. gute Festigkeit und Schlagfestigkeit, Kriechfestigkeit, Dimensionsstabilität, Strahlungsbeständigkeit und chemische Beständigkeit können ohne weiteres verwendet werden. Verschiedene Materialien, wie z. B. Polyamide, Polyimide, Acetale und Polyethylen-Materialien von ultrahohem Molekulargewicht können alle geeignet sein. Hochtemperatur-spezifische Kunststoffe und andere verwandte Materialien sind zur Bildung des Sockels213 nicht erforderlich, da 230°C eine typische Maximaltemperatur ist, die in Anwendungen, wie z. B. Ätzkammern, angetroffen wird. Allgemein liegt eine typische Betriebstemperatur näher bei 130°C. - Andere Teile der Elektrodenfestklemmeinrichtung bestehen aus einer Nockenwelle
207 , die an jedem Ende durch ein Paar von Nockenwellenlagern209 umgeben wird. Die Anordnung aus Nockenwelle207 und Nockenwellenlager ist in eine Trägerplattenbohrung211 eingebaut, die in die Trägerplatte203 maschinell eingearbeitet ist. In einer typischen Anwendung für eine Ätzkammer, die für 300 mm-Halbleiterwafer ausgelegt ist, können acht oder mehr der Elektrodenfestklemmeinrichtungen um die Peripherie der Elektroden201 /Trägerplatten203 -Kombination räumlich angeordnet sein. - Die Nockenwellenlager
209 können aus den verschiedensten Materialien maschinell hergestellt sein, einschließlich Torlon®, Vespel®, Celcon®, Delrin®, Teflon®, Arlon® oder anderen Materialien, wie z. B. Fluorpolymeren, Acetalen, Polyamiden, Polyimiden, Polytetrafluorethylenen und Polyetheretherketonen (PEEK) mit einem niedrigen Reibungskoeffizienten und einer niedrigen Teilchenablösung. Der Zapfen205 und die Nockenwelle207 können aus Edelstahl (z. B. 316, 316L, 17-7 usw.) oder einem beliebigen anderen Material maschinell gefertigt sein, wobei für gute Festigkeit und Korrosionsbeständigkeit gesorgt ist. - Mit Bezug nun auf
2B erläutert eine Querschnittsansicht der Elektroden-Nockenfestklemmeinrichtung weiter, wie die Nockenfestklemmeinrichtung arbeitet, indem die Elektrode201 in enge Nachbarschaft zur Trägerplatte203 gezogen wird. Die Zapfen205 /Tellerfederstapel215 /Sockel213 -Anordnung ist in der Elektrode201 eingebaut. Wie dargestellt, kann die Anordnung mittels Außengewinden auf dem Sockel213 in eine mit Gewinde versehene Aushöhlung in der Elektrode201 eingeschraubt werden. Jedoch kann der Sockel durch Klebemittel oder andere Typen von mechanischen Befestigungseinrichtungen ebensogut montiert werden. - In
3 liefert eine Aufriss- und Zusammenbauansicht300 des Zapfens205 mit einem vergrößerten Kopf, Tellerfederstapels215 und Sockels213 eine zusätzliche Einzelheit bei einer beispielhaften Konstruktion der Nockenarretierelektrodenfestklemmeinrichtung. In einer spezifischen beispielhaften Ausführungsform wird eine Zapfen/Tellerfeder-Anordnung301 durch Presssitz in den Sockel213 eingepasst. Der Sockel213 weist ein Außengewinde und ein hexagonales Deckenelement auf, was eine leichte Einsetzung in die Elektrode201 (siehe die2A und2B ) mit einem leichten Drehmoment (z. B. in einer spezifischen beispielhaften Ausführungsform etwa 2,26 Nm (20 Inch-Pounds)) ermöglicht. Wie oben angegeben, kann der Sockel213 aus verschiedenen Typen von Kunststoffen maschinell gefertigt sein. Eine Verwendung von Kunststoffen minimiert eine Teilchenerzeugung und ermöglicht eine scheuerfreie Montage des Sockels213 in einer passenden Aushöhlung auf der Elektrode201 . - Die Zapfen/Sockel-Anordnung
303 veranschaulicht, dass ein Innendurchmesser in einem oberen Teil des Sockels213 größer als ein Außendurchmesser eines Abschnittmittelteils des Zapfens205 ist. Der Unterschied in Durchmessern zwischen den zwei Teilen ermöglicht eine begrenzte laterale Bewegung in der zusammengebauten Elektrodenfestklemmeinrichtung, wie oben erörtert. Die Zapfen/Tellerfeder-Anordnung301 wird an einem Basisteil des Sockels213 in starrem Kontakt mit dem Sockel213 gehalten, während der Unterschied in Durchmessern eine gewisse laterale Bewegung ermöglicht. (Siehe auch2B .) - Mit Bezug auf
4A zeigt eine auseinandergezogene Ansicht400 der Nockenwelle207 und der Nockenwellenlager209 auch einen Verkeilstift401 . Das Ende der Nockenwelle207 mit dem Verkeilstift401 wird zuerst in die Trägerplattenbohrung211 eingesetzt (siehe2B ). Ein Paar von kleinen passenden Löchern (nicht dargestellt) an einem entfernten Ende der Trägerplattenbohrung211 sorgt für eine richtige Ausrichtung der Nockenwelle207 in der Trägerplattenbohrung211 . Eine Seitenaufrissansicht420 der Nockenwelle207 zeigt deutlich eine mögliche Platzierung einer hexagonalen Öffnung403 auf einem Ende der Nockenwelle207 und des Verkeilstifts401 auf dem entgegengesetzten Ende. - Mit fortgesetztem Bezug auf die
4A und2B wird z. B. die Elektroden-Nockenfestklemmeinrichtung zusammengebaut, indem die Nockenwelle207 in die Trägerplattenbohrung211 eingesetzt wird. Der Verkeilstift401 beschränkt eine Drehwegstrecke der Nockenwelle207 in der Trägerplattenbohrung211 durch Koppeln mit einem der Paare von kleinen passenden Löchern. Die Nockenwelle kann durch Verwendung der hexagonalen Öffnung403 zuerst in einer Richtung, z. B. gegen den Uhrzeigersinn, gedreht werden, um einen Eintritt des Zapfens205 in die Nockenwelle207 zu ermöglichen, und dann im Uhrzeigersinn gedreht werden, um den Zapfen205 voll in Eingriff zu nehmen und zu arretieren. Die Festklemmkraft, die erforderlich ist, um die Elektrode201 an der Trägerplatte203 zu halten, wird geliefert, indem der Tellerfederstapel215 weiter als seine freie Stapelhöhe zusammengepresst wird. Die Nockenwelle207 weist einen internen exzentrischen Innenausschnitt auf, der mit dem vergrößerten Kopf der Welle205 in Eingriff tritt. Wenn der Tellerfederstapel215 zusammengedrückt wird, wird die Klemmkraft von einzelnen Federn im Tellerfederstapel215 zum Sockel213 und durch die Elektrode201 zur Trägerplatte203 übertragen. - In einem beispielhaften Betriebsmodus wird, sobald die Nockenwellenlager an der Nockenwelle
207 angebracht sind und in die Trägerplattenbohrung211 eingesetzt sind, die Nockenwelle207 gegen den Uhrzeigersinn bis zu ihrer maximalen Drehwegstrecke gedreht. Die Zapfen/Sockel-Anordnung303 (3 ) wird dann unter Anwendung einer Drehkraft leicht in die Elektrode201 eingeschraubt. Der Kopf des Zapfens205 wird dann in das vertikal verlaufende Durchgangsloch unter der horizontal verlaufenden Trägerplattenbohrung211 eingesetzt. Die Elektrode201 wird gegen die Trägerplatte203 gehalten, und die Nockenwelle207 wird im Uhrzeigersinn gedreht, bis sich entweder der Verkeilstift in das zweite der zwei kleinen passenden Löcher (nicht dargestellt) senkt oder ein vernehmbares Klicken gehört wird (in Einzelheit unten erörtert). Der beispielhafte Betriebsmodus kann umgekehrt werden, um die Elektrode201 von der Trägerplatte203 zu demontieren. Jedoch sind Merkmale, wie z. B. das vernehmbare Klicken in der Nockenarretieranordnung fakultativ. - Mit Bezug auf
4B stellt eine Schnittansicht A-A der Seitenaufrissansicht420 der Nockenwelle207 von4A einen Werkzeugbahnrand440 dar, durch den der Kopf des Zapfens205 voll gesichert wird. In einer spezifischen beispielhaften Ausführungsform werden die zwei Radien R1 und R2 so gewählt, dass der Kopf des Zapfens205 das fakultative vernehmbare Klickgeräusch macht, das oben beschrieben ist, um anzuzeigen, wenn der Zapfen205 voll gesichert ist. -
5 veranschaulicht eine Gasverteilungselektrodenanordnung500 für eine kapazitiv gekoppelte Plasmakammer, die die folgenden Merkmale umfasst: (a) eine nockenarretierte nicht gebundene Gasverteilungselektrode502 ; (b) eine Trägerplatte506 ; und (c) einen Schutzring508 , der einen Zugriff auf Nockenarretierungen ermöglicht, die die Elektrode an der Trägerplatte506 halten. - Die Elektrodenanordnung
500 umfasst eine Temperaturregelplatte510 , die von außerhalb der Kammer an eine temperaturgeregelte Deckenwand512 der Kammer gebolzt ist. Die Gasverteilungselektrode502 ist durch Nockenarretiermechanismen514 , die früher mit Bezug auf die2 –4 beschrieben sind, von innerhalb der Kammer lösbar an der Trägerplatte506 angebracht. - In einer bevorzugten Ausführungsform kann die Gasverteilungselektrode
502 der Elektrodenanordnung500 auseinandergebaut werden durch: (a) Drehen des Schutzrings508 zu einer ersten Position, wobei vier Löcher im Schutzring508 mit vier Nockenarretierungen514 ausgerichtet werden, die an beabstandeten Positionen im äußeren Teil der Trägerplatte506 angeordnet sind; (b) Einsetzen eines Werkzeugs, wie z. B. eines Sechskantsteckschlüssels, durch jedes Loch im Schutzring508 und Drehen jeder Nockenarretierung514 , um einen vertikal sich erstreckenden Arretierstift562 von jeder jeweiligen Nockenarretierung514 zu lösen; (c) Drehen des Schutzrings508 um 90° zu einer zweiten Position, wobei die vier Löcher im Schutzring508 mit vier anderen Nockenarretierungen514 ausgerichtet werden; und (d) Einsetzen eines Werkzeugs, wie z. B. eines Sechskantsteckschlüssels, durch jedes Loch im Schutzring508 und Drehen jeder jeweiligen Nockenarretierung514 , um einen Arretierstift562 von jeder jeweiligen Nockenarretierung514 zu lösen; wodurch die Gasverteilungselektrode502 abgesenkt und aus der Plasmakammer entfernt werden kann. -
5 stellt auch eine Querschnittsansicht von einer von den Nockenarretieranordnungen dar, wobei sich eine drehbare Nockenarretierung514 in einer horizontal verlaufenden Bohrung560 in einem äußeren Teil der Trägerplatte506 befindet. Die zylindrische Nockenarretierung514 ist durch ein Werkzeug, wie z. B. einen Sechskantsteckschlüssel, drehbar zu: (a) einer Arretierposition, bei der ein vergrößertes Ende eines Arretierstifts562 durch eine Nockenoberfläche der Nockenarretierung514 in Eingriff genommen wird, die den vergrößerten Kopf des Arretierstifts hochhebt, oder (b) einer Freigabeposition, bei der der Arretierstift562 nicht durch die Nockenarretierung514 in Eingriff genommen wird. Die Trägerplatte506 umfasst vertikal verlaufende Bohrungen in ihrer Unterseite, durch die die Arretierstifte562 eingesetzt werden, um mit den Nockenarretierungen514 in Eingriff zu treten. - Die Gasverteilungselektrode
502 ist vorzugsweise eine Platte von hochreinem (weniger als 10 ppm Verunreinigungen) Einkristallsilicium niedrigen spezifischen elektrischen Widerstands (0,005 bis 0,02 Ohm-cm). Die Gasverteilungselektrodenanordnung500 umfasst drei Ausrichtstifte524 , die in drei Ausrichtstiftlöchern521 in der Oberseite522 der Gasverteilungselektrode502 im Eingriff stehen, einen oder mehrere O-Ringe558 und eine Mehrzahl von Temperaturdichtungselementen, wie z. B. Q-Pads556 , zwischen der Gasverteilungselektrode502 und der Trägerplatte506 . Jeder Q-Pad566 weist Vorsprünge auf, die in Aussparungen520 in der Oberseite522 im Eingriff stehen. Einzelheiten von solchen Dichtungselementen sind in der in unserem Besitz befindlichen US-Anmeldung Serial No. 12/421,845 offenbart, eingereicht am 10. April 2009, deren Offenbarung hierdurch durch Bezug aufgenommen wird. Die zum Plasma hin freiliegende Oberfläche530 auf der Gasverteilungselektrode502 ist dem Substrat zugewandt, das in der Kammer verarbeitet wird. - Die
6A und6C stellen die Montageoberfläche und eine Teil-Querschnittsansicht der Gasverteilungselektrode502 dar. Die Montageoberfläche weist eine plane Oberfläche610 auf, die sich fast bis zum äußeren Rand erstreckt, und einen schmalen ringförmigen äußeren vorspringenden Rand620 , der aus der planen Oberfläche610 ausgespart ist und im äußeren Rand der Gasverteilungselektrode502 vorhanden ist. Der ringförmige äußere vorspringende Rand620 trägt einen ringförmigen Vorsprung des Schutzrings508 . Die plane Oberfläche610 weist einen Außendurchmesser von etwa 425,45 mm (16,75 Inch) auf. Der ringförmige äußere vorspringende Rand620 weist einen Innendurchmesser von etwa 425,45 mm (16,75 Inch), einen Außendurchmesser von etwa 431,8 mm (17 Inch), eine vertikale Oberfläche620a mit einer Länge von etwa 1,9304 mm (0,076 Inch) und eine horizontale Oberfläche620b von etwa 3,1496 mm (0,124 Inch) auf. Acht 12,7 mm (0,5 Inch) im Durchmesser messende Aushöhlungen550 , die Tiefen von 8,255 mm (0,325 Inch) aufweisen, sind in der Nähe des Rands der Montageoberfläche angeordnet, um Arretierstifte562 aufzunehmen. Die Aushöhlungen550 sind voneinander gleich beabstandet und auf einem Radius etwa 193,548 mm (7,62 Inch) von der Mitte angeordnet. - Die plane Oberfläche
610 umfasst drei 2,9464 mm (0,116 Inch) im Durchmesser messende Ausrichtstiftlöcher521 , die Tiefen von etwa 5,08 mm (0,2 Inch) aufweisen, die in einem Abstand von etwa 201,422 mm (7,93 Inch) von der Mitte angeordnet sind, und sieben 5,461 mm (0,215 Inch) im Durchmesser messende Aussparungen520 , die Tiefen von etwa 1,016 mm (0,04 Inch) aufweisen, um die Vorsprünge auf den drei Q-Pads556 aufzunehmen. Zwei Aussparungen520 sind in einem Abstand von etwa 40,386 mm (1,59 Inch) von der Mitte angeordnet und um 180° gegeneinander azimutal versetzt. Weitere zwei Aussparungen520 sind in einem Abstand von etwa 86,106 mm (3,39 Inch) von der Mitte angeordnet und um 180° gegeneinander azimutal versetzt. Weitere drei Aussparungen520 sind in einem Abstand von etwa 185,42 mm (7,30 Inch) von der Mitte angeordnet und um 120° gegeneinander azimutal versetzt. - Die plane Oberfläche
610 umfasst weiter ein Loch590 , um einen Temperatursensor580 aufzunehmen. Das Loch590 ist in einem Abstand von etwa 122,682 mm (4,83 Inch) von der Mitte angeordnet. In einer bevorzugten Ausführungsform, wie in6D dargestellt, weist das Loch590 eine Tiefe von höchstens 2,032 mm (0,08 Inch) auf; das Loch590 umfasst eine zylindrische Seitenoberfläche590a mit einem Durchmesser von höchstens 0,7366 mm (0,029 Inch) und einer Höhe von etwa 0,0889 mm (0,0035 Inch) an der Basis des Lochs590 und eine kegelstumpfartige Seitenoberfläche590b mit einer kreisförmigen Basis von etwa 3,8862 mm (0,153 Inch) im Durchmesser und einem Öffnungswinkel von etwa 90°, wobei sich die kegelstumpfartige Seitenoberfläche590b zwischen der zylindrischen Seitenoberfläche und der Montageoberfläche erstreckt. Ein Temperatursensor (Thermoelement)580 , der sich durch Öffnungen in der Deckenplatte, der Temperaturregelplatte und der Trägerplatte erstreckt, umfasst eine Spitze, die in dem Boden590a des Lochs590 federvorgespannt ist. Die konische Oberfläche590b zentriert die Spitze des Sensors580 im Boden des Lochs590 . - Gasauslässe
528 verlaufen von der Montageoberfläche zur zum Plasma hin freiliegenden Oberfläche und können in einem beliebigen geeigneten Muster angeordnet sein. In der dargestellten Ausführungsform sind 849 Gasauslasslöcher528 mit Durchmessern von 0,4318 mm (0,017 Inch) in einem Muster von einem mittigen Gasauslass und 13 in Umfangsrichtung verlaufenden Reihen von Gasauslässen angeordnet, wobei sich 10 Gasauslässe in der ersten Reihe etwa 12,7 mm (0,5 Inch) von der Mitte der Elektrode befinden, sich 18 Gasauslässe in der zweiten Reihe etwa 22,86 mm (0,9 Inch) von der Mitte befinden, sich 28 Gasauslässe in der dritten Reihe etwa 35,56 mm (1,4 Inch) von der Mitte befinden, sich 38 Gasauslässe in der vierten Reihe etwa 45,72 mm (1,8 Inch) von der Mitte befinden, sich 46 Gasauslässe in der fünften Reihe etwa 58,42 mm (2,3 Inch) von der Mitte befinden, sich 56 Gasauslässe in der sechsten Reihe etwa 68,58 mm (2,7 Inch) von der Mitte befinden, sich 66 Gasauslässe in der siebten Reihe etwa 81,28 mm (3,2 Inch) von der Mitte befinden, sich 74 Gasauslässe in der achten Reihe etwa 91,44 mm (3,6 Inch) von der Mitte befinden, sich 84 Gasauslässe in der neunten Reihe etwa 104,14 mm (4,1 Inch) von der Mitte befinden, sich 94 Gasauslässe in der zehnten Reihe etwa 116,84 mm (4,6 Inch) von der Mitte befinden, sich 104 Gasauslässe in der elften Reihe etwa 129,54 mm (5,1 Inch) von der Mitte befinden, sich 110 Gasauslässe in der zwölften Reihe etwa 137,16 mm (5,4 Inch) von der Mitte befinden und sich 120 Löcher in der dreizehnten Reihe etwa 144,78 mm (5,7 Inch) von der Mitte befinden. - Wie in
6C dargestellt, weist eine einzelne gestufte Gasverteilungselektrode502 eine zum Plasma hin freiliegende Oberfläche auf, die umfasst: eine kreisförmige innere Oberfläche640 mit einem Durchmesser von etwa 304,8 mm (12 Inch), eine ringförmige äußere Oberfläche650 mit einem Innendurchmesser von etwa 318,77 mm (12,55 Inch) und einem Außendurchmesser von etwa 406,4 mm (16 Inch), eine innere geneigte Oberfläche645 , die sich zwischen der kreisförmigen inneren Oberfläche640 und der ringförmigen äußeren Oberfläche650 unter einem Winkel von etwa 145° in Bezug zur Oberfläche640 erstreckt, und eine äußere geneigte Oberfläche635 , die sich zwischen der ringförmigen äußeren Oberfläche650 und einer zylindrischen peripheren Oberfläche630 der Gasverteilungselektrode502 unter einem Winkel von etwa 155° in Bezug zur Oberfläche650 erstreckt. Die Dicke zwischen der ringförmigen äußeren Oberfläche650 und der Oberfläche610 beträgt etwa 11,176 mm (0,44 Inch). Die Dicke zwischen der kreisförmigen inneren Oberfläche640 und der Oberfläche610 beträgt etwa 6,604 mm (0,26 Inch). - Eine Mehrstufen-Gasverteilungselektrode
502 ist in6E dargestellt, wobei die zum Plasma hin freiliegende Oberfläche umfasst: eine kreisförmige innere Oberfläche640 mit einem Durchmesser von etwa 304,8 mm (12 Inch), eine innere ringförmige Oberfläche660 mit einem Innendurchmesser von etwa 309,88 mm (12,2 Inch) und einem Außendurchmesser von etwa 335,28 mm (13,2 Inch), eine äußere ringförmige Oberfläche670 mit einem Innendurchmesser von etwa 340,36 mm (13,4 Inch) und einem Außendurchmesser von etwa 406,4 mm (16 Inch), eine innere geneigte Oberfläche646 , die sich zwischen der kreisförmigen inneren Oberfläche640 und der inneren ringförmigen Oberfläche660 unter einem Winkel von etwa 145° in Bezug zur Oberfläche640 erstreckt, eine dazwischenliegende geneigte Oberfläche667 , die sich zwischen der inneren ringförmigen Oberfläche660 und der äußeren ringförmigen Oberfläche670 unter einem Winkel von etwa 135° in Bezug zur Oberfläche670 erstreckt, und eine äußere geneigte Oberfläche637 , die sich zwischen der äußeren ringförmigen Oberfläche670 und einer zylindrischen peripheren Oberfläche630 der Gasverteilungselektrode unter einem Winkel von etwa 155° in Bezug zur Oberfläche670 erstreckt. Die Dicke zwischen der äußeren ringförmigen Oberfläche670 und der Oberfläche610 beträgt etwa 11,176 mm (0,44 Inch). Die Dicke zwischen der inneren ringförmigen Oberfläche660 und der Oberfläche610 beträgt etwa 9,144 mm (0,36 Inch). Die Dicke zwischen der ringförmigen inneren Oberfläche640 und der Oberfläche610 beträgt etwa 6,604 mm (0,26 Inch). - In noch einer anderen Ausführungsform der Mehrstufen-Gasverteilungselektrode
502 , deren Querschnitt in6F dargestellt ist, umfasst die zum Plasma hin freiliegende Oberfläche: eine kreisförmige innere Oberfläche640 mit einem Durchmesser von etwa 304,8 mm (12 Inch), eine innere ringförmige Oberfläche680 mit einem Innendurchmesser von etwa 314,96 mm (12,4 Inch) und einem Außendurchmesser von etwa 337,82 mm (13,3 Inch), eine äußere ringförmige Oberfläche690 mit einem Innendurchmesser von etwa 340,36 mm (13,4 Inch) und einem Außendurchmesser von etwa 406,4 mm (16 Inch), eine innere geneigte Oberfläche648 , die sich zwischen der kreisförmigen inneren Oberfläche640 und der inneren ringförmigen Oberfläche680 unter einem Winkel von etwa 145° in Bezug zur Oberfläche640 erstreckt, eine dazwischenliegende geneigte Oberfläche689 , die sich zwischen der inneren ringförmigen Oberfläche680 und der äußeren ringförmigen Oberfläche690 unter einem Winkel von etwa 135° in Bezug zur Oberfläche690 erstreckt, und eine äußere geneigte Oberfläche639 , die sich zwischen der äußeren ringförmigen Oberfläche690 und einer zylindrischen peripheren Oberfläche630 der Gasverteilungselektrode502 unter einem Winkel von etwa 155° in Bezug zur Oberfläche690 erstreckt. Die Dicke zwischen der äußeren ringförmigen Oberfläche690 und der Oberfläche610 beträgt etwa 11,176 mm (0,44 Inch). Die Dicke zwischen der inneren ringförmigen Oberfläche680 und der Oberfläche610 beträgt etwa 10,16 mm (0,40 Inch). Die Dicke zwischen der kreisförmigen inneren Oberfläche640 und der Oberfläche610 beträgt etwa 6,604 mm (0,26 Inch). -
7 ist eine Perspektivansicht der Trägerplatte506 . Die Trägerplatte506 umfasst einen mittigen Gasdurchlass und 13 Reihen von Gasdurchlässen584 , die sich mit den Auslässen528 in der Gasverteilungselektrode502 ausrichten. Die Oberseite586 der Trägerplatte umfasst drei ringförmige Bereiche588a ,588b ,588c , die ringförmige Vorsprünge der Temperaturregelplatte510 berühren. Die Temperaturregelplatte kann an der Deckenwand der Plasmakammer durch Befestigungseinrichtungen angebracht werden, die sich durch die Deckenwand in die Temperaturregelplatte erstrecken, wie in den Veröffentlichungen Nos.2005/0133160 2007/0068629 2007/0187038 2008/0087641 2008/0090417 599 befinden sich in einer äußeren Peripherie der Oberseite586 und den ringförmigen Bereichen588a ,588b ,588c , um Befestigungseinrichtungen aufzunehmen, die sich durch Öffnungen in der Deckenplatte512 und Temperaturregelplatte510 erstrecken, um die Trägerplatte506 in Berührung mit der Temperaturregelplatte510 zu halten. - Siehe z. B. die Veröffentlichung No.
2008/0087641 592 in der Oberseite586 nimmt einen O-Ring auf, der eine Gasdichtung zwischen der Trägerplatte506 und der Temperaturregelplatte510 liefert. Ausrichtstiftbohrungen594 in der Oberseite586 nehmen Ausrichtstifte auf, die in Ausrichtstiftbohrungen in der Temperaturregelplatte passen. Horizontal verlaufende Gewindeöffnungen561 an Positionen zwischen den Bohrungen560 nehmen dielektrische Befestigungseinrichtungen auf, die verwendet werden, um zu verhindern, dass sich der Schutzring508 dreht, und um die Zugriffsbohrungen im Schutzring508 nach Zusammenbau der Gasverteilungselektrode512 zu verstopfen. -
8 ist eine Perspektivansicht der Gasverteilungselektrodenanordnung500 , wobei der Schutzring508 entfernt ist. Wie früher erklärt, kann der Schutzring508 zu einer oder mehreren Anordnungspositionen gedreht werden, bei denen die Nockenarretierungen514 in Eingriff genommen werden können, und zu einer Arretierposition gedreht werden, bei der dielektrische Befestigungseinrichtungen in die Öffnungen561 eingesetzt werden können, um den Schutzring außer Kontakt mit der äußeren Peripherie der Trägerplatte zu halten und folglich eine Wärmeausdehnung der Trägerplatte zu ermöglichen. Die Temperaturregelplatte umfasst einen Flansch595 mit Öffnungen596 , durch die Aktuatoren die Plasmaeinschlussringe tragen. Einzelheiten der Montageanordnung von Plasmaeinschlussringanordnungen können in den Veröffentlichungen No.2006/0207502 2006/0283552 - Die Montageoberfläche
610 der Gasverteilungselektrode liegt an einer gegenüberliegenden Oberfläche der Trägerplatte506 infolge der Festklemmkraft an, die durch die 8 Arretierstifte ausgeübt wird, die durch die 8 Nockenarretierungen in der Trägerplatte gehalten werden. Der Schutzring508 bedeckt die Montagelöcher in der Trägerplatte506 , und die Zugriffsöffnungen im Schutzring sind mit entfernbaren Einsätzen gefüllt, die aus plasmabeständigem Polymermaterial hergestellt sind, wie z. B. Torlon®, Vespel®, Celcon®, Delrin®, Teflon®, Arlon®, oder anderen Materialien, wie z. B. Fluorpolymeren, Acetalen, Polyamiden, Polyimiden, Polytetrafluorethylenen und Polyetheretherketonen (PEEK), die einen niedrigen Reibungskoeffizienten und eine geringe Teilchenablösung aufweisen. - Mit Bezug auf
5 wird ein Strom- und Wärmekontakt zwischen der Trägerplatte506 und der Gasverteilungselektrode502 durch Dichtungselemente, wie z. B. Q-Pads556 , geliefert, die sich an der äußeren Peripherie der Elektrode und an einer oder mehreren Stellen einwärts von dem äußeren Q-Pad befinden. Z. B. können Q-Pads mit Durchmessern von etwa 81,28, 172,72 und 304,8 mm (3,2, 6,8 und 12 Inch) verwendet werden. Die in unserem Besitz befindliche US-Anmeldung Serial No. 11/896,375, eingereicht am 31. August 2007, umfasst Einzelheiten von Q-Pads, deren Offenbarung hierdurch durch Bezug aufgenommen wird. Um unterschiedliche Prozessgasmischungen und/oder Durchsätze zu liefern, können ein oder mehrere fakultative Gasunterteilungsdichtungen über der Oberseite der Elektrode bereitgestellt werden. Z. B. kann ein einzelner O-Ring zwischen der Gasverteilungselektrode502 und der Trägerplatte506 an einer Stelle zwischen den inneren und äußeren Q-Pads bereitgestellt werden, um eine innere Gasverteilungszone von einer äußeren Gasverteilungszone zu trennen. Ein O-Ring558 , der sich zwischen der Gasverteilungselektrode502 und der Trägerplatte506 entlang der inneren Peripherie des äußeren Q-Pads befindet, kann eine Gas- und Teilchendichtung zwischen der Elektrode und Trägerplatte liefern. - Während die Erfindung mit Bezug auf spezifische Ausführungsformen derselben in Einzelheit beschrieben worden ist, ist es Fachleuten ersichtlich, dass verschiedene Änderungen und Modifikationen vorgenommen und Äquivalente verwendet werden können, ohne dass man vom Umfang der angefügten Ansprüche abweicht.
- ZITATE ENTHALTEN IN DER BESCHREIBUNG
- Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
- Zitierte Patentliteratur
-
- US 5534751 [0037]
- US 2009/001593 [0038]
- US 2005/0133160 [0062]
- US 2007/0068629 [0062]
- US 2007/0187038 [0062]
- US 2008/0087641 [0062, 0063]
- US 2008/0090417 [0062]
- US 2006/0207502 [0064]
- US 2006/0283552 [0064]
Claims (14)
- Gasverteilungselektrode zur Verwendung in einer Plasmareaktionskammer, wobei die Gasverteilungselektrode umfasst: einen mittigen Teil und einen peripheren Teil, die durch Ober- und Unterseite der Gasverteilungselektrode begrenzt werden, wobei die Oberseite eine plane Oberfläche umfasst, die sich über den mittigen Teil und den peripheren Teil erstreckt, die Unterseite durch eine plane innere Oberfläche, die sich über den mittigen Teil erstreckt, und eine gestufte äußere Oberfläche, die sich über den peripheren Teil erstreckt, begrenzt wird, wobei die gestufte äußere Oberfläche mindestens eine ringförmige plane Oberfläche umfasst, die einen Bereich von erhöhter Dicke der Gasverteilungselektrode begrenzt; eine Mehrzahl von in Umfangsrichtung im Abstand voneinander angeordneten Aushöhlungen in der Oberseite im peripheren Teil, wobei die Aushöhlungen ausgebildet sind, um Nockenarretierungen darin aufzunehmen, die angepasst sind, um die Gasverteilungselektrode an einer Trägerplatte festzuklemmen; eine Mehrzahl von Gasauslässen im mittigen Teil der Gasverteilungselektrode, durch die Prozessgas zu einem Spalt zwischen der Gasverteilungselektrode und einer unteren Elektrode geliefert werden kann, auf der ein Wafer getragen wird, wobei die Gasauslässe in einem Muster mit einem mittigen Gasauslass und 13 in Umfangsrichtung verlaufenden Reihen von Gasauslässen angeordnet sind, wobei 10 Gasauslässe in der ersten Reihe etwa 12,7 mm (0,5 Inch) von der Mitte der Gasverteilungselektrode angeordnet sind, 18 Gasauslässe in der zweiten Reihe etwa 22,86 mm (0,9 Inch) von der Mitte angeordnet sind, 28 Gasauslässe in der dritten Reihe etwa 35,56 mm (1,4 Inch) von der Mitte angeordnet sind, 38 Gasauslässe in der vierten Reihe etwa 45,72 mm (1,8 Inch) von der Mitte angeordnet sind, 46 Gasauslässe in der fünften Reihe etwa 58,42 mm (2,3 Inch) von der Mitte angeordnet sind, 56 Gasauslässe in der sechsten Reihe etwa 68,58 mm (2,7 Inch) von der Mitte angeordnet sind, 66 Gasauslässe in der siebten Reihe etwa 81,28 mm (3,2 Inch) von der Mitte angeordnet sind, 74 Gasauslässe in der achten Reihe etwa 91,44 mm (3,6 Inch) von der Mitte angeordnet sind, 84 Gasauslässe in der neunten Reihe etwa 104,14 mm (4,1 Inch) von der Mitte angeordnet sind, 94 Gasauslässe in der zehnten Reihe etwa 116,84 mm (4,6 Inch) von der Mitte angeordnet sind, 104 Gasauslässe in der elften Reihe etwa 129,54 mm (5,1 Inch) von der Mitte angeordnet sind, 110 Gasauslässe in der zwölften Reihe etwa 137,16 mm (5,4 Inch) von der Mitte angeordnet sind und 120 Löcher in der dreizehnten Reihe etwa 144,78 mm (5,7 Inch) von der Mitte angeordnet sind; und ein Temperatursensoraufnahmeloch in der Oberseite, das ausgebildet ist, um eine Spitze eines Temperatursensors aufzunehmen.
- Gasverteilungselektrode nach Anspruch 1, weiter umfassend Ausrichtstiftlöcher in der Oberseite, wobei die Ausrichtstiftlöcher ausgebildet sind, um sich mit Ausrichtstiften auszurichten, die sich in die Trägerplatte erstrecken, und das Temperatursensoraufnahmeloch, das zwischen der zehnten und elften Reihe von Gasauslässen angeordnet ist.
- Gasverteilungselektrode nach Anspruch 1, weiter umfassend ein eingeschränktes Muster von Gasauslässen in der gestuften äußeren Oberfläche, das angepasst ist, um mit einer Manometereinheit zusammenzuwirken, um Vakuumdruckmessungen in der Kammer zu liefern.
- Gasverteilungselektrode nach Anspruch 1, wobei die Oberseite der Gasverteilungselektrode einen ringförmigen vorspringenden Rand in ihrem äußeren Rand umfasst, wobei der vorspringende Rand ausgebildet ist, um einen Schutzring zu tragen, so dass eine äußere Oberfläche des Schutzrings mit der äußeren Oberfläche der Gasverteilungselektrode bündig ist.
- Gasverteilungselektrode nach Anspruch 1, bei der die gestufte äußere Oberfläche eine einzige ringförmige plane Oberfläche, eine innere und eine äußere geneigte Oberfläche umfasst, wobei sich die innere geneigte Oberfläche zwischen der planen inneren Oberfläche und der einzigen ringförmigen planen Oberfläche erstreckt, sich die äußere geneigte Oberfläche zwischen der einzigen ringförmigen planen Oberfläche und einem äußeren Rand der Gasverteilungselektrode erstreckt.
- Gasverteilungselektrode nach Anspruch 1, bei der die gestufte äußere Oberfläche umfasst: eine innere und äußere ringförmige plane Oberfläche, eine innere, dazwischenliegende und äußere geneigte Oberfläche, wobei sich die innere geneigte Oberfläche zwischen der planen inneren Oberfläche und der inneren ringförmigen Oberfläche erstreckt, sich die dazwischenliegende geneigte Oberfläche zwischen der inneren ringförmigen Oberfläche und der äußeren ringförmigen Oberfläche erstreckt und sich die äußere geneigte Oberfläche zwischen der äußeren ringförmigen Oberfläche und einem äußeren Rand der Gasverteilungselektrode erstreckt; die Dicke der Gasverteilungselektrode über die plane innere Oberfläche kleiner als die Dicke der Gasverteilungselektrode über die innere ringförmige Oberfläche ist; und die Dicke der Gasverteilungselektrode über die erste ringförmige Oberfläche kleiner als die Dicke der Gasverteilungselektrode über die zweite ringförmige Oberfläche ist.
- Gasverteilungselektrodenanordnung, umfassend: die Gasverteilungselektrode nach Anspruch 1; eine Trägerplatte, die axial verlaufende Bohrungen, die mit den Aushöhlungen in der Gasverteilungselektrode ausgerichtet sind, und radial verlaufende Bohrungen, die mit den axial verlaufenden Bohrungen in Verbindung stehen, umfasst; drehbare Nockenwellen, die in den radial verlaufenden Bohrungen montiert sind; Arretierstifte, die in den Aushöhlungen in der Gasverteilungselektrode angeordnet sind, wobei die Arretierstifte vergrößerte Köpfe an ihren freien Enden umfassen, wobei die Nockenwellen Ausschnitte umfassen, die angepasst sind, um mit den Köpfen der Arretierstifte in Eingriff zu treten und sie zu arretieren, um die Gasverteilungselektrode mechanisch an der Trägerplatte festzuklemmen.
- Gasverteilungselektrodenanordnung nach Anspruch 7, weiter umfassend einen Temperatursensor in direktem Kontakt mit dem Temperatursensoraufnahmeloch in der Oberseite der Gasverteilungselektrode.
- Gasverteilungselektrodenanordnung nach Anspruch 7, bei der Basen der Arretierstifte in Sockeln angeordnet sind, wobei die Sockel Gewinde auf ihrer äußeren Oberfläche umfassen, die mit Gewinden auf der inneren Oberfläche der Aushöhlungen in Eingriff stehen, wobei die Sockel Flansche umfassen, die mit der Oberseite der Gasverteilungselektrode im Eingriff stehen, wobei die axial verlaufenden Bohrungen in der Trägerplatte weite Teile und schmale Teile umfassen, wobei die weiten Teile die Flansche aufnehmen und die schmalen Teile die Arretierstifte aufnehmen.
- Gasverteilungselektrodenanordnung nach Anspruch 9, bei der die Arretierstifte axial und lateral in den Sockeln bewegbar sind, um eine verschiedene Wärmeausdehnung der Trägerplatte und der Gasverteilungselektrode aufzunehmen.
- Gasverteilungselektrodenanordnung nach Anspruch 9, bei der die Gasverteilungselektrode eine Platte von polykristallinem Silicium, einkristallinem Silicium, Siliciumcarbid, Aluminium, eloxiertem Aluminium oder Yttriumoxidbeschichtetem Aluminium ist, und die Trägerplatte eine Platte von Aluminium ist.
- Gasverteilungselektrodenanordnung nach Anspruch 9, bei der die Trägerplatte ohne Temperaturregelkühlmitteldurchlässe und Heizelemente ist.
- Gasverteilungselektrodenanordnung nach Anspruch 8, weiter umfassend eine Temperaturregelplatte, die an der Trägerplatte angebracht ist, wobei die Temperaturregelplatte ringförmige Vorsprünge auf ihrer unteren Oberfläche, die Gasplenums in Verbindung mit den Gasdurchlässen in der Trägerplatte begrenzen, und ein oder mehrere Heizelemente aufweist, die durch einen Kontroller aktiv gesteuert werden, der das eine oder die mehreren Heizelemente aktiviert, um die Temperatur der Gasverteilungselektrode auf Grundlage von Daten einzustellen, die von dem Temperatursensor empfangen werden.
- Gasverteilungselektrodenanordnung nach Anspruch 7, weiter umfassend eine Gasdichtung zwischen der Trägerplatte und der Gasverteilungselektrode, wobei die Gasdichtung auswärts von den Gasdurchlässen und eine Mehrzahl von ringförmigen Dichtungselementen einwärts von der Gasdichtung angeordnet sind.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US24364709P | 2009-09-18 | 2009-09-18 | |
US61/243,647 | 2009-09-18 |
Publications (1)
Publication Number | Publication Date |
---|---|
DE202010012763U1 true DE202010012763U1 (de) | 2011-04-07 |
Family
ID=43728862
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE202010012763U Expired - Lifetime DE202010012763U1 (de) | 2009-09-18 | 2010-09-20 | Festgeklemmte monolithische Gasverteilungselektrode |
Country Status (7)
Country | Link |
---|---|
US (1) | US8419959B2 (de) |
JP (1) | JP3167751U (de) |
CN (1) | CN201919233U (de) |
DE (1) | DE202010012763U1 (de) |
FR (1) | FR2950478B1 (de) |
SG (1) | SG169960A1 (de) |
TW (1) | TWM412457U (de) |
Families Citing this family (323)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7645341B2 (en) * | 2003-12-23 | 2010-01-12 | Lam Research Corporation | Showerhead electrode assembly for plasma processing apparatuses |
WO2009114175A2 (en) * | 2008-03-14 | 2009-09-17 | Lam Research Corporation | Cam lock electrode clamp |
US8161906B2 (en) * | 2008-07-07 | 2012-04-24 | Lam Research Corporation | Clamped showerhead electrode assembly |
US8221582B2 (en) | 2008-07-07 | 2012-07-17 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US8869741B2 (en) * | 2008-12-19 | 2014-10-28 | Lam Research Corporation | Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8272346B2 (en) * | 2009-04-10 | 2012-09-25 | Lam Research Corporation | Gasket with positioning feature for clamped monolithic showerhead electrode |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP3160877U (ja) | 2009-10-13 | 2010-07-15 | ラム リサーチ コーポレーションLam Research Corporation | シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極 |
US8573152B2 (en) * | 2010-09-03 | 2013-11-05 | Lam Research Corporation | Showerhead electrode |
US8733280B2 (en) * | 2010-12-20 | 2014-05-27 | Intermolecular, Inc. | Showerhead for processing chamber |
US8562785B2 (en) * | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US9245717B2 (en) | 2011-05-31 | 2016-01-26 | Lam Research Corporation | Gas distribution system for ceramic showerhead of plasma etch reactor |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9404174B2 (en) * | 2011-12-15 | 2016-08-02 | Applied Materials, Inc. | Pinned target design for RF capacitive coupled plasma |
US9058960B2 (en) | 2012-05-09 | 2015-06-16 | Lam Research Corporation | Compression member for use in showerhead electrode assembly |
US9447499B2 (en) * | 2012-06-22 | 2016-09-20 | Novellus Systems, Inc. | Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
KR101468541B1 (ko) * | 2012-10-25 | 2014-12-04 | 주식회사 에스에프에이 | 가스 분배 조립체 |
TW201430996A (zh) * | 2012-11-12 | 2014-08-01 | Greene Tweed & Co Inc | 用於在供基板處理之一真空腔室內之一環形組件的機械式夾具總成 |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US8883029B2 (en) * | 2013-02-13 | 2014-11-11 | Lam Research Corporation | Method of making a gas distribution member for a plasma processing chamber |
US9255326B2 (en) | 2013-03-12 | 2016-02-09 | Novellus Systems, Inc. | Systems and methods for remote plasma atomic layer deposition |
US9677176B2 (en) | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
CN103779166A (zh) * | 2014-01-17 | 2014-05-07 | 北京京东方光电科技有限公司 | 一种刻蚀设备反应腔的电极和刻蚀设备 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9911579B2 (en) | 2014-07-03 | 2018-03-06 | Applied Materials, Inc. | Showerhead having a detachable high resistivity gas distribution plate |
JP6298373B2 (ja) * | 2014-07-11 | 2018-03-20 | 東京エレクトロン株式会社 | プラズマ処理装置および上部電極アセンブリ |
JP6375163B2 (ja) * | 2014-07-11 | 2018-08-15 | 東京エレクトロン株式会社 | プラズマ処理装置および上部電極アセンブリ |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9847599B2 (en) * | 2014-10-17 | 2017-12-19 | Raytheon Company | Longitudinal, tolerance-mitigating cam-lock fastening system |
JP6305314B2 (ja) * | 2014-10-29 | 2018-04-04 | 東京エレクトロン株式会社 | 成膜装置およびシャワーヘッド |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US20160362782A1 (en) * | 2015-06-15 | 2016-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas dispenser and deposition apparatus using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
USD787458S1 (en) * | 2015-11-18 | 2017-05-23 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
TWI610329B (zh) * | 2016-11-08 | 2018-01-01 | 財團法人工業技術研究院 | 電漿處理裝置 |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10604841B2 (en) | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR101855654B1 (ko) * | 2016-12-23 | 2018-05-08 | 주식회사 테스 | 대면적 샤워헤드 어셈블리 |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
USD876504S1 (en) | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US20190048467A1 (en) * | 2017-08-10 | 2019-02-14 | Applied Materials, Inc. | Showerhead and process chamber incorporating same |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10964514B2 (en) * | 2017-10-17 | 2021-03-30 | Lam Research Corporation | Electrode for plasma processing chamber |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
TWI779134B (zh) | 2017-11-27 | 2022-10-01 | 荷蘭商Asm智慧財產控股私人有限公司 | 用於儲存晶圓匣的儲存裝置及批爐總成 |
US11015247B2 (en) | 2017-12-08 | 2021-05-25 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) * | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) * | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR20190128558A (ko) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
JP1624668S (de) * | 2018-06-08 | 2019-02-18 | ||
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
CN112292477A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
JP7451540B2 (ja) | 2019-01-22 | 2024-03-18 | アプライド マテリアルズ インコーポレイテッド | パルス状電圧波形を制御するためのフィードバックループ |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP2020136677A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
CN112349572B (zh) * | 2019-08-09 | 2024-03-08 | 中微半导体设备(上海)股份有限公司 | 一种气体喷淋头及等离子处理装置 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
TW202129068A (zh) | 2020-01-20 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 形成薄膜之方法及修飾薄膜表面之方法 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US20210287881A1 (en) * | 2020-03-12 | 2021-09-16 | Applied Materials, Inc. | Methods and apparatus for tuning semiconductor processes |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11848176B2 (en) | 2020-07-31 | 2023-12-19 | Applied Materials, Inc. | Plasma processing using pulsed-voltage and radio-frequency power |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
US11501957B2 (en) * | 2020-09-03 | 2022-11-15 | Applied Materials, Inc. | Pedestal support design for precise chamber matching and process control |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
CN113078045B (zh) * | 2021-03-25 | 2022-06-21 | 重庆臻宝实业有限公司 | 一种14nm干刻设备用超大型上部电极的制作方法 |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US11984306B2 (en) | 2021-06-09 | 2024-05-14 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
US11776788B2 (en) | 2021-06-28 | 2023-10-03 | Applied Materials, Inc. | Pulsed voltage boost for substrate processing |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11694876B2 (en) | 2021-12-08 | 2023-07-04 | Applied Materials, Inc. | Apparatus and method for delivering a plurality of waveform signals during plasma processing |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5534751A (en) | 1995-07-10 | 1996-07-09 | Lam Research Corporation | Plasma etching apparatus utilizing plasma confinement |
US20050133160A1 (en) | 2003-12-23 | 2005-06-23 | Kennedy William S. | Showerhead electrode assembly for plasma processing apparatuses |
US20060207502A1 (en) | 2005-03-18 | 2006-09-21 | Rajinder Dhindsa | Plasma confinement ring assemblies having reduced polymer deposition characteristics |
US20060283552A1 (en) | 2005-06-20 | 2006-12-21 | Rogers James H | Plasma confinement rings including RF absorbing material for reducing polymer deposition |
US20070068629A1 (en) | 2005-09-23 | 2007-03-29 | Hong Shih | Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof |
US20070187038A1 (en) | 2006-02-13 | 2007-08-16 | Daxing Ren | Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch |
US20080090417A1 (en) | 2006-10-16 | 2008-04-17 | Lam Research Corporation | Upper electrode backing member with particle reducing features |
US20080087641A1 (en) | 2006-10-16 | 2008-04-17 | Lam Research Corporation | Components for a plasma processing apparatus |
US20090001593A1 (en) | 2007-06-27 | 2009-01-01 | Byung Tai Do | Integrated circuit package system with overhanging connection stack |
Family Cites Families (127)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4585920A (en) * | 1982-05-21 | 1986-04-29 | Tegal Corporation | Plasma reactor removable insert |
US4612077A (en) * | 1985-07-29 | 1986-09-16 | The Perkin-Elmer Corporation | Electrode for plasma etching system |
US4908095A (en) * | 1988-05-02 | 1990-03-13 | Tokyo Electron Limited | Etching device, and etching method |
US5074456A (en) | 1990-09-18 | 1991-12-24 | Lam Research Corporation | Composite electrode for plasma processes |
JPH04355917A (ja) * | 1990-10-12 | 1992-12-09 | Seiko Epson Corp | 半導体装置の製造装置 |
US5356515A (en) | 1990-10-19 | 1994-10-18 | Tokyo Electron Limited | Dry etching method |
USD363464S (en) | 1992-08-27 | 1995-10-24 | Tokyo Electron Yamanashi Limited | Electrode for a semiconductor processing apparatus |
US5423936A (en) * | 1992-10-19 | 1995-06-13 | Hitachi, Ltd. | Plasma etching system |
KR100324792B1 (ko) * | 1993-03-31 | 2002-06-20 | 히가시 데쓰로 | 플라즈마처리장치 |
KR950020993A (ko) * | 1993-12-22 | 1995-07-26 | 김광호 | 반도체 제조장치 |
US5680013A (en) * | 1994-03-15 | 1997-10-21 | Applied Materials, Inc. | Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces |
US5589002A (en) | 1994-03-24 | 1996-12-31 | Applied Materials, Inc. | Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing |
JP3468859B2 (ja) * | 1994-08-16 | 2003-11-17 | 富士通株式会社 | 気相処理装置及び気相処理方法 |
US5746875A (en) * | 1994-09-16 | 1998-05-05 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
US5590975A (en) * | 1994-12-06 | 1997-01-07 | Horntvedt; Earl | Fastening assembly |
WO1996031997A1 (fr) * | 1995-04-07 | 1996-10-10 | Seiko Epson Corporation | Equipement de traitement de surface |
US5569356A (en) | 1995-05-19 | 1996-10-29 | Lam Research Corporation | Electrode clamping assembly and method for assembly and use thereof |
US5792269A (en) * | 1995-10-31 | 1998-08-11 | Applied Materials, Inc. | Gas distribution for CVD systems |
USD411516S (en) * | 1996-03-15 | 1999-06-29 | Tokyo Electron Limited | Gas diffusion plate for electrode of semiconductor wafer processing apparatus |
US5614026A (en) * | 1996-03-29 | 1997-03-25 | Lam Research Corporation | Showerhead for uniform distribution of process gas |
JP3728021B2 (ja) | 1996-06-28 | 2005-12-21 | 日清紡績株式会社 | プラズマエッチング電極及びその製造方法 |
JP3310171B2 (ja) * | 1996-07-17 | 2002-07-29 | 松下電器産業株式会社 | プラズマ処理装置 |
US6444037B1 (en) * | 1996-11-13 | 2002-09-03 | Applied Materials, Inc. | Chamber liner for high temperature processing chamber |
US5740009A (en) * | 1996-11-29 | 1998-04-14 | Applied Materials, Inc. | Apparatus for improving wafer and chuck edge protection |
TW415970B (en) | 1997-01-08 | 2000-12-21 | Ebara Corp | Vapor-phase film growth apparatus and gas ejection head |
USD412513S (en) * | 1997-01-29 | 1999-08-03 | Tokyo Electron Limited | Upper electrode for manufacturing semiconductors |
JP2001525997A (ja) * | 1997-05-20 | 2001-12-11 | 東京エレクトロン株式会社 | 処理装置 |
US6024799A (en) * | 1997-07-11 | 2000-02-15 | Applied Materials, Inc. | Chemical vapor deposition manifold |
JP3480271B2 (ja) * | 1997-10-07 | 2003-12-15 | 東京エレクトロン株式会社 | 熱処理装置のシャワーヘッド構造 |
US6110556A (en) * | 1997-10-17 | 2000-08-29 | Applied Materials, Inc. | Lid assembly for a process chamber employing asymmetric flow geometries |
US6079356A (en) * | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US6039836A (en) * | 1997-12-19 | 2000-03-21 | Lam Research Corporation | Focus rings |
USD420022S (en) * | 1997-12-24 | 2000-02-01 | Applied Materials, Inc. | Electrostatic chuck with improved spacing and charge migration reduction mask |
US6050506A (en) * | 1998-02-13 | 2000-04-18 | Applied Materials, Inc. | Pattern of apertures in a showerhead for chemical vapor deposition |
US5997649A (en) | 1998-04-09 | 1999-12-07 | Tokyo Electron Limited | Stacked showerhead assembly for delivering gases and RF power to a reaction chamber |
US6302964B1 (en) | 1998-06-16 | 2001-10-16 | Applied Materials, Inc. | One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6550126B1 (en) * | 1998-07-30 | 2003-04-22 | Sony Electronics, Inc | Method for mounting electrode assembly |
US6228208B1 (en) * | 1998-08-12 | 2001-05-08 | Applied Materials, Inc. | Plasma density and etch rate enhancing semiconductor processing chamber |
US6050216A (en) * | 1998-08-21 | 2000-04-18 | M.E.C. Technology, Inc. | Showerhead electrode for plasma processing |
US6178919B1 (en) * | 1998-12-28 | 2001-01-30 | Lam Research Corporation | Perforated plasma confinement ring in plasma reactors |
WO2000045425A1 (en) * | 1999-02-01 | 2000-08-03 | Tokyo Electron Limited | Etching system and etching chamber |
US20020179245A1 (en) | 1999-03-17 | 2002-12-05 | Toshio Masuda | Plasma processing apparatus and maintenance method therefor |
US6305677B1 (en) * | 1999-03-30 | 2001-10-23 | Lam Research Corporation | Perimeter wafer lifting |
US6173673B1 (en) * | 1999-03-31 | 2001-01-16 | Tokyo Electron Limited | Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber |
US6200415B1 (en) * | 1999-06-30 | 2001-03-13 | Lam Research Corporation | Load controlled rapid assembly clamp ring |
US6206972B1 (en) * | 1999-07-08 | 2001-03-27 | Genus, Inc. | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes |
US6495233B1 (en) | 1999-07-09 | 2002-12-17 | Applied Materials, Inc. | Apparatus for distributing gases in a chemical vapor deposition system |
JP4323021B2 (ja) | 1999-09-13 | 2009-09-02 | 株式会社エフオーアイ | プラズマ処理装置 |
USD441348S1 (en) * | 1999-11-30 | 2001-05-01 | Applied Materials, Inc. | Process chamber lid |
US6350317B1 (en) * | 1999-12-30 | 2002-02-26 | Lam Research Corporation | Linear drive system for use in a plasma processing system |
JP4437351B2 (ja) * | 2000-01-14 | 2010-03-24 | キヤノンアネルバ株式会社 | プラズマエッチング装置 |
US6477980B1 (en) | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6170432B1 (en) * | 2000-01-24 | 2001-01-09 | M.E.C. Technology, Inc. | Showerhead electrode assembly for plasma processing |
US6237528B1 (en) * | 2000-01-24 | 2001-05-29 | M.E.C. Technology, Inc. | Showerhead electrode assembly for plasma processing |
US6383931B1 (en) | 2000-02-11 | 2002-05-07 | Lam Research Corporation | Convertible hot edge ring to improve low-K dielectric etch |
JP3411539B2 (ja) * | 2000-03-06 | 2003-06-03 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
US7196283B2 (en) * | 2000-03-17 | 2007-03-27 | Applied Materials, Inc. | Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface |
US6894245B2 (en) | 2000-03-17 | 2005-05-17 | Applied Materials, Inc. | Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression |
JP4592916B2 (ja) * | 2000-04-25 | 2010-12-08 | 東京エレクトロン株式会社 | 被処理体の載置装置 |
US6553932B2 (en) * | 2000-05-12 | 2003-04-29 | Applied Materials, Inc. | Reduction of plasma edge effect on plasma enhanced CVD processes |
JP4896337B2 (ja) * | 2000-05-17 | 2012-03-14 | 東京エレクトロン株式会社 | 処理装置およびそのメンテナンス方法,処理装置部品の組立機構およびその組立方法,ロック機構およびそのロック方法 |
US6461435B1 (en) | 2000-06-22 | 2002-10-08 | Applied Materials, Inc. | Showerhead with reduced contact area |
US6753498B2 (en) * | 2000-07-20 | 2004-06-22 | Tokyo Electron Limited | Automated electrode replacement apparatus for a plasma processing system |
US6412437B1 (en) * | 2000-08-18 | 2002-07-02 | Micron Technology, Inc. | Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process |
US6391787B1 (en) * | 2000-10-13 | 2002-05-21 | Lam Research Corporation | Stepped upper electrode for plasma processing uniformity |
JP3775987B2 (ja) | 2000-12-26 | 2006-05-17 | 松下電器産業株式会社 | プラズマ処理装置 |
US7211170B2 (en) | 2001-04-02 | 2007-05-01 | Lam Research Corporation | Twist-N-Lock wafer area pressure ring and assembly |
US6818096B2 (en) | 2001-04-12 | 2004-11-16 | Michael Barnes | Plasma reactor electrode |
KR100400044B1 (ko) * | 2001-07-16 | 2003-09-29 | 삼성전자주식회사 | 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드 |
US6786175B2 (en) * | 2001-08-08 | 2004-09-07 | Lam Research Corporation | Showerhead electrode design for semiconductor processing reactor |
US6586886B1 (en) * | 2001-12-19 | 2003-07-01 | Applied Materials, Inc. | Gas distribution plate electrode for a plasma reactor |
US20030127806A1 (en) * | 2001-12-27 | 2003-07-10 | Belchuk Mark A. | Alignment feature for a fuel cell seal |
US6827815B2 (en) | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
US7479304B2 (en) * | 2002-02-14 | 2009-01-20 | Applied Materials, Inc. | Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate |
US20030185729A1 (en) | 2002-03-29 | 2003-10-02 | Ho Ko | Electrode assembly for processing a semiconductor substrate and processing apparatus having the same |
US6936135B2 (en) * | 2002-04-17 | 2005-08-30 | Lam Research Corporation | Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber |
USD490450S1 (en) * | 2002-05-20 | 2004-05-25 | Tokyo Electron Limited | Exhaust ring for semiconductor equipment |
JP4847009B2 (ja) * | 2002-05-23 | 2011-12-28 | ラム リサーチ コーポレーション | 半導体処理プラズマ反応器用の多部品電極および多部品電極の一部を取り換える方法 |
USD493873S1 (en) * | 2002-05-24 | 2004-08-03 | Tokyo Electron Limited | Heating gas supplier for semiconductor manufacturing equipment |
US7166200B2 (en) * | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate in a plasma processing system |
JP4753276B2 (ja) * | 2002-11-26 | 2011-08-24 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US7270713B2 (en) | 2003-01-07 | 2007-09-18 | Applied Materials, Inc. | Tunable gas distribution plate assembly |
JP4472372B2 (ja) | 2003-02-03 | 2010-06-02 | 株式会社オクテック | プラズマ処理装置及びプラズマ処理装置用の電極板 |
US20040173313A1 (en) * | 2003-03-03 | 2004-09-09 | Bradley Beach | Fire polished showerhead electrode |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
US7296534B2 (en) | 2003-04-30 | 2007-11-20 | Tokyo Electron Limited | Hybrid ball-lock attachment apparatus |
US7083702B2 (en) * | 2003-06-12 | 2006-08-01 | Applied Materials, Inc. | RF current return path for a large area substrate plasma reactor |
JP2005019606A (ja) * | 2003-06-25 | 2005-01-20 | Anelva Corp | プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置 |
EP1690031A2 (de) * | 2003-11-25 | 2006-08-16 | Garlock Sealing Technologies LLC | Gewellter dichtungskern mit profilierter fläche |
JP4403919B2 (ja) * | 2004-04-01 | 2010-01-27 | 株式会社Sumco | 耐久性に優れたプラズマエッチング用シリコン電極板 |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US7712434B2 (en) | 2004-04-30 | 2010-05-11 | Lam Research Corporation | Apparatus including showerhead electrode and heater for plasma processing |
US7988816B2 (en) * | 2004-06-21 | 2011-08-02 | Tokyo Electron Limited | Plasma processing apparatus and method |
US7740737B2 (en) * | 2004-06-21 | 2010-06-22 | Tokyo Electron Limited | Plasma processing apparatus and method |
JP4550507B2 (ja) * | 2004-07-26 | 2010-09-22 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US20060042754A1 (en) * | 2004-07-30 | 2006-03-02 | Tokyo Electron Limited | Plasma etching apparatus |
US20060043067A1 (en) * | 2004-08-26 | 2006-03-02 | Lam Research Corporation | Yttria insulator ring for use inside a plasma chamber |
US7244311B2 (en) * | 2004-10-13 | 2007-07-17 | Lam Research Corporation | Heat transfer system for improved semiconductor processing uniformity |
JP2006128000A (ja) * | 2004-10-29 | 2006-05-18 | Advanced Lcd Technologies Development Center Co Ltd | プラズマ処理装置 |
US20060108069A1 (en) * | 2004-11-19 | 2006-05-25 | Samsung Electronics Co., Ltd. | Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers |
KR100621778B1 (ko) | 2005-06-17 | 2006-09-11 | 삼성전자주식회사 | 플라즈마 처리 장치 |
US7431788B2 (en) * | 2005-07-19 | 2008-10-07 | Lam Research Corporation | Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system |
US20070032081A1 (en) * | 2005-08-08 | 2007-02-08 | Jeremy Chang | Edge ring assembly with dielectric spacer ring |
JP4628900B2 (ja) * | 2005-08-24 | 2011-02-09 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US8008596B2 (en) * | 2006-03-16 | 2011-08-30 | Tokyo Electron Limited | Plasma processing apparatus and electrode used therein |
US8635971B2 (en) | 2006-03-31 | 2014-01-28 | Lam Research Corporation | Tunable uniformity in a plasma processing system |
US7829468B2 (en) | 2006-06-07 | 2010-11-09 | Lam Research Corporation | Method and apparatus to detect fault conditions of plasma processing reactor |
US7740736B2 (en) | 2006-06-08 | 2010-06-22 | Lam Research Corporation | Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber |
US7875824B2 (en) * | 2006-10-16 | 2011-01-25 | Lam Research Corporation | Quartz guard ring centering features |
US8702866B2 (en) * | 2006-12-18 | 2014-04-22 | Lam Research Corporation | Showerhead electrode assembly with gas flow modification for extended electrode life |
US8398778B2 (en) | 2007-01-26 | 2013-03-19 | Lam Research Corporation | Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter |
US8216418B2 (en) | 2007-06-13 | 2012-07-10 | Lam Research Corporation | Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings |
US7862682B2 (en) | 2007-06-13 | 2011-01-04 | Lam Research Corporation | Showerhead electrode assemblies for plasma processing apparatuses |
KR100963297B1 (ko) * | 2007-09-04 | 2010-06-11 | 주식회사 유진테크 | 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법 |
CN101809717B (zh) * | 2007-09-25 | 2012-10-10 | 朗姆研究公司 | 用于等离子处理设备的喷头电极总成的温度控制模块 |
US8152954B2 (en) * | 2007-10-12 | 2012-04-10 | Lam Research Corporation | Showerhead electrode assemblies and plasma processing chambers incorporating the same |
US8187414B2 (en) * | 2007-10-12 | 2012-05-29 | Lam Research Corporation | Anchoring inserts, electrode assemblies, and plasma processing chambers |
US8673080B2 (en) * | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
SG187386A1 (en) * | 2007-12-19 | 2013-02-28 | Lam Res Corp | A composite showerhead electrode assembly for a plasma processing apparatus |
JP5224855B2 (ja) | 2008-03-05 | 2013-07-03 | 東京エレクトロン株式会社 | 電極ユニット、基板処理装置及び電極ユニットの温度制御方法 |
WO2009114175A2 (en) | 2008-03-14 | 2009-09-17 | Lam Research Corporation | Cam lock electrode clamp |
US8187413B2 (en) | 2008-03-18 | 2012-05-29 | Lam Research Corporation | Electrode assembly and plasma processing chamber utilizing thermally conductive gasket |
US8221582B2 (en) * | 2008-07-07 | 2012-07-17 | Lam Research Corporation | Clamped monolithic showerhead electrode |
US8206506B2 (en) * | 2008-07-07 | 2012-06-26 | Lam Research Corporation | Showerhead electrode |
US8161906B2 (en) * | 2008-07-07 | 2012-04-24 | Lam Research Corporation | Clamped showerhead electrode assembly |
US8402918B2 (en) | 2009-04-07 | 2013-03-26 | Lam Research Corporation | Showerhead electrode with centering feature |
US8272346B2 (en) | 2009-04-10 | 2012-09-25 | Lam Research Corporation | Gasket with positioning feature for clamped monolithic showerhead electrode |
-
2010
- 2010-09-17 US US12/884,269 patent/US8419959B2/en active Active
- 2010-09-17 SG SG201006850-0A patent/SG169960A1/en unknown
- 2010-09-17 TW TW099218073U patent/TWM412457U/zh not_active IP Right Cessation
- 2010-09-19 CN CN2010205486846U patent/CN201919233U/zh not_active Expired - Lifetime
- 2010-09-20 FR FR1003725A patent/FR2950478B1/fr active Active
- 2010-09-20 DE DE202010012763U patent/DE202010012763U1/de not_active Expired - Lifetime
- 2010-11-22 JP JP2010007637U patent/JP3167751U/ja not_active Expired - Lifetime
Patent Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5534751A (en) | 1995-07-10 | 1996-07-09 | Lam Research Corporation | Plasma etching apparatus utilizing plasma confinement |
US20050133160A1 (en) | 2003-12-23 | 2005-06-23 | Kennedy William S. | Showerhead electrode assembly for plasma processing apparatuses |
US20060207502A1 (en) | 2005-03-18 | 2006-09-21 | Rajinder Dhindsa | Plasma confinement ring assemblies having reduced polymer deposition characteristics |
US20060283552A1 (en) | 2005-06-20 | 2006-12-21 | Rogers James H | Plasma confinement rings including RF absorbing material for reducing polymer deposition |
US20070068629A1 (en) | 2005-09-23 | 2007-03-29 | Hong Shih | Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof |
US20070187038A1 (en) | 2006-02-13 | 2007-08-16 | Daxing Ren | Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch |
US20080090417A1 (en) | 2006-10-16 | 2008-04-17 | Lam Research Corporation | Upper electrode backing member with particle reducing features |
US20080087641A1 (en) | 2006-10-16 | 2008-04-17 | Lam Research Corporation | Components for a plasma processing apparatus |
US20090001593A1 (en) | 2007-06-27 | 2009-01-01 | Byung Tai Do | Integrated circuit package system with overhanging connection stack |
Also Published As
Publication number | Publication date |
---|---|
US8419959B2 (en) | 2013-04-16 |
SG169960A1 (en) | 2011-04-29 |
FR2950478B1 (fr) | 2017-04-28 |
FR2950478A1 (fr) | 2011-03-25 |
CN201919233U (zh) | 2011-08-03 |
TWM412457U (en) | 2011-09-21 |
JP3167751U (ja) | 2011-05-19 |
US20110070740A1 (en) | 2011-03-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE202010012763U1 (de) | Festgeklemmte monolithische Gasverteilungselektrode | |
DE202010004773U1 (de) | Dichtungselement mit Postionierungsmerkmal für eine festgeklemmte monolithische Gasverteilungselektrode | |
DE202011109320U1 (de) | Nockenarretierte Gasverteilungselektrode und -Elektrodenanordnung | |
US10262834B2 (en) | Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly | |
US8796153B2 (en) | Clamped monolithic showerhead electrode | |
US8573152B2 (en) | Showerhead electrode | |
TWI504317B (zh) | 受夾固之單晶噴淋頭電極組件 | |
DE19980683C2 (de) | Gestapelte Duschkopfeinheit zum Leiten von Gasen und HF-Leistung in eine Reaktionskammer | |
DE10083204B3 (de) | Plasmaprozesskammer und Bearbeitungsverfahren darin | |
DE69736977T2 (de) | Vakuumkammer mit hohem durchfluss und modularen ausstattungselementen wie plasmaerzeugungsquelle, vakuumpumpe und/oder freitragendem werkstückträger | |
DE69928289T2 (de) | Ätzkammern mit plasma dichte und geringer kontamination und herstellungsverfahren derselben | |
DE60036291T2 (de) | Gasverteilungsvorrichtung für die halbleiterbearbeitung | |
DE202010014805U1 (de) | Heissrandring mit geneigter oberer Oberfläche | |
DE202010015933U1 (de) | Eine Randringanordnung für Plasmaätzkammern | |
KR200462655Y1 (ko) | 클램프형 모놀리식 샤워헤드 전극 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
R207 | Utility model specification |
Effective date: 20110512 |
|
R150 | Utility model maintained after payment of first maintenance fee after three years | ||
R150 | Utility model maintained after payment of first maintenance fee after three years |
Effective date: 20131014 |
|
R151 | Utility model maintained after payment of second maintenance fee after six years | ||
R152 | Utility model maintained after payment of third maintenance fee after eight years |