FR2950478A1 - Électrode en pomme de douche monolithique bloquée - Google Patents

Électrode en pomme de douche monolithique bloquée Download PDF

Info

Publication number
FR2950478A1
FR2950478A1 FR1003725A FR1003725A FR2950478A1 FR 2950478 A1 FR2950478 A1 FR 2950478A1 FR 1003725 A FR1003725 A FR 1003725A FR 1003725 A FR1003725 A FR 1003725A FR 2950478 A1 FR2950478 A1 FR 2950478A1
Authority
FR
France
Prior art keywords
showerhead electrode
electrode
gas
backplate
inches
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
FR1003725A
Other languages
English (en)
Other versions
FR2950478B1 (fr
Inventor
Bettencourt Gregory R
Gautam Bhattacharyya
Eng Simon Gosselin
Sandy Chao
La Llera Anthony De
Patrik Mankidy
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of FR2950478A1 publication Critical patent/FR2950478A1/fr
Application granted granted Critical
Publication of FR2950478B1 publication Critical patent/FR2950478B1/fr
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

Ensemble à électrode (500) pour chambre à plasma servant au traitement de substrats semiconducteurs. L'ensemble (500) comporte une électrode en pomme de douche supérieure (502) qui est mécaniquement fixé à une contre-plaque (506) par des verrous à came 514 mutuellement espacés. Un anneau de garde (508) entoure la contre-plaque (506) et est mobile vers des positions auxquelles des ouvertures de l'anneau de garde (508) s'alignent avec des ouvertures de la contre-plaque (506) pour pouvoir faire tourner les verrous à came (514) au moyen d'un outil et libérer des goupilles de verrouillage (562) partant de la face supérieure de l'électrode (502).

Description

1 La présente demande revendique priorité conformément à l'article 35 du code U.S.C. §119 sur la demande provisoire U.S. N° 61/243647 intitulée "Clamped Monolithic Showerhead Electrode" (Électrode en pomme de douche monolithique bloquée), déposée le 18 septembre 2009, dont la totalité du contenu est incorporée ici à titre de référence. CONTEXTE DE L'INVENTION La fabrication d'une puce de circuit intégré commence généralement par un substrat de matériau semiconducteur monocristallin de pureté élevée (tel que du silicium ou du germanium) appelé "plaquette" (wafer en terminologie anglo-saxonne). Chaque plaquette est soumise à une séquence d'étapes de traitements physiques et chimiques qui forment les diverses structures du circuit sur la plaquette. Pendant le processus de fabrication, divers types de films minces peuvent être déposés sur la plaquette au moyen de diverses techniques telles que l'oxydation thermique pour produire des films de dioxyde de silicium, le dépôt chimique en phase vapeur pour produire des films de silicium, de dioxyde de silicium et de nitrure de silicium, et la pulvérisation cathodique ou d'autres techniques permettant de produire d'autres films métalliques. Après dépôt d'un film sur la plaquette de semiconducteur, les propriétés électriques uniques des semiconducteurs sont obtenues par substitution d'impuretés sélectionnées dans le réseau cristallin du semiconducteur par un processus appelé dopage. La plaquette de silicium dopé peut ensuite être uniformément revêtue d'une couche mince d'un matériau photosensible ou sensible à un rayonnement, appelé "résine" (resist en terminologique anglo-saxonne). De petits motifs géométriques définissant les trajets des électrons dans le circuit peuvent ensuite être transférés sur la résine à l'aide d'un processus connu sous le nom de lithographie. Pendant le processus lithographique, le motif du circuit intégré peut être dessiné sur une plaque de verre appelée "masque" puis être optiquement réduit, projeté et transféré sur le revêtement photosensible. Le motif de résine lithographié est ensuite transféré sur la surface cristalline sous-jacente du matériau semiconducteur par un processus connu sous le nom de gravure. Des chambres de traitement sous vide sont généralement utilisées pour la gravure et le dépôt chimique en phase vapeur (CVD) de matériaux sur des substrats en introduisant un gaz de gravure ou de dépôt dans la chambre à vide et en appliquant au gaz un champ radiofréquence (RF) afin d'activer le gaz et de le faire passer dans un état de plasma. Un système de gravure ionique réactive consiste en une chambre de gravure à l'intérieur de laquelle sont positionnées une électrode ou une anode supérieure et une électrode ou une cathode inférieure. La cathode est polarisée négativement par rapport à l'anode et aux parois du récipient. La plaquette à graver est recouverte d'un masque approprié et est directement placée sur la cathode. Un gaz chimiquement réactif tel que CF4, CHF3, CC1F3, HBr, C12 et SF6 ou des mélanges de ceux-ci avec du 02, du N2, du He ou de l'Ar, est introduit dans la chambre de gravure et est maintenu sous une pression qui est typiquement de l'ordre du millitorr. L'électrode supérieure est une électrode en pomme de douche munie d'un ou de plusieurs orifice(s) de sortie de gaz qui permettent de disperser le gaz uniformément à l'intérieur de la chambre à travers l'électrode. Le champ électrique établi entre l'anode et la cathode va dissocier le gaz réactif en formant un plasma. La surface de la plaquette est gravée par interaction chimique avec les ions actifs et par transfert de quantité de mouvement des ions frappant la surface de la plaquette. Le champ électrique créé par les électrodes attire les ions vers la cathode en faisant en sorte que les ions frappent la surface dans une direction principalement verticale afin que le processus produise des parois latérales gravées verticalement bien définies. Une régulation de température fiable et répétable de l'électrode en pomme de douche pendant le traitement au plasma de substrats semiconducteurs est souhaitable pour obtenir la chimie souhaitée du plasma au niveau de la surface exposée au plasma de l'électrode en pomme de douche. Les demandes de brevets U.S. publiées détenues en commun N° 2009/0081878 et 2008/0308228, qui sont citées ici à titre de référence, divulguent des modules de régulation de température destinés à des ensembles à électrodes en pomme de douche. L'entretien d'électrodes en pomme de douche peut être difficile en raison des configurations de montage complexes. La demande de brevet U.S. non provisoire détenue en commun N° 12/216524 déposée le 7 juillet 2008 décrit une électrode en pomme de douche monolithique qui est fixée de façon amovible à une contre-plaque par une série de verrous à cames. Dans le mode de réalisation représenté, la contre- plaque présente une protubérance annulaire abritant les verrous à came et l'électrode en pomme de douche comporte un creux annulaire qui est adapté à la protubérance présente sur la contre-plaque. Dans certains processus utilisant des plasmas, il serait souhaitable de prévoir un agencement des orifices de sortie de gaz qui répartisse plus uniformément le gaz de traitement dans la chambre. On décrit ici une électrode en pomme de douche monolithique bloquée conduisant à une répartition de gaz et 30 à une régulation de température améliorées. RÉSUMÉ Conformément à un mode de réalisation, une électrode en pomme de douche destinée à être utilisée dans une chambre réactionnelle à plasma comprend une partie centrale 35 et une partie périphérique définies par des faces supérieure et inférieure de l'électrode en pomme de douche.
La face supérieure comprend une surface plane s'étendant sur la totalité de la partie centrale et de la partie périphérique et la face inférieure est définie par une surface intérieure plane s'étendant sur la totalité de la partie centrale et une surface extérieure à gradins s'étendant sur la totalité de la partie périphérique. La surface extérieure à gradins comprend au moins une surface plane annulaire définissant une zone de plus grande épaisseur de l'électrode en pomme de douche et une pluralité de douilles circonférentiellement espacées les unes des autres sont situées dans la face supérieure de la partie périphérique, les douilles étant configurées pour recevoir des verrous à cames aptes à bloquer l'électrode en pomme de douche sur une contre-plaque. Une pluralité d'orifices de sortie de gaz sont situés dans la partie centrale de l'électrode en pomme de douche à travers laquelle un gaz de traitement peut être introduit entre l'électrode en pomme de douche et l'électrode inférieure sur laquelle repose une plaquette. Les orifices de sortie de gaz sont agencés selon un motif comportant un orifice de sortie de gaz central et 13 rangées circonférentielles d'orifices de sortie de gaz avec 10 orifices de sortie de gaz dans la première rangée située à environ 1,27 cm (0,5 pouce) du centre de l'électrode en pomme de douche, 18 orifices de sortie de gaz dans la deuxième rangée située à environ 2,3 cm (0,9 pouce) du centre, 28 orifices de sortie de gaz dans la troisième rangée située à environ 3,5 cm (1,4 pouce) du centre, 38 orifices de sortie de gaz dans la quatrième rangée située à environ 4,6 cm (1,8 pouce) du centre, 46 orifices de sortie de gaz dans la cinquième rangée située à environ 5,8 cm (2,3 pouces) du centre, 56 orifices de sortie de gaz dans la sixième rangée située à environ 6,8 cm (2,7 pouces) du centre, 66 orifices de sortie de gaz dans la septième rangée située à environ 8,1 cm (3,2 pouces) du centre, 74 orifices de sortie de gaz dans la huitième rangée située à environ 9,1 cm (3,6 pouces) du centre, 84 orifices de sortie de gaz dans la neuvième rangée située à environ 10,4 cm (4,1 pouces) du centre, 94 orifices de sortie de gaz dans la dixième rangée située à environ 11,7 cm (4,6 pouces) du centre, 104 orifices de sortie de gaz dans la onzième rangée située à environ 12,9 cm (5,1 pouces) du centre, 110 orifices de sortie de gaz dans la douzième rangée située à environ 13,7 cm (5,4 pouces) du centre, et 120 trous dans la treizième rangée située à environ 14,5 cm (5,7 pouces) du centre. Un trou de réception de capteur de température est ménagé dans la face supérieure pour recevoir un capteur de température. La surface extérieure à gradins peut avoir une configuration à un seul gradin ou à gradins multiples. La configuration à un seul gradin comporte une surface plane annulaire unique et des surfaces intérieure et extérieure inclinées, la surface intérieure inclinée s'étendant entre la surface intérieure plane et la surface annulaire plane unique et la surface extérieure inclinée s'étendant entre la surface plane annulaire unique et un bord extérieur de l'électrode en pomme de douche. La configuration à gradins multiples comprend des surfaces planes annulaires intérieures et extérieures et des surfaces inclinées intérieures, intermédiaires et extérieures. La surface inclinée intérieure s'étend entre la surface intérieure plane et la surface plane annulaire intérieure, la surface inclinée intermédiaire s'étend entre la surface plane annulaire intérieure et la surface plane annulaire extérieure, et la surface inclinée extérieure s'étend entre la surface plane annulaire extérieure et un bord extérieur de l'électrode en pomme de douche. L'épaisseur de l'électrode en pomme de douche à gradins multiples sur la totalité de la surface intérieure plane est inférieure à l'épaisseur sur la totalité de la surface plane annulaire extérieure.
BRÈVE DESCRIPTION DES DESSINS La figure 1 représente une vue en coupe transversale partielle d'un ensemble à électrode en pomme de douche. La figure 2A est une représentation tridimension- nelle d'un exemple de verrou à came destiné à bloquer une électrode en pomme de douche dans le réacteur représenté sur la figure 1. La figure 2B est une vue en coupe transversale de l'exemple de fixation d'électrode par verrou à came de la 10 figure 2A. La figure 3 représente des schémas en élévation latérale et d'assemblage d'un exemple de broche de verrouillage utilisée dans la fixation par verrou à came des figures 2A et 2B. 15 La figure 4A représente des schémas en élévation latérale et d'assemblage d'un exemple d'arbre à came utilisé dans la fixation par verrou à came des figures 2A et 2B. La figure 4B représente une vue en coupe 20 transversale d'un exemple de bord de trajet de découpe d'une partie de l'arbre à came de la figure 4A. La figure 5 représente un ensemble à électrode en pomme de douche comportant une électrode en pomme de douche, une contre-plaque, une plaque de régulation 25 thermique, un anneau de garde et une plaque supérieure. La figure 6A est une vue de dessus de l'électrode en pomme de douche. La figure 6B est une vue en coupe transversale de l'électrode en pomme de douche conformément à un mode de 30 réalisation de l'électrode en pomme de couche. La figure 6C est une vue agrandie de la partie C de la figure 6B. La figure 6D est une vue en coupe transversale partielle de l'électrode en pomme de douche à travers un 35 creux destiné à recevoir un capteur de température.
La figure 6E est une vue en coupe transversale partielle d'un autre mode de réalisation de l'électrode en pomme de douche. La figure 6F est une vue en coupe transversale 5 partielle d'encore un autre mode de réalisation de l'électrode en pomme de douche. La figure 7 est une vue en perspective de la contre-plaque représentée sur la figure 5. Le motif de passages de gaz et le motif de microtrous d'alignement représentés ne 10 sont pas exacts. La figure 8 est une vue en perspective de l'ensemble à électrode en pomme de douche sans l'anneau de garde. Le motif de passages de gaz représenté n'est pas exact. DESCRIPTION DÉTAILLÉE 15 La figure 1 représente une vue en coupe transversale partielle d'un mode de réalisation d'un ensemble à électrode en pomme de douche 100 d'un système de traitement au plasma destiné à graver des substrats. Comme illustré sur la figure 1, l'ensemble à électrode en pomme de douche 20 100 comporte une électrode en pomme de douche 110, une contre-plaque 140, et un anneau de garde (ou anneau extérieur) 170. L'ensemble à électrode en pomme de douche 100 comporte également un ensemble formant anneau de confinement du plasma (ou ensemble produisant une pression 25 dans la zone au-dessus de la plaquette (WAP pour Wafer Area Pressure)) 180, qui entoure la périphérie extérieure de l'électrode en pomme de douche 110 et de la contre-plaque 140. L'ensemble 100 comporte également une plaque de 30 régulation thermique 102 et une plaque supérieure (haute) 104 dans laquelle se trouvent des canaux d'écoulement de liquide et formant une paroi à température régulée de la chambre. L'électrode en pomme de douche 110 est de préférence une plaque circulaire et peut être constituée 35 d'un matériau conducteur de pureté élevée tel que du silicium monocristallin, du silicium polycristallin, du carbure de silicium, ou un autre matériau approprié (tel que de l'aluminium ou un alliage de celui-ci, de l'aluminium anodisé, de l'aluminium revêtu d'oxyde d'yttrium). Un capteur de température 580 (figure 5) ayant une gamme de températures appropriée, tel qu'un thermocouple, un capteur de température à fibre optique ou un détecteur de température résistif, est configuré de façon à venir directement au contact de l'électrode en pomme de douche 110. La contre-plaque 140 est mécaniquement fixée à l'électrode en pomme de douche 110 par des attaches mécaniques décrites ci-après. L'anneau de garde 170 entoure la contre-plaque 140 et permet d'accéder à des éléments de verrouillage à cames tels que décrits ci-après. Le capteur de température 580 délivre des données de températures à une unité de commande 581 qui active un ou plusieurs éléments chauffants 582 ajustant la température de l'électrode en pomme de douche. L'ensemble â électrode en pomme de douche 100, comme illustré sur la figure 1, est généralement utilisé avec un mandrin électrostatique (non représenté) comportant une électrode inférieure plane sur laquelle une plaquette repose à une distance d'environ 1 à 2 cm en dessous de l'électrode en pomme de douche 110. Un exemple de système de traitement au plasma de ce type est un réacteur du type à plaques parallèles, tel que les systèmes de gravure diélectriques Exelan® fabriqués par Lam Research Corporation, à Fremont, Californie. Ces dispositifs à mandrin assurent une régulation de température de la plaquette en appliquant une pression d'hélium (He) à la contre-plaque, qui commande le taux de transfert thermique entre la plaquette et le mandrin. L'électrode en pomme de douche 110 est une partie consommable qui doit être remplacée périodiquement. Pour alimenter en gaz de traitement l'entrefer entre la plaquette et l'électrode en pomme de douche 110, l'électrode en pomme de douche 110 est dotée d'orifices de sortie de gaz 106 qui ont une taille et une répartition appropriées pour l'apport d'un gaz de traitement, lequel gaz est activé par l'électrode et forme un plasma dans une zone réactionnelle située en dessous de l'électrode en pomme de douche 110. L'ensemble à électrode en pomme de douche 100 comporte également un ensemble formant anneau de confinement du plasma (ou ensemble produisant un plasma au-dessus de la zone de la plaquette (WAP)) 180, qui entoure la périphérie extérieure de l'électrode en pomme de douche 110 et de la contre-plaque 140. L'ensemble 180 de confinement du plasma est de préférence constitué d'un empilement ou d'une pluralité d'anneaux mutuellement espacés 190, qui entoure la périphérie extérieure de l'électrode en pomme de douche 110 et de la contre-plaque 140. Pendant le traitement, l'ensemble 180 de confinement du plasma provoque un différentiel de pression dans la zone réactionnelle et augmente la résistance électrique entre les parois de la chambre réactionnelle et le plasma en confinant ainsi le plasma entre l'électrode en pomme de douche 110 et l'électrode inférieure (non représentée). Pendant l'utilisation, les anneaux de confinement 190 confinent le plasma au volume de la chambre et régulent la pression du plasma à l'intérieur de la chambre réactionnelle. Le confinement du plasma dans la chambre réactionnelle dépend de nombreux facteurs, parmi lesquels l'espacement entre les anneaux de confinement 190, la pression régnant dans la chambre réactionnelle, à l'extérieur des anneaux de confinement et dans le plasma, le type et le débit du gaz, ainsi que le niveau et la fréquence de la puissance RF. Le confinement du plasma est plus facile à réaliser si l'espacement entre les anneaux de confinement 190 est très petit. Typiquement, un espacement de 0,38 cm (0,15 pouce) ou moins est exigé pour le confinement. Cependant, l'espacement des anneaux de confinement 190 détermine également la pression du plasma, et il est souhaitable que l'espacement puisse être ajusté pour obtenir la pression requise pour des performances de traitement optimales tout en maintenant le plasma. Le gaz de traitement provenant de l'alimentation en gaz est délivré à l'électrode en pomme de douche 110 par l'intermédiaire d'un ou plusieurs passages ménagés dans la plaque supérieure 104, qui permettent l'apport d'un gaz de traitement à une zone unique ou à de multiples zones situées au-dessus de la plaquette.
L'électrode en pomme de douche 110 est de préférence une plaque circulaire ayant une épaisseur uniforme du centre (côté gauche de la figure 1) vers une zone de plus grande épaisseur formant au moins un gradin sur la surface exposée au plasma s'étendant vers l'intérieur à partir d'un bord extérieur. L'électrode en pomme de douche 110 a de préférence un diamètre supérieur à celui d'une plaquette à traiter, par exemple supérieur à 300 mm. Le diamètre de l'électrode en pomme de douche 110 peut aller d'environ 38,1 cm (15 pouces) à environ 43,2 cm (17 pouces) pour le traitement de plaquettes de 300 mm (tel qu'il est utilisé, le terme "environ" signifie environ ±10 90. Le silicium monocristallin et le silicium polycristallin sont des matériaux préférés pour des surfaces exposées au plasma de l'électrode en pomme de douche 110. Un silicium monocristallin ou polycristallin de pureté élevée minimise la contamination des substrats pendant le traitement au plasma car il n'introduit qu'une quantité minime d'éléments indésirables dans la chambre réactionnelle et subit également une usure régulière pendant le traitement au plasma, cela minimisant les particules. D'autres matériaux comprenant des composites de matériaux pouvant être utilisés pour des surfaces exposées au plasma de l'électrode en pomme de douche 110, comprennent par exemple de l'aluminium (tel qu'il est utilisé ici, le terme "aluminium" désigne de l'Al pur et des alliages de celui-ci avec ou sans surfaces anodisées ou autres surfaces revêtues), du silicium polycristallin, de l'aluminium revêtu d'oxyde d'yttrium, du SiC, du SiN, et de l'AIN. La contre-plaque 140 est de préférence constituée d'un matériau qui est chimiquement compatible avec les gaz de traitement utilisés pour traiter des substrats semiconducteurs dans la chambre de traitement au plasma, qui possède un coefficient de dilatation thermique très proche de celui du matériau de l'électrode, et/ou qui est électriquement et thermiquement conducteur. Les matériaux préférés pouvant être utilisés pour réaliser la contre-plaque 140 comprennent, sans aucune limitation à ceux-ci, le graphite, le SiC, l'aluminium (Al) ou d'autres matériaux appropriés.
L'électrode en pomme de douche 110 est mécaniquement fixée à la contre-plaque 140 sans collage par adhésif entre l'électrode et la contre-plaque, c'est-à-dire qu'aucun matériau de collage élastomère thermiquement et électriquement conducteur n'est utilisé pour fixer l'électrode à la contre-plaque. La contre-plaque 140 est de préférence fixée à la plaque de régulation thermique 102 par des attaches mécaniques appropriées qui peuvent être des boulons filetés, des vis, etc. A titre d'exemple, des boulons (non représentés) peuvent être insérés dans des trous ménagés dans la plaque de régulation thermique 102 et vissés dans des ouvertures filetées ménagées dans la contre-plaque 140. La plaque de régulation thermique 102 comprend une partie de flexion 184 et est de préférence constituée d'un matériau métallique usiné tel que de l'aluminium ou autre. La plaque commandée en température 104 supérieure est de préférence constituée d'aluminium. L'ensemble 180 de confinement du plasma (ou ensemble formant un plasma au- dessus de la zone de la plaquette (WAP)) est positionné vers l'extérieur de l'ensemble à électrode en pomme de douche 100. Un ensemble 180 de confinement du plasma approprié comportant une pluralité d'anneaux 190 de confinement du plasma réglables verticalement est décrit dans le brevet U.S. N° 5 534 751 détenu en commun, qui est cité ici en totalité à titre de référence.
L'électrode en pomme de douche 110 peut être fixée mécaniquement à la contre-plaque 140 par un mécanisme à verrou à came tel que décrit dans le brevet PCT/US2009/001593 détenu en commun qui revendique priorité de la demande de brevet US N° 61/036862 déposée le 14 mars 2008 et dont les descriptions sont citées ici à titre de référence. Se référant à la figure 2A, celle-ci illustre une vue tridimensionnelle d'un exemple de fixation d'électrode par verrouillage à came qui comporte des parties d'une électrode 201 et d'une contre-plaque 203. La fixation d'électrode permet de fixer rapidement, de manière propre et précise une électrode consommable 201 à une contre-plaque dans divers outils utilisés dans un contexte de fabrication, tel que la chambre de gravure au plasma représentée sur la figure 1.
La fixation d'électrode comprend un goujon (goupille de verrouillage) 205 monté dans une douille 213. Le goujon peut être entouré d'un empilement de ressorts à disques 215, comme par exemple des rondelles de Belleville en acier inoxydable. Le goujon 205 et l'empilement de ressorts à disques 215 peuvent être ajustés par pression ou fixés d'une autre manière à l'intérieur de la douille 213 par utilisation d'adhésifs ou d'attaches mécaniques. Le goujon 205 et l'empilement de ressorts à disques 215 sont mis en place dans la douille 213 de façon qu'une liberté de mouvement latérale limitée soit possible entre l'électrode 201 et la contre-plaque 203. Le fait de limiter la liberté de mouvement latérale autorise un ajustement serré entre l'électrode 201 et la contre-plaque 203, cela garantissant un bon contact thermique, tout en conférant néanmoins une certaine liberté de mouvement pour l'adaptation à des différences de dilatation thermique entre les deux pièces.
Des détails supplémentaires concernant la caractéristique de liberté de mouvement latérale limitée sont fournis de façon plus précise ci-après. Dans un exemple de réalisation particulier, la douille 213 est fabriquée à partir de Torlon® de qualité roulement. En variante, la douille 213 peut être réalisée à partir d'autres matériaux possédant certaines caractéristiques mécaniques telles qu'une bonne résistance mécanique et qu'une bonne résistance aux chocs, une résistance au fluage, une stabilité dimensionnelle, une résistance au rayonnement et une résistance chimique, et pouvant être facilement utilisés. Divers matériaux tels que des polyamides, des polyimides, des acétals et des matériaux à base de polyéthylène à poids moléculaire ultra-élevé, peuvent également être appropriés. Des matières plastiques spéciales pour hautes températures ou d'autres matériaux associés ne sont pas exigés pour former la douille 213 étant donné que la température de 230°C est la température maximale généralement observée dans des applications telles que des chambres de gravure. De manière générale, la température de fonctionnement typique est plus proche de 130°C. D'autres parties de la fixation d'électrode sont constituées d'un arbre à came 207 entouré à chaque extrémité d'une paire de roulements d'arbre à came 209. L'arbre à came 207 et l'ensemble à roulement d'arbre à came sont montés dans un alésage 211 de contre-plaque usinée dans la contre-plaque 203. Dans une application typique d'une chambre de gravure conçue pour des plaquettes de semiconducteur de 300 mm, huit fixations d'électrode ou plus peuvent être espacées le long de la périphérie de la combinaison électrode 201/contre-plaque 203. Les roulements d'arbre à came 209 peuvent être usinés à partir de divers matériaux, parmi lesquels du Torlori , du Vespel©, du Celcori , du Delriri , du Teflon°, de l'Arlone, ou d'autres matériaux tels que des fluoropo ~,lY es acétals, des polyamides, des ~~vmè,.~re~C.~, d des polyimides, des polytetrafluoréthylènes et des polyétheréthercétones (PEEK) ayant un faible coefficient de frottement et perdant peu de particules. Le goujon 205 et l'arbre à came 207 peuvent être usinés dans de l'acier inoxydable (par exemple 316, 316L, 17-7, etc.) ou tout autre matériau conférant une bonne résistance mécanique et une bonne résistance à la corrosion. Se référant à présent à la figure 2B, une vue en coupe transversale de la fixation par came de l'électrode représente à titre d'exemple et de façon plus détaillée la façon dont la fixation par came fonctionne en amenant l'électrode 201 à proximité immédiate de la contre-plaque 203. L'ensemble goujon 205/empilement de ressorts à disque 215/douille 213 est monté dans l'électrode 201. Comme illustré, l'ensemble peut être vissé au moyen de filetages externes formés sur la douille 213 à l'intérieur d'une douille filetée de l'électrode 201. Cependant, la douille peut être montée par des adhésifs ainsi que par d'autres éléments de fixation mécaniques. Sur la figure 3, une vue 300 en élévation et d'assemblage du goujon 205 ayant une tête élargie, de l'empilement des ressorts à disques 215 et de la douille 213, fournit des détails supplémentaires concernant l'exemple de concept de fixation d'électrodes par verrou à came. Dans un exemple de réalisation particulier, un ensemble goujon/ressort à disque 301 est ajusté serré à l'intérieur de la douille 213. La douille 213 comporte un filetage externe et un élément supérieur hexagonal lui permettant d'être inséré facilement à l'intérieur de l'électrode 201 (voir figures 2A et 2B) au moyen d'un léger couple (par exemple, dans un exemple de réalisation particulier, d'environ 23 kilogrammes centimètres (20 pouces-livres). Comme indiqué ci-dessus, la douille 213 peut être usinée à partir de divers types de matières plastiques. L'utilisation de matière plastique minimise le dégagement de particules et permet l'installation de la douille 213 sans salissure dans une douille correspondante présente sur l'électrode 201. L'ensemble goujon/douille 303 présente sur l'illustration un diamètre intérieur dans une partie supérieure de la douille 213, qui est supérieur à un diamètre extérieur d'une partie située dans la section médiane du goujon 205. La différence de diamètre entre ces deux parties autorise un mouvement latéral limité dans la fixation d'électrode assemblée de la façon décrite précédemment. L'ensemble goujon/ressort à disque 301 est maintenu en contact rigide avec la douille 213 dans une partie de base de la douille 213, tandis que la différence de diamètre autorise un certain mouvement latéral (voir également la figure 2B). Se référant à la figure 4A, une vue éclatée 400 de l'arbre à came 207 et des roulements d'arbre à came 209 indique également une goupille de clavetage 401. L'extrémité de l'arbre à came 207 comportant la goupille de clavetage 401 est tout d'abord insérée dans l'alésage 211 de la contre-plaque (voir la figure 2B). Une paire de petits trous d'emboîtement (non représentés) ménagés à une extrémité distante de l'alésage 211 de la contre-plaque assure le bon alignement de l'arbre à came 207 dans l'alésage 211 de la contre-plaque. Une vue en élévation latérale 420 de l'arbre à came 207 indique clairement le positionnement possible d'une ouverture hexagonale 403 sur une extrémité de l'arbre à came 207 et de la goupille de clavetage 401 sur l'extrémité opposée.
A titre d'exemple, se référant toujours aux figures 4A et 2B, la fixation par came de l'électrode est assemblée par insertion de l'arbre à came 207 dans l'alésage 211 de la contre-plaque. La goupille de clavetage 401 limite la course en rotation de l'arbre à came 207 dans l'alésage 211 de la contre-plaque par un interfaçage avec l'un de la paire de petits trous d'emboîtement. Il est possible de faire tout d'abord tourner l'arbre à came dans un sens au moyen de l'orifice hexagonal 403, par exemple en sens antihoraire, pour permettre l'insertion du goujon 205 à l'intérieur de l'arbre à came 207, puis de le faire tourner en sens horaire pour engager entièrement et verrouiller le goujon 205. La force de blocage requise pour maintenir l'électrode 201 sur la contre-plaque 203 est produite du fait de la compression de l'empilement de ressorts à disques 215 au-delà de leur hauteur d'empilement libre.
L'arbre à came 207 comporte une échancrure interne excentrique dans laquelle s'engage la tête élargie de l'arbre 205. A mesure que l'empilement de ressorts à disques 215 se comprime, la force de blocage est transmise des ressorts individuels de l'empilement de ressorts à disques 215 à la douille 213 et, par l'intermédiaire de l'électrode 201, à la contre-plaque 203. Dans un exemple de mode de fonctionnement, une fois que les roulements d'arbre à came sont fixés à l'arbre à came (207) et insérés dans l'alésage 211 de la contre- plaque, l'arbre à came 207 est amené à tourner en sens antihoraire jusqu'à sa course de rotation maximale. L'ensemble goujon/douille 303 (figure 3) est alors soumis à un léger couple pour pénétrer dans l'électrode 201. La tête du goujon 205 est ensuite insérée à l'intérieur de la traversée verticale en dessous de l'alésage 211 s'étendant horizontalement de la contre-plaque. L'électrode 201 est maintenue contre la contre-plaque 203 et l'arbre à came 207 est amené à tourner en sens horaire jusqu'à ce que soit la goupille de clavetage descende dans le second des deux petits trous d'emboitement (non représentés), soit qu'un déclic audible soit entendu (cela étant décrit en détail ci-après). L'exemple de mode de fonctionnement peut être inversé pour démonter l'électrode 201 de la contre-plaque 203. Cependant, certaines particularités telles que le clic audible sont facultatives dans le dispositif de verrouillage par came.
Se référant à la figure 4B, une vue en coupe A-A de la vue en élévation latérale 420 de l'arbre à came 207 de la figure 4A indique un bord d'un trajet d'outil de coupe 440 au moyen duquel la tête du goujon 205 est entièrement bloquée. Dans un exemple de réalisation particulier, les deux rayons R1 et R2 sont choisis de façon que la tête du goujon 205 produise un bruit de déclic audible facultatif décrit ci-dessus pour indiquer l'instant où le goujon 205 est entièrement immobilisé.
La figure 5 illustre un ensemble à électrode en pomme de douche 500 destiné à une chambre à plasma couplée par voie capacitive qui comprend les éléments caractéristiques suivants : (a) une électrode en pomme de douche 502 non collée et verrouillée par came ; (b) une contre-plaque 506 ; et (c) un anneau de garde 508 qui permet d'accéder aux verrous à came maintenant l'électrode sur la contre-plaque 506. L'ensemble à électrode 500 comprend une plaque de régulation thermique 510 boulonnée de l'extérieur de la chambre à une paroi supérieure 512 régulée en température de la chambre. L'électrode en pomme de douche 502 est fixée de façon amovible à la contre-plaque 506 de l'intérieur de la chambre par des mécanismes de verrouillage à cames 514 décrits précédemment en référence aux figures 2-4.
Dans un mode de réalisation préféré, l'électrode en pomme de douche de l'ensemble à électrode 500 peut être démonté (a) en faisant tourner l'anneau de garde 508 jusqu'à une première position à laquelle quatre trous présents dans l'anneau de garde 508 sont alignés avec quatre verrous à came 514 placés en des positions espacées dans la partie extérieure de la contre-plaque 506 ; (b) en insérant un outil tel qu'une clé à six pans à travers chaque trou de l'anneau de garde 508 et en faisant tourner chaque verrou à came 514 afin de libérer une goupille de verrouillage 562 partant verticalement de chaque verrou à came 514 respectif ; (c) en faisant tourner l'anneau de garde 508 de 90° jusqu'à une seconde position à laquelle les quatre trous de l'anneau de garde 508 sont alignés avec quatre autres verrous à came 514 ; et (d) en insérant un outil tel qu'une clé à six pans à travers chaque trou de l'anneau de garde 508 et en faisant tourner chaque verrou à came respectif 514 afin de libérer une goupille de verrouillage 562 de chaque verrou à came 514 respectif ; de telle sorte que l'électrode en pomme de douche 502 puisse être abaissée et retirée de la chambre à plasma.
La figure 5 représente également une vue en coupe transversale de l'un des dispositifs de verrouillage à cames, dans laquelle un verrou à came 514 tournant est placé dans un alésage 560 s'étendant horizontalement dans une partie extérieure de la contre-plaque 506. Le verrou à came cylindrique 514 peut être mis en rotation par un outil tel qu'une clé à six pans jusqu'à (a) une position de verrouillage à laquelle une extrémité élargie de la goupille de verrouillage 562 est sollicitée par une surface de came du verrou à came 514, soulevant ainsi la tête élargie de la goupille de verrouillage ou (b) une position de libération à laquelle la goupille de verrouillage 562 n'est pas sollicitée par le verrou à came 514. La contre-plaque 506 comprend des alésages s'étendant verticalement dans sa face inférieure, dans lesquels sont insérées les goupilles de verrouillage 562 afin qu'elles viennent s'engager sur les verrous à came 514. L'électrode en pomme de douche 502 est de préférence une plaque de silicium monocristallin de grande pureté (moins de 10 ppm d'impuretés) et de faible résistivité (0,005 à 0,02 ohm-cm). L'ensemble à électrode en pomme de douche 500 comprend trois broches d'alignement 524 engagées dans trois trous pour broches d'alignement 521 ménagés dans la face supérieure 522 de l'électrode en pomme de douche 502, un ou plusieurs joints toriques 558 et une pluralité de joints thermiques tels que des "Q-pads" 556 entre l'électrode en pomme de douche 502 et la contre-plaque 506.
Chaque Q-pad 566 présente des protubérances s'engageant dans des creux 520 ménagés dans la face supérieure 522. Des détails concernant ces joints sont divulgués dans la demande de brevet U.S. détenue en commun de numéro de série 12/421845 déposée le 10 avril 2009, qui est citée ici à titre de référence. La surface 530 exposée au plasma sur l'électrode en pomme de douche 502 est tournée vers le substrat soumis au traitement dans la chambre. Les figures 6A et 6C représentent la surface de montage et une vue en coupe transversale partielle de l'électrode en pomme de douche 502. La surface de montage présente une surface plane 610 s'étendant pratiquement jusqu'au bord extérieur et un rebord extérieur annulaire étroit 620 en retrait par rapport à la surface plane 610 et réalisée dans le bord extérieur de l'électrode en pomme de douche 502. Le rebord extérieur annulaire 620 supporte une protubérance annulaire de l'anneau de garde 508. La surface plane 610 présente un diamètre extérieur d'environ 42,54 cm (16,75 pouces). Le rebord extérieur annulaire 620 présente un diamètre intérieur d'environ 42,54 cm (16,75 pouces), un diamètre extérieur d'environ 43,18 cm (17 pouces), une surface verticale 620a d'une longueur d'environ 0,193 cm (0,076 pouce), et une surface horizontale 620b d'environ 0,315 cm (0,124 pouce). Des douilles 550 d'un diamètre de 1,27 cm (0,5 pouce) ayant des profondeurs de 0,825 cm (0,325 pouce) sont disposées à proximité du bord de la surface de montage pour recevoir les goupilles de verrouillage 562. Les douilles 550 sont équidistantes les unes des autres et situées sur un rayon d'environ 19,35 cm (7,62 pouces) du centre. La surface plane 610 comprend trois trous 521 pour broches d'alignement d'un diamètre de 0,294 cm (0,116 pouce) ayant des profondeurs d'environ 0,5 cm (0,2 pouce) situés à une distance d'environ 20,14 cm (7,93 pouces) du centre et sept évidements 520 d'un diamètre de 0,546 cm (0,215 pouce) ayant des profondeurs d'environ 0,10 cm (0,04 pouce) pour recevoir les protubérances des trois Q-pads 556. Deux évidements 520 sont situés à une distance d'environ 4,04 cm (1,59 pouce) du centre et sont décalés en azimut de 180° l'un par rapport à l'autre. Deux autres évidements 520 sont situés à une distance d'environ 8,61 cm (3,39 pouces) du centre et décalés en azimut de 180° l'un par rapport à l'autre. Trois autres évidements 520 sont situés à une distance d'environ 18,54 cm (7,30 pouces) du centre et décalés en azimut de 120° les uns par rapport aux autres. La surface plane 610 comprend en outre un trou 590 destiné à recevoir un capteur de température 580. Le trou 590 est situé à une distance d'environ 12,27 cm (4,83 pouces) du centre. Dans un mode de réalisation préféré tel qu'illustré sur la figure 6D, le trou 590 a une profondeur au plus égale à 0,20 cm (0,08 pouce) ; le trou 590 comprend une surface latérale cylindrique 590a ayant un diamètre au plus égal à 0,073 cm (0,029 pouce) et une hauteur d'environ 0,0089 cm (0,0035 pouce) à la base du trou 590, et une surface latérale tronconique 590b ayant une base circulaire d'un diamètre d'environ 0,3886 cm (0,153 pouce) et un angle d'ouverture d'environ 90°, la surface latérale tronconique 590b s'étendant entre la surface latérale cylindrique et la surface de montage. Un capteur de température (thermocouple) 580 s'étendant à travers les orifices ménagés dans la plaque supérieure, la plaque de régulation thermique et la contre-plaque, comporte une extrémité qui est sollicitée par ressort dans le fond 590a du trou 590. La surface conique 590b centre la pointe du capteur 580 dans le fond du trou 590. Des orifices de sortie de gaz 528 s'étendent de la surface de montage vers la surface exposée au plasma et peuvent être agencés selon un motif approprié quelconque. Dans le mode de réalisation représenté, 849 trous de sortie de gaz 528 ayant des diamètres de 0,043 cm (0,017 pouce) sont agencés selon un motif d'un orifice de sortie de gaz central et de 13 rangées circonférentielles d'orifices de sortie de gaz comprenant 10 orifices de sortie de gaz dans la première rangée située à environ 1,27 cm (0,5 pouce) du centre de l'électrode, 18 orifices de sortie de gaz dans la seconde rangée située à environ 2,28 cm (0,9 pouce) du centre, 28 orifices de sortie de gaz dans la troisième rangée située à environ 3,55 cm (1,4 pouce) du centre, 38 orifices de sortie de gaz dans la quatrième rangée située à environ 4,5 cm (1,8 pouce) du centre, 46 orifices de sortie de gaz dans la cinquième rangée située à environ 5,8 cm (2,3 pouces) du centre, 56 orifices de sortie de gaz dans la sixième rangée située à environ 6,8 cm (2,7 pouces) du centre, 66 orifices de sortie de gaz dans la septième rangée située à environ 8,1 cm (3,2 pouces) du centre, 74 orifices de sortie de gaz dans la huitième rangée située à environ 9,1 cm (3,6 pouces) du centre, 84 orifices de sortie de gaz dans la neuvième rangée située à environ 10,4 cm (4,1 pouces) du centre, 94 orifices de sortie de gaz dans la dixième rangée située à environ 11,7 cm (4,6 pouces) du centre, 104 orifices de sortie de gaz dans la onzième rangée située à environ 12,9 cm (5,1 pouces) du centre, 110 orifices de sortie de gaz dans la douzième rangée située à environ 13,7 cm (5,4 pouces) du centre et 120 trous dans la treizième rangée située à environ 14,5 cm (5,7 pouces) du centre. Comme illustré sur la figure 6C, une électrode en pomme de douche 502 à gradin unique comporte une surface exposée au plasma qui comporte une surface intérieure circulaire 640 ayant un diamètre d'environ 30,5 cm (12 pouces), une surface extérieure annulaire 650 ayant un diamètre intérieur d'environ 31,87 cm (12,55 pouces) et un diamètre extérieur d'environ 40,6 cm (16 pouces), une surface intérieure inclinée 645 s'étendant entre la surface intérieure circulaire 640 et la surface extérieure annulaire 650 en formant un angle d'environ 145° par rapport à la surface 640, et une surface extérieure inclinée 635 s'étendant entre la surface extérieure annulaire 650 et une surface périphérique cylindrique 630 de l'électrode en pomme de douche 502 en formant un angle d'environ 155° par rapport à la surface 650. L'épaisseur entre la surface extérieure annulaire 650 et la surface 610 est d'environ 1,12 cm (0,44 pouce). L'épaisseur entre la surface intérieure circulaire 640 et la surface 610 est d'environ 0,66 cm (0,26 pouce). Une électrode en pomme de douche à gradins multiples 502 est représentée sur la figure 6E, où la surface exposée au plasma comporte une surface intérieure circulaire 640 ayant un diamètre d'environ 30,48 cm (12 pouces), une surface intérieure annulaire 660 ayant un diamètre intérieur d'environ 30,78 cm (12,12 pouces) et un diamètre extérieur d'environ 33,5 cm (13,2 pouces), une surface annulaire extérieure 670 ayant un diamètre intérieur d'environ 34,03 cm (13,4 pouces) et un diamètre extérieur d'environ 40,64 cm (16 pouces), une surface intérieure inclinée 646 s'étendant entre la surface intérieure circulaire 640 et la surface annulaire intérieure 660 en formant un angle d'environ 145° par rapport à la surface 640, une surface inclinée intermédiaire 667 s'étendant entre la surface annulaire intérieure 660 et la surface annulaire extérieure 670 en formant un angle d'environ 135° par rapport à la surface 670, et une surface extérieure inclinée 637 s'étendant entre la surface annulaire extérieure 670 et une surface périphérique cylindrique 630 de l'électrode en pomme de douche en formant un angle d'environ 155° par rapport à la surface 670. L'épaisseur entre la surface annulaire extérieure 670 et la surface 610 est d'environ 1,11 cm (0,44 pouce). L'épaisseur entre la surface annulaire intérieure 660 et la surface 610 est d'environ 9,14 cm (0,36 pouce). L'épaisseur entre la surface intérieure circulaire 640 et la surface 610 est d'environ 0,66 cm (0,26 pouce).
Dans encore un autre mode de réalisation de l'électrode en pomme de douche à gradins multiples 502, dont la section transversale est représentée sur la figure 6F, la surface exposée au plasma comporte une surface intérieure circulaire 640 ayant un diamètre d'environ 30,48 cm (12 pouces), une surface annulaire intérieure 680 ayant un diamètre d'environ 31,5 cm (12,4 pouces) et un diamètre extérieur d'environ 33,8 cm (13,3 pouces), une surface annulaire extérieure 690 ayant un diamètre intérieur d'environ 34,0 cm (13,4 pouces) et un diamètre extérieur d'environ 40,64 cm (16 pouces), une surface intérieure inclinée 648 s'étendant entre la surface circulaire intérieure 640 et la surface annulaire intérieure 680 en formant un angle d'environ 145° par rapport à la surface 640, une surface intermédiaire inclinée 689 s'étendant entre la surface annulaire intérieure 680 et la surface annulaire extérieure 690 en formant un angle d'environ 135° par rapport à la surface 690, et une surface extérieure inclinée 639 s'étendant entre la surface annulaire extérieure 690 et une surface périphérique cylindrique 630 de l'électrode en pomme de douche 502 en formant un angle d'environ 155° par rapport à la surface 690. L'épaisseur entre la surface extérieure annulaire 690 et la surface 610 est d'environ 1,11 cm (0,44 pouce). L'épaisseur entre la surface annulaire intérieure 680 et la surface 610 est d'environ 1,0 cm (0,4 pouce). L'épaisseur entre la surface intérieure circulaire 640 et la surface 610 est d'environ 0,66 cm (0,26 pouce).
La figure 7 est une vue en perspective de la contre-plaque 506. La contre-plaque 506 comporte un passage de gaz central et 13 rangées de passages de gaz 584 qui sont alignés avec les orifices de sortie 528 dans l'électrode en pomme de douche 502. La face supérieure 586 de la contre- plaque comporte trois régions annulaires 588a, 588b, 588c qui sont en contact avec des protubérances annulaires de la plaque de régulation thermique 510. La plaque de régulation thermique peut être fixée à la paroi supérieure de la chambre à plasma par des éléments de fixation traversant la paroi supérieure pour pénétrer à l'intérieur de la plaque de régulation thermique, comme divulgué dans les publications de brevets U.S. cédées en commun N° 2005/0133160, 2007/0068629, 2007/0187038, 2008/0087641 et 2008/0090417, qui sont citées ici en totalité. Les orifices filetés 599 sont situés dans une périphérie extérieure de la surface supérieure 586 et des régions annulaires 588a, 588b, 588c pour recevoir des attaches s'étendant à travers les ouvertures ménagées dans la plaque supérieure 512 et la plaque de régulation thermique 510 afin de maintenir la contre-plaque 506 en contact avec la plaque de régulation thermique 510. On se référera par exemple à la publication du brevet U.S. cédé en commun N° 2008/0087641 qui fournit une description d'attaches pouvant s'adapter à un cycle thermique. Une rainure 592 ménagée dans la face supérieure 586 reçoit un joint torique qui assure l'étanchéité aux gaz entre la contre-plaque 506 et la plaque de régulation thermique 510. Des alésages pour broches d'alignement 594 ménagés dans la face supérieure 586 reçoivent des broches d'alignement qui s'ajustent à l'intérieur des alésages pour broches d'alignement ménagés dans la plaque de régulation thermique. Des ouvertures filetées s'étendant horizontalement 561, à des positions situées entre les alésages 560, reçoivent des attaches diélectriques utilisées pour empêcher l'anneau de garde 508 de tourner et de boucher les alésages d'accès ménagés dans l'anneau de garde 508 après l'assemblage de l'électrode en pomme de douche 512. La figure 8 est une vue en perspective de l'ensemble à électrode en pomme de douche 500 dont l'anneau de garde 508 a été retiré. Comme expliqué précédemment, il est possible de faire tourner l'anneau de garde 508 vers une ou plusieurs positions d'assemblage auxquelles les verrous à came 514 peuvent être sollicités et de les faire tourner vers une position de verrouillage à laquelle des attaches diélectriques peuvent être insérées dans les ouvertures 561 afin de maintenir l'anneau de garde hors de contact avec la périphérie extérieure de la contre-plaque et ainsi permettre la dilatation thermique de la contre-plaque. La plaque de régulation thermique comprend une bride 595 munie d'ouvertures 596 à travers lesquelles des dispositifs d'actionnement soutiennent les anneaux de confinement du plasma. Des détails concernant l'agencement de montage des ensembles formant anneaux de confinement du plasma sont indiqués dans les publications de brevets U.S. N° 2006/0207502 et 2006/0283552 cédés en commun, et qui sont cités ici en totalité.
La surface de montage 610 de l'électrode en pomme de douche est en butée sur une surface opposée de la contre-plaque 506 du fait de la force de blocage exercée par les 8 goupilles de verrouillage maintenues par les 8 verrous à came dans la contre-plaque. L'anneau de garde 508 recouvre les trous de montage ménagés dans la contre-plaque 506 et les ouvertures d'accès ménagées dans l'anneau de garde sont remplies par des inserts amovibles constitués d'un matériau polymère résistant aux plasmas tel que le Torlori , le Vespel®, le Celcori , le Delriri , le Teflori et l'Arlori , ou d'autres matériaux tels que des fluoropolymères, des acétals, des polyamides, des polyimides, des polytétraluoréthylènes et des polyétheréthercétones (PEEK) ayant un faible coefficient de frottement et perdant peu de particules.
Se référant à la figure 5, un contact électrique et thermique entre la contre-plaque 506 et l'électrode en pomme de douche 502 est assuré par des joints tels que des Q-pads 556 situés sur la périphérie extérieure de l'électrode, et en un ou plusieurs emplacements vers l'intérieur du Q-pad extérieur. A titre d'exemple, des Q-pads ayant des diamètres d'environ 8,13 cm (3,2 pouces), 17,27 cm (6,8 pouces) et 30,48 cm (12 pouces) peuvent être utilisés. La demande U.S. détenue en commun de N° de série 11/896375, déposée le 31 août 2007, fournit des détails concernant les Q-pads, dont la description est citée ici à titre de référence. Pour introduire différents mélanges et/ou débits de gaz de traitement, un ou plusieurs joints de cloisonnement étanches aux gaz facultatifs peuvent être disposés sur la totalité de la face supérieure de l'électrode. A titre d'exemple, un joint torique unique peut être disposé entre l'électrode en pomme de douche 502 et la contre-plaque 506 en un point situé entre des Q-pads intérieurs et extérieurs pour séparer une zone de distribution de gaz intérieure d'une zone de distribution de gaz extérieure. Un joint torique 558 situé entre l'électrode en pomme de douche 502 et la contre-plaque 506 le long de la périphérie intérieure du Q-pad extérieur peut constituer un joint étanche aux gaz et aux particules entre l'électrode et la contre-plaque. Bien que l'invention ait été décrite en détail en référence à certains de ses modes de réalisation, il apparaîtra à l'homme du métier que diverses transformations et modifications peuvent lui être apportées et que des équivalents peuvent être utilisés sans qu'il s'écarte du cadre des revendications annexées.

Claims (20)

  1. REVENDICATIONS1. Électrode en pomme de douche destinée à être utilisée dans une chambre réactionnelle à plasma, ladite électrode en pomme de douche comprenant : une partie centrale et une partie périphérique définies par des faces supérieure et inférieure de l'électrode en pomme de douche, la face supérieure comportant une surface plane s'étendant sur la totalité de la partie centrale et de la partie périphérique, la face inférieure étant définie par une surface intérieure plane s'étendant sur la totalité de la partie centrale et une surface extérieure à gradins s'étendant sur la totalité de la partie périphérique, la surface extérieure à gradins comportant au moins une surface plane annulaire définissant une zone de plus grande épaisseur de l'électrode en pomme de douche ; une pluralité de douilles circonférentiellement espacées les unes des autres sur la face supérieure dans la partie périphérique, les douilles étant configurées pour recevoir dans celles-ci des verrous à came aptes à fixer l'électrode en pomme de douche à une contre-plaque ; une pluralité d'orifices de sortie de gaz dans la partie centrale de l'électrode en pomme de douche, à travers lesquels un gaz de traitement peut être introduit dans un entrefer situé entre l'électrode en pomme de douche et une électrode inférieure sur laquelle repose une plaquette, les orifices de sortie de gaz étant agencés selon un motif comportant un orifice de sortie de gaz central et 13 rangées circonférentielles d'orifices de sortie de gaz comprenant 10 orifices de sortie de gaz dans la première rangée située à environ 1,27 cm (0,5 pouce) du centre de l'électrode en pomme de douche, 18 orifices de sortie de gaz dans la deuxième rangée située à environ 2,28 cm (0,9 pouce) du centre, 28 orifices de sortie de gaz dans la troisième rangée située à environ 3,5 cm (1,4 pouce) du centre, 38 orifices de sortie de gaz dans laquatrième rangée située à environ 4,5 cm (1,8 pouce) du centre, 46 orifices de sortie de gaz dans la cinquième rangée située à environ 5,8 cm (2,3 pouces) du centre, 56 orifices de sortie de gaz dans la sixième rangée située à environ 6,8 cm (2,7 pouces) du centre, 66 orifices de sortie de gaz dans la septième rangée située à environ 8,1 cm (3,2 pouces) du centre, 74 orifices de sortie de gaz dans la huitième rangée située à environ 9,1 cm (3,6 pouces) du centre, 84 orifices de sortie de gaz dans la neuvième rangée située à environ 10,4 cm (4,1 pouces) du centre, 94 orifices de sortie de gaz dans la dixième rangée située à environ 11,6 cm (4,6 pouces) du centre, 104 orifices de sortie de gaz dans la onzième rangée située à environ 12,9 cm (5,1 pouces) du centre, 110 orifices de sortie de gaz dans la douzième rangée située à environ 13,7 cm (5,4 pouces) du centre, et 120 trous dans la treizième rangée située à environ 14,5 cm (5,7 pouces) du centre ; et un trou de réception de capteur de température 20 ménagé dans la face supérieure et configuré pour recevoir une pointe d'un capteur de température.
  2. 2. Électrode en pomme de douche selon la revendication 1, comprenant en outre des trous pour broches d'alignement dans la face supérieure, les trous pour 25 broches d'alignement étant configurés pour s'aligner avec des broches d'alignement s'étendant à l'intérieur de la contre-plaque et dans le trou de réception de capteur de température situé entre les dixième et onzième rangées d'orifices de sortie de gaz. 30
  3. 3. Électrode en pomme de douche selon la revendication 1, comprenant en outre un motif confiné d'orifices de sortie de gaz dans la surface extérieure à gradins, aptes à coopérer avec une unité à manomètre afin de fournir des mesures de pression de vide dans la chambre. 35
  4. 4. Électrode en pomme de douche selon la revendication 1, dans laquelle la face supérieure del'électrode en pomme de douche comporte un rebord annulaire dans un bord extérieur de celle-ci, le rebord étant configuré pour soutenir un anneau de garde de telle façon qu'une surface extérieure de l'anneau de garde soit de niveau avec la surface extérieure de l'électrode en pomme de douche.
  5. 5. Électrode en pomme de douche selon la revendication 1, dans laquelle la surface extérieure à gradins comporte une surface plane annulaire unique, des surfaces inclinées intérieure et extérieure, la surface inclinée intérieure s'étendant entre la surface intérieure plane et la surface plane annulaire unique, la surface inclinée extérieure s'étendant entre la surface plane annulaire unique et un bord extérieur de l'électrode en pomme de douche.
  6. 6. Électrode en pomme de douche selon la revendication 1, dans laquelle la surface extérieure à gradins comporte des surfaces planes annulaires intérieure et extérieure, des surfaces inclinées intérieure, intermédiaire et extérieure, la surface inclinée intérieure s'étendant entre la surface intérieure plane et la surface annulaire intérieure, la surface inclinée intermédiaire s'étendant entre la surface annulaire intérieure et la surface annulaire extérieure, et la surface inclinée extérieure s'étendant entre la surface annulaire extérieure et un bord extérieur de l'électrode en pomme de douche ; l'épaisseur de l'électrode en pomme de douche, sur la totalité de la surface intérieure plane, est inférieure à l'épaisseur de l'électrode en pomme de douche sur la totalité de la surface annulaire intérieure ; et l'épaisseur de l'électrode en pomme de douche sur la totalité de la première surface annulaire est inférieure à l'épaisseur de l'électrode en pomme de douche sur la totalité de la seconde surface annulaire.
  7. 7. Ensemble à électrode en pomme de douche comprenantl'électrode en pomme de douche selon la revendication 1 ; une contre-plaque comportant des alésages s'étendant axialement alignés avec les douilles présentes dans l'électrode en pomme de douche et des alésages s'étendant radialement en communication avec les alésages s'étendant axialement ; des arbres à cames tournants montés dans les alésages s'étendant radialement ; des goupilles de verrouillage situées dans les douilles présentes dans l'électrode en pomme de douche, les goupilles de verrouillage comportant des têtes élargies à leurs extrémités libres, les arbres à cames comportant des échancrures aptes à s'engager sur les têtes des goupilles de verrouillage et à les verrouiller afin de bloquer mécaniquement l'électrode en pomme de douche sur la contre-plaque.
  8. 8. Ensemble à électrode en pomme de douche selon la revendication 7, comprenant en outre un capteur de température en contact direct avec le trou de réception de capteur de température ménagé dans la face supérieure de l'électrode en pomme de douche.
  9. 9. Ensemble à électrode en pomme de douche selon la revendication 7, dans lequel les bases des goupilles de verrouillage sont situées dans des douilles, les douilles comportant des filetages sur une surface extérieure de celles-ci s'engageant dans les filetages présents sur la surface intérieure des douilles, les douilles comportant des brides qui s'engagent sur la face supérieure de l'électrode en pomme de douche, les alésages qui s'étendent axialement dans la contre-plaque comportant des parties larges et des parties étroites, les parties larges recevant les brides et les parties étroites recevant les goupilles de verrouillage.
  10. 10. Ensemble à électrode en pomme de douche selon la revendication 9, dans lequel les goupilles de verrouillagesont mobiles axialement et latéralement dans les douilles pour s'adapter à la dilatation thermique différentielle de la contre-plaque et de l'électrode en pomme de douche.
  11. 11. Ensemble à électrode en pomme de douche selon la revendication 9, dans lequel l'électrode en pomme de douche est une plaque de silicium polycristallin, de silicium monocristallin, de carbure de silicium, d'aluminium, d'aluminium anodisé ou d'aluminium revêtu d'oxyde d'yttrium et la contre-plaque est une plaque d'aluminium.
  12. 12. Ensemble à électrode en pomme de douche selon la revendication 9, dans lequel la contre-plaque est dépourvue de passages de fluide de refroidissement pour la régulation thermique et d'éléments chauffants.
  13. 13. Ensemble à électrode en pomme de douche selon la revendication 8, comprenant en outre une plaque de régulation thermique fixée à la contre-plaque, la plaque de régulation thermique ayant des protubérances annulaires sur une surface inférieure de celle-ci définissant des plénums de gaz en communication avec les passages de gaz présents dans la contre-plaque et un ou plusieurs éléments chauffants commandés activement par une unité de commande qui active le ou les élément(s) chauffant(s) pour ajuster la température de l'électrode en pomme de douche sur la base de données reçues du capteur de température.
  14. 14. Ensemble à électrode en pomme de douche selon la revendication 7, comprenant en outre un joint d'étanchéité aux gaz entre la contre-plaque et l'électrode en pomme de douche, le joint d'étanchéité aux gaz étant situé vers l'extérieur des passages de gaz, et une pluralité de joints annulaires vers l'intérieur du joint d'étanchéité aux gaz.
  15. 15. Procédé de traitement d'un substrat semiconducteur dans une chambre à plasma, ledit procédé comprenant les étapes consistant à : faire en sorte que le substrat semiconducteur repose 35 sur une électrode inférieure dans la chambre ; alimenter la chambre en gaz de traitement ;former un plasma à proximité immédiate d'une surface exposée d'une électrode en pomme de douche ; et traiter le substrat semiconducteur avec le plasma ; dans lequel l'électrode en pomme de douche comprend l'électrode en pomme de douche selon la revendication 1.
  16. 16. Procédé selon la revendication 15, dans lequel la température de l'électrode en pomme de douche est mesurée par un capteur de température directement en contact avec l'électrode en pomme de douche et est régulée par un ou plusieurs éléments chauffants qui chauffent une plaque de régulation thermique sur la base de données reçues du capteur de température, la plaque de régulation thermique comportant des protubérances annulaires formant des plénums entre la plaque de régulation thermique et la contre-plaque, les plénums étant en communication fluide avec des passages de gaz présents dans la contre-plaque et alignés avec les orifices de sortie de gaz de l'électrode en pomme de douche, la contre-plaque constituant un trajet thermique entre l'électrode en pomme de douche et la plaque de régulation thermique.
  17. 17. Procédé selon la revendication 15, dans lequel le substrat semiconducteur comprend une plaquette de semiconducteur et l'étape de traitement consiste à graver la plaquette de semiconducteur au moyen du plasma.
  18. 18. Procédé selon la revendication 15, dans lequel l'électrode en pomme de douche est reliée à la masse et dans lequel l'électrode inférieure est alimentée en électricité pendant l'étape de traitement.
  19. 19. Procédé selon la revendication 15, consistant à chauffer l'électrode en pomme de douche et la contre-plaque à une température élevée qui provoque une dilatation thermique différentielle de l'électrode en pomme de douche et de la contre-plaque, et à compenser la dilatation thermique par le mouvement des goupilles de verrouillage.
  20. 20. Procédé de remplacement d'une électrode en pomme de douche de l'ensemble à électrode en pomme de doucheselon la revendication 7, consistant à libérer les verrous à came afin de dégager les verrous à came des goupilles de verrouillage, à retirer l'électrode en pomme de douche, à aligner des goupilles de verrouillage d'une électrode en pomme de douche neuve ou réusinée au moyen des alésages axiaux de la contre-plaque, et faire tourner les verrous à came afin qu'ils s'engagent sur les têtes des goupilles de verrouillage.
FR1003725A 2009-09-18 2010-09-20 Électrode en pomme de douche monolithique bloquée. Active FR2950478B1 (fr)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US24364709P 2009-09-18 2009-09-18

Publications (2)

Publication Number Publication Date
FR2950478A1 true FR2950478A1 (fr) 2011-03-25
FR2950478B1 FR2950478B1 (fr) 2017-04-28

Family

ID=43728862

Family Applications (1)

Application Number Title Priority Date Filing Date
FR1003725A Active FR2950478B1 (fr) 2009-09-18 2010-09-20 Électrode en pomme de douche monolithique bloquée.

Country Status (7)

Country Link
US (1) US8419959B2 (fr)
JP (1) JP3167751U (fr)
CN (1) CN201919233U (fr)
DE (1) DE202010012763U1 (fr)
FR (1) FR2950478B1 (fr)
SG (1) SG169960A1 (fr)
TW (1) TWM412457U (fr)

Families Citing this family (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP5650547B2 (ja) * 2008-03-14 2015-01-07 ラム リサーチ コーポレーションLam Research Corporation カムロック電極クランプ
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8733280B2 (en) * 2010-12-20 2014-05-27 Intermolecular, Inc. Showerhead for processing chamber
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9404174B2 (en) * 2011-12-15 2016-08-02 Applied Materials, Inc. Pinned target design for RF capacitive coupled plasma
US9058960B2 (en) * 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9447499B2 (en) * 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101468541B1 (ko) * 2012-10-25 2014-12-04 주식회사 에스에프에이 가스 분배 조립체
TW201430996A (zh) * 2012-11-12 2014-08-01 Greene Tweed & Co Inc 用於在供基板處理之一真空腔室內之一環形組件的機械式夾具總成
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN103779166A (zh) * 2014-01-17 2014-05-07 北京京东方光电科技有限公司 一种刻蚀设备反应腔的电极和刻蚀设备
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9847599B2 (en) * 2014-10-17 2017-12-19 Raytheon Company Longitudinal, tolerance-mitigating cam-lock fastening system
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWD177995S (zh) * 2015-11-18 2016-09-01 ASM知識產權私人控股有&#x9 用於半導體製造設備之氣體供應板
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
TWI610329B (zh) * 2016-11-08 2018-01-01 財團法人工業技術研究院 電漿處理裝置
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
WO2019113478A1 (fr) 2017-12-08 2019-06-13 Lam Research Corporation Pomme de douche intégrée à motif de trou amélioré servant à distribuer un radical et un gaz précurseur à une chambre aval en vue d'un dépôt de film de plasma à distance
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) * 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP1624668S (fr) * 2018-06-08 2019-02-18
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN112349572B (zh) * 2019-08-09 2024-03-08 中微半导体设备(上海)股份有限公司 一种气体喷淋头及等离子处理装置
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US20210287881A1 (en) * 2020-03-12 2021-09-16 Applied Materials, Inc. Methods and apparatus for tuning semiconductor processes
KR102115385B1 (ko) * 2020-03-20 2020-05-27 주식회사 테크놀로지메이컬스 체결력을 향상시킨 맞물림 체결 상부 전극 조립체
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11501957B2 (en) 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113078045B (zh) * 2021-03-25 2022-06-21 重庆臻宝实业有限公司 一种14nm干刻设备用超大型上部电极的制作方法
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5590975A (en) 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
WO1996031997A1 (fr) 1995-04-07 1996-10-10 Seiko Epson Corporation Equipement de traitement de surface
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
KR100434790B1 (ko) 1997-05-20 2004-06-07 동경 엘렉트론 주식회사 처리 장치
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
WO2000045425A1 (fr) 1999-02-01 2000-08-03 Tokyo Electron Limited Systeme et chambres de gravure chimique
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
KR100638917B1 (ko) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3775987B2 (ja) 2000-12-26 2006-05-17 松下電器産業株式会社 プラズマ処理装置
US7211170B2 (en) 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20030185729A1 (en) 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
WO2003100817A1 (fr) 2002-05-23 2003-12-04 Lam Research Corporation Electrode en plusieurs parties pour reacteur de traitement au plasma de semi-conducteurs, et methode de remplacement d'une partie d'electrode en plusieurs parties
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
WO2005052414A2 (fr) 2003-11-25 2005-06-09 Garlock Sealing Technologies, Llc Zone centrale de joint d'etancheite ondulee presentant une surface profilee
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7875824B2 (en) 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8398778B2 (en) 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US7863099B2 (en) 2007-06-27 2011-01-04 Stats Chippac Ltd. Integrated circuit package system with overhanging connection stack
KR100963297B1 (ko) * 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US8313610B2 (en) 2007-09-25 2012-11-20 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101553422B1 (ko) 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
JP5224855B2 (ja) 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
JP5650547B2 (ja) 2008-03-14 2015-01-07 ラム リサーチ コーポレーションLam Research Corporation カムロック電極クランプ
US8187413B2 (en) 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode

Also Published As

Publication number Publication date
US8419959B2 (en) 2013-04-16
US20110070740A1 (en) 2011-03-24
JP3167751U (ja) 2011-05-19
SG169960A1 (en) 2011-04-29
CN201919233U (zh) 2011-08-03
DE202010012763U1 (de) 2011-04-07
TWM412457U (en) 2011-09-21
FR2950478B1 (fr) 2017-04-28

Similar Documents

Publication Publication Date Title
FR2950478A1 (fr) Électrode en pomme de douche monolithique bloquée
FR2951317A1 (fr) Electrode interne fixee par le bord et attachee mecaniquement d'un ensemble a electrode en pomme de douche
US8796153B2 (en) Clamped monolithic showerhead electrode
KR200464038Y1 (ko) 클램핑된 모놀리식 샤워헤드 전극을 위한 포지셔닝 피쳐를 갖는 개스킷
KR101168847B1 (ko) 클램핑된 샤워헤드 전극 어셈블리
EP2271790B1 (fr) Dispositif et procede de traitement chimique en phase vapeur
JP3175346U (ja) カムロック式シャワーヘッド電極及び組立体
US7875824B2 (en) Quartz guard ring centering features
KR200462655Y1 (ko) 클램프형 모놀리식 샤워헤드 전극

Legal Events

Date Code Title Description
PLFP Fee payment

Year of fee payment: 6

PLSC Publication of the preliminary search report

Effective date: 20160610

PLFP Fee payment

Year of fee payment: 7

PLFP Fee payment

Year of fee payment: 8

PLFP Fee payment

Year of fee payment: 9

PLFP Fee payment

Year of fee payment: 10

PLFP Fee payment

Year of fee payment: 11

PLFP Fee payment

Year of fee payment: 12

PLFP Fee payment

Year of fee payment: 13

PLFP Fee payment

Year of fee payment: 14