KR200464038Y1 - 클램핑된 모놀리식 샤워헤드 전극을 위한 포지셔닝 피쳐를 갖는 개스킷 - Google Patents

클램핑된 모놀리식 샤워헤드 전극을 위한 포지셔닝 피쳐를 갖는 개스킷 Download PDF

Info

Publication number
KR200464038Y1
KR200464038Y1 KR2020100003725U KR20100003725U KR200464038Y1 KR 200464038 Y1 KR200464038 Y1 KR 200464038Y1 KR 2020100003725 U KR2020100003725 U KR 2020100003725U KR 20100003725 U KR20100003725 U KR 20100003725U KR 200464038 Y1 KR200464038 Y1 KR 200464038Y1
Authority
KR
South Korea
Prior art keywords
inches
backing plate
electrode
showerhead electrode
protrusions
Prior art date
Application number
KR2020100003725U
Other languages
English (en)
Other versions
KR20100010304U (ko
Inventor
그레고리 알 베텐코트
가우탐 바타차리야
사이몬 고셀린
샌디 차오
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100010304U publication Critical patent/KR20100010304U/ko
Application granted granted Critical
Publication of KR200464038Y1 publication Critical patent/KR200464038Y1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/16Vessels
    • H01J2237/166Sealing means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49719Seal or element thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49721Repairing with disassembling
    • Y10T29/4973Replacing of defective part

Landscapes

  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

반도체 기판 프로세싱에서 사용되는 플라즈마 반응 챔버를 위한 전극 어셈블리가 개시된다. 어셈블리는 일련의 이격된 캠 락들에 의해 백킹 플레이트에 기계적으로 부착된 상부 샤워헤드 전극을 포함한다. 샤워헤드 전극의 중심으로부터 3 인치 내지 4 인치의 위치에서, 샤워헤드 전극과 백킹 플레이트 사이에 돌출부들이 위에 있는 열 및 전기 전도성 개스킷이 압축된다. 가드 링은 백킹 플레이트를 둘러싸고, 가드 링 내의 개구들이 백킹 플레이트 내의 개구들과 정렬하여, 캠 락들이 툴로 회전되어 전극의 상부 면으로부터 연장하는 락킹 핀들을 해제하게 하는 포지션들로 이동가능하다.

Description

클램핑된 모놀리식 샤워헤드 전극을 위한 포지셔닝 피쳐를 갖는 개스킷{GASKET WITH POSITIONING FEATURE FOR CLAMPED MONOLITHIC SHOWERHEAD ELECTRODE}
본 고안은, 반도체 컴포넌트들이 제조될 수 있는 플라즈마 프로세싱 챔버의 샤워헤드 전극 어셈블리에 관한 것이다.
일 실시형태에 따르면, 백킹 플레이트에 모놀리식 계단형 전극이 클램핑된 샤워헤드 전극 어셈블리에 개스킷이 제공되며, 샤워헤드 전극 어셈블리는 용량성 커플링된 플라즈마 프로세싱 챔버의 상부 전극을 포함한다. 계단형 전극은 그 하부 면 상에 플라즈마 노출 표면을 가지고, 그 상부 면 상에 탑재 표면을 갖는 원형 플레이트이다. 탑재 표면은, 백킹 플레이트 내의 정렬 핀 홀들에 매칭하는 패턴으로 배열된 정렬 핀들을 수용하도록 구성된 복수의 정렬 핀 리세스들을 포함하고, 그 정렬 핀 홀들에 대해 캠 락 (cam lock) 들에 의해 그 플레이트가 홀딩되며, 그 플레이트는 백킹 플레이트 내의 가스 공급 홀들에 매칭하는 패턴으로 배열된 프로세스 가스 아웃렛들을 포함한다. 상부 면은 개스킷 상의 정렬 피쳐들을 수용하는 복수의 리세스들을 포함한다. 탑재 표면의 외측 구역 내의 복수의 원주형으로 이격된 포켓들은 캠 락들과 협력하여 백킹 플레이트에 계단형 전극을 클램핑하도록 적응된 락킹 핀 (locking pin) 들을 수용하도록 구성된다.
본 고안에 의하면, 개스킷은 샤워헤드 전극의 세정 또는 교체 동안 개스킷을 쉽게 제거하기 위해서 접착제를 이용하지 않고 샤워헤드 전극 상에 탑재될 수 있다.
도 1 은 가드 링을 갖는 에칭 기판들에 대한 용량성 커플링된 플라즈마 반응기의 상부 전극을 형성하는 샤워헤드 전극 어셈블리의 횡단면도.
도 2a 는 도 1에 도시된 반응기에서 계단형 전극을 클램핑하기 위한 일 예시적인 캠 락의 3차원 표현을 도시하는 도면.
도 2b 는 도 2a 의 예시적인 캠 락 전극 클램프의 횡단면도.
도 3 은 도 2a 및 도 2b 의 캠 락 클램프에서 사용되는 일 예시적인 락킹 핀의 측입면도 및 어셈블리도.
도 4a 는 도 2a 및 도 2b 의 캠 락 클램프에서 사용되는 일 예시적인 캠 샤프트의 측입면도 및 어셈블리도.
도 4b 는 도 4a 의 캠 샤프트의 일부의 일 예시적인 커터-경로 에지의 횡단면도.
도 5a 는 계단형 전극, 백킹 플레이트, 열 제어 플레이트, 가드 링, 및 상단 플레이트 (top plate) 를 갖는 샤워헤드 전극 어셈블리를 도시하는 도면.
도 5b 는 변형된 샤워헤드 전극의 상부 면의 투시도.
도 5c 는 변형된 백킹 플레이트의 하부 면의 투시도.
도 6a 및 도 6b 는 도 5a 의 계단형 전극의 투시도들.
도 7 은 도 5a 의 백킹 플레이트의 투시도.
도 8 은 가드 링이 없는 도 5a 의 샤워헤드 전극 어셈블리의 투시도.
도 9 는 일 바람직한 실시형태에 따른 개스킷의 저면도.
도 10 은 도 9 에 도시된 개스킷의 측면도.
통상적으로, 집적 회로 칩의 제조는 "웨이퍼" 라 호칭되는 (실리콘 또는 게르마늄과 같은) 고순도의 단결정 반도체 재료 기판의 얇은 연마된 슬라이스로 시작한다. 웨이퍼 상에 다양한 회로 구조들을 형성하는 물리적 및 화학적 프로세싱 단계들의 시퀀스가 각각의 웨이퍼에 대해 행해진다. 제조 프로세스 동안에, 이실리콘 산화막들을 생성하기 위한 열 산화, 실리콘막, 실리콘 이산화막, 및 실리콘 질화막을 생성하기 위한 화학 기상 증착, 및 다른 금속막들을 생성하기 위한 스퍼터링 또는 다른 기술들을 사용하여 웨이퍼 상에 다양한 타입의 박막들이 증착된다.
반도체 웨이퍼 상에 막을 증착시킨 후에, 도핑이라 호칭되는 프로세스를 사용하여 반도체 결정 격자로 선택된 불순물들을 치환함으로써, 반도체들의 고유한 전기적 특성들이 생성된다. 그 후, 도핑된 실리콘 웨이퍼는 "레지스트" 라 호칭되는 감광성 또는 감방사선성 (radiation sensitive) 재료의 얇은 층으로 균일하게 코팅될 수도 있다. 그 후, 리소그래피라 알려진 프로세스를 사용하여 레지스트 상에 회로 내의 전자 경로들을 정의하는 작은 기하학 패턴들이 전사될 수도 있다. 리소그래피 프로세스 동안에, "마스크" 라 호칭되는 글래스 플레이트 상에 집적 회로 패턴이 묘화되고, 그 후 감광성 코팅 상에 광학적으로 축소 (reduce), 투사, 및 전사될 수도 있다.
그 후, 에칭이라 알려진 프로세스를 통해 반도체 재료의 밑에 놓인 결정 표면 상에 리소그래프된 레지스트 패턴이 전사된다. 일반적으로, 진공 프로세싱 챔버들은, 진공 챔버에 에칭 또는 증착 가스를 공급하는 것 및 그 가스에 무선 주파수 (RF) 필드를 인가하여 그 가스를 플라즈마 상태로 에너자이징 (energize) 하는 것에 의해, 기판들 상의 재료들의 에칭 및 화학 기상 증착 (CVD) 을 위해 사용된다.
통상적으로, 반응성 이온 에칭 시스템은, 내부에 상부 전극 또는 애노드, 및 하부 전극 또는 캐소드가 위치된 에칭 챔버로 구성된다. 캐소드는 애노드 및 컨테이너 벽들에 대하여 음으로 바이어스된다. 에칭될 웨이퍼는 적절한 마스크에 의해 커버되고, 캐소드 바로 위에 위치된다. CF4, CHF3, CClF3, HBr, Cl2, 및 SF6, 또는 이들과 O2, N2, He, 또는 Ar 의 혼합물들과 같은 화학 반응성 가스가 에칭 챔버 내에 도입되고, 통상 밀리토르 범위 이내의 압력에서 유지된다. 가스가 전극을 통해 챔버로 균일하게 분산되도록 허용하는 가스 홀(들)이 상부 전극에 제공된다. 애노드와 캐소드 사이에 확립된 전기장은 플라즈마를 형성하는 반응성 가스를 해리 (dissociate) 시킬 것이다. 웨이퍼의 표면은, 액티브 이온들과의 화학적 상호작용에 의해, 그리고 웨이퍼의 표면에 충돌 (strike) 하는 이온들의 운동량 전달에 의해 에칭된다. 전극들에 의해 생성된 전기장은 이온들을 캐소드로 끌어당겨서, 이온들이 주로 수직 방향으로 표면에 충돌하게 하여 프로세스가 양호하게 정의된 수직으로 에칭된 측벽들을 생성하게 할 것이다. 에칭 반응기 전극들은 종종, 기계적 컴플리언트 (compliant) 및/또는 열 전도성 접착제들로 2개 이상의 상이한 부재들을 접합하여 제조되어, 기능의 다양성을 허용할 수도 있다.
도 1 은 기판을 에칭하기 위한 플라즈마 프로세싱 시스템의 샤워헤드 전극 어셈블리 (100) 의 일부분의 횡단면도를 나타낸다. 도 1 에 도시된 바와 같이, 샤워헤드 전극 어셈블리 (100) 는 계단형 전극 (110), 백킹 플레이트 (140), 및 가드 링 (또는 외측 링)(170) 을 포함한다. 샤워헤드 전극 어셈블리 (100) 는 백킹 플레이트 (140) 및 상부 전극 (110) 의 외주를 둘러싸는 플라즈마 한정 어셈블리 (또는 WAP (wafer area pressure) 어셈블리)(180) 를 더 포함한다.
이 어셈블리 (100) 는 열 제어 플레이트 (102), 및 내부에 액체 흐름 채널을 가지며 챔버의 온도 제어되는 벽을 형성하는 상부 (상단) 플레이트 (104) 를 더 포함한다. 계단형 전극 (110) 은 원통형 플레이트인 것이 바람직하고, 단결정 실리콘, 다결정 실리콘, 실리콘 카바이드 또는 (알루미늄 또는 그 합금, 양극 처리된 알루미늄, 산화이트륨 코팅된 알루미늄과 같은) 다른 적절한 재료와 같은 전도성 고순도 재료로 이루어질 수도 있다. 백킹 플레이트 (140) 는 후술되는 기계적 패스너 (fastener) 로 전극 (110) 에 기계적으로 고정된다. 가드 링 (170) 은 후술하는 바와 같이 백킹 플레이트 (140) 를 둘러싸고 캠 락킹 부재로의 액세스를 제공한다.
도 1 에 도시된 바와 같은 샤워헤드 전극 어셈블리 (100) 는 통상적으로 편평한 하부 전극을 포함하는 정전척 (도시되지 않음) 과 함께 이용되는데, 여기서 웨이퍼는 상부 전극 (110) 에서 아래로 약 1 내지 2 cm 의 거리에서 지지된다. 이러한 플라즈마 프로세싱 시스템의 예는 Exelan? 유전체 에칭 시스템 (캘리포니아 프리몬트의 Lam Research Coporation 에 의해 제조) 과 같은 평행한 플레이트 타입 반응기이다. 이러한 척킹 배열은 백사이드 헬륨 (He) 압력을 공급함으로써 웨이퍼의 온도 제어를 제공하며, 이는 웨이퍼와 척 사이의 열 전도의 레이트를 제어한다.
상부 전극 (110) 은 주기적으로 교체되어야 하는 소모성 부품이다. 웨이퍼와 상부 전극 사이의 갭에 프로세스 가스를 공급하기 위해, 전극에 의해 에너자이징되며 상부 전극 (110) 의 바로 밑의 반응 존에서 플라즈마를 형성하는 프로세스 가스를 공급하기에 적절한 사이즈 및 분포로 된 가스 배출 통로 (106) 가 상부 전극 (110) 에 제공된다.
샤워헤드 전극 어셈블리 (100) 는 백킹 플레이트 (140) 및 상부 전극 (110) 의 외주를 둘러싸는 플라즈마 한정 어셈블리 (또는 WAP (wafer area plasma) 어셈블리)(180) 를 더 포함한다. 플라즈마 한정 어셈블리 (180) 는 스택 또는 복수의 이격된 석영 링 (190) 으로 구성되는 것이 바람직하며, 이는 백킹 플레이트 (140) 및 상부 전극 (110) 의 외주를 둘러싼다. 프로세싱 중에, 플라즈마 한정 어셈블리 (180) 는 반응 존의 압력차를 유발하고, 반응 챔버 벽과 플라즈마 사이의 전기 저항을 증가시킴으로써, 상부 전극 (110) 과 하부 전극 (도시되지 않음) 사이로 플라즈마를 한정한다.
사용 중에, 한정 링 (190) 은 챔버 볼륨으로 플라즈마를 한정하고, 반응 챔버 내의 플라즈마의 압력을 제어한다. 반응 챔버에 대한 플라즈마의 한정은, 한정 링들 (190) 사이의 간격, 플라즈마에서와 한정 링의 외부의 반응 챔버에서의 압력, 가스의 타입 및 유량뿐만 아니라 RF 전력의 주파수 및 레벨을 포함하는 많은 팩터의 함수이다. 플라즈마의 한정은 한정 링들 (190) 사이의 간격이 매우 작으면 더욱 용이하게 달성된다. 통상적으로, 0.15 인치 이하의 간격이 한정에 요구된다. 그러나, 한정 링들 (190) 의 간격은 또한 플라즈마의 압력을 결정하고, 플라즈마를 유지하면서 최적의 프로세스 성능에 필요한 압력을 달성하기 위해서 이 간격이 조절될 수 있는 것이 바람직하다. 가스 공급원으로부터의 프로세스 가스는 프로세스 가스가 웨이퍼 상의 단일 존 또는 다중 존으로 공급되게 하는 상부 플레이트 (104) 의 하나 이상의 통로를 통해 전극 (110) 으로 공급된다.
전극 (110) 은, 중심 (도시되지 않음) 에서부터, 외측 에지로부터 안쪽으로 연장되는 플라즈마 노출 표면 상에 계단을 형성하는 증가되는 두께의 영역까지 균일한 두께를 갖는 평탄한 디스크 또는 플레이트인 것이 바람직하다. 전극 (110) 은 프로세싱될 웨이퍼보다 더 큰 직경, 예를 들어, 300 mm 초과의 직경을 가지는 것이 바람직하다. 상부 전극 (110) 의 직경은 300 mm 웨이퍼를 프로세싱하기 위해 약 15 인치 내지 약 17 인치일 수 있다. 상부 전극 (110) 은 상부 전극 (110) 아래의 플라즈마 반응 챔버의 공간으로 프로세스 가스를 주입하기 위한 다중 가스 통로 (106) 를 포함하는 것이 바람직하다.
단결정 실리콘 및 다결정 실리콘이 전극 (110) 의 플라즈마 노출 표면을 위한 선호되는 재료이다. 고순도, 단결정 또는 다결정 실리콘이 단지 최소량의 바람직하지 않은 엘리먼트를 반응 챔버로 도입하고, 또한 플라즈마 프로세싱 중에 평활하게 마모됨으로써, 파티클을 최소화하므로, 이 고순도, 단결정 또는 다결정 실리콘은 플라즈마 프로세싱 중에 기판의 오염을 최소화한다. 상부 전극 (110) 의 플라즈마-노출되는 표면에 이용될 수 있는 재료의 합성물을 포함하는 다른 재료는 예를 들어, 알루미늄 (본 명세서에서 이용되는 바와 같이, "알루미늄"은 순수 Al 및 그 합금을 지칭함), 산화이트륨 코팅된 알루미늄, SiC, SiN, 및 AlN 을 포함한다.
백킹 플레이트 (140) 는 플라즈마 프로세싱 챔버에서 반도체 기판을 프로세싱하는데 이용되는 프로세스 가스와 화학적으로 융화성 있는 재료로 이루어지는 것이 바람직하고, 전극 재료의 열 팽창 계수와 근접하게 매칭하는 열 팽창 계수를 가지며/가지거나, 전기 및 열 전도성이다. 백킹 플레이트 (140) 를 제조하는데 이용될 수 있는 선호되는 재료는 그래파이트, SiC, 알루미늄 (Al), 또는 다른 적절한 재료를 포함하지만, 이에 제한되지 않는다.
상부 전극 (110) 은 전극과 백킹 플레이트 사이의 임의의 접착성 접합 없이 백킹 플레이트 (140) 에 기계적으로 부착되며, 즉, 열 및 전기 전도성 엘라스토머 접합 재료는 백킹 플레이트에 전극을 부착하는데 이용되지 않는다.
백킹 플레이트 (140) 는, 스레디드 볼트, 나사 등일 수 있는 적절한 기계적 패스너로 열 제어 플레이트 (102) 에 부착되는 것이 바람직하다. 예를 들어, 볼트 (도시되지 않음) 는 열 제어 플레이트 (102) 의 홀에 삽입되고, 백킹 플레이트 (140) 의 스레디드 개구에 나사로 죌 수 있다. 열 제어 플레이트 (102) 는 굴곡부 (184) 를 포함하고, 알루미늄, 알루미늄 합금 등과 같은 머시닝된 금속 재료로 이루어지는 것이 바람직하다. 온도 제어되는 상부 플레이트 (104) 는 알루미늄 또는 알루미늄 합금으로 이루어지는 것이 바람직하다. 플라즈마 한정 어셈블리 (또는 WAP (wafer area plasma) 어셈블리)(180) 는 샤워헤드 전극 어셈블리 (100) 의 바깥쪽으로 위치한다. 복수의 수직으로 조정가능한 플라즈마 한정 링 (190) 을 포함하는 적절한 플라즈마 한정 어셈블리 (180) 는 본 명세서에 그 전체가 참조로서 통합된 공동 소유인 미국 특허 제 5,534,751호에 설명된다.
2008년 3월 14일에 출원되고 공동 소유인 미국출원 제 61/036,862호 (이 개시물은 참조로서 여기에 통합됨) 에 설명된 바와 같이, 상부 전극은 캠 락 메커니즘에 의해 백킹 플레이트에 기계적으로 부착될 수 있다. 도 2a 를 참조하면, 예시적인 캠 락 전극 클램프의 3차원도는 전극 (201) 및 백킹 플레이트 (203) 의 일부를 포함한다. 전극 클램프는 도 1 에 도시된 플라즈마 에칭 챔버와 같은 다양한 제조-관련 툴에서 백킹 플레이트에 소모성 전극 (201) 을 신속하고, 깨끗하며 정확하게 부착할 수 있다.
전극 클램프는 소켓 (213) 에 탑재된 스터드 (stud) (락킹 핀)(205) 를 포함한다. 이 스터드는 디스크 스프링 스택 (215), 예를 들어, 스테인리스 스틸 Belleville 와셔에 의해 둘러싸여질 수도 있다. 스터드 (205) 및 디스크 스프링 스택 (215) 은 이후 프레스-피팅되거나 그렇지 않으면 접착제 또는 기계적 패스너를 사용하여 소켓 (213) 에 파스닝될 수도 있다. 스터드 (205) 및 디스크 스프링 스택 (215) 은 전극 (201) 과 백킹 플레이트 (203) 사이에서 제한된 양의 측방향 이동이 가능하도록 소켓 (213) 내에 배열된다. 측방향 이동량을 제한하는 것은, 어느 정도의 이동을 제공하여 두 부품 사이의 열팽창의 차이를 고려하면서, 전극 (201) 과 백킹 플레이트 (203) 사이에 타이트한 피트를 허용하여, 양호한 열 접촉을 보장한다. 제한된 측방향 이동 특징에 대한 추가적인 세부사항은 이하 더욱 상세히 논의된다.
특정한 예시적인 실시형태에서, 소켓 (213) 은 베어링-그레이드 Torlon? 으로부터 제작된다. 다른 방법으로는, 소켓 (213) 은 우수한 강도와 같은 일정한 기계적 특성을 갖는 다른 재료로부터 제작될 수도 있고, 내충격성, 크리프 저항, 치수 안정성, 방사선 저항, 및 내약품성이 손쉽게 채용될 수도 있다. 폴리아미드, 폴리이미드, 아세탈, 및 초고분자량 폴리에틸렌 재료와 같은 다양한 재료가 모두 적절할 수도 있다. 230 ℃ 가 에칭 챔버와 같은 애플리케이션에서 마주치는 통상적인 최대 온도이므로, 고온-특정된 플라스틱 및 다른 관련 재료가 소켓 (213) 을 형성하는데 필요하지 않다. 일반적으로, 통상적인 동작 온도는 130 ℃ 에 가깝다.
전극 클램프의 다른 부분은 한 쌍의 캠 샤프트 베어링 (209) 에 의해 각 끝에서 둘러싸인 캠 샤프트 (207) 로 구성된다. 캠 샤프트 (207) 및 캠 샤프트 베어링 어셈블리는 백킹 플레이트 (203) 내에 머시닝된 백킹 플레이트 보어 (211) 에 탑재된다. 300 mm 반도체 웨이퍼용으로 설계된 에칭 챔버에 대한 통상적인 애플리케이션에서, 전극 클램프 중 8 개 이상이 전극 (201)/백킹 플레이트 (203) 조합의 주위에 간격을 두고 있을 수도 있다.
캠 샤프트 베어링 (209) 은 Torlon?, Vespel?, Celcon?, Delrin?, Teflon?, Arlon?, 또는 낮은 마찰 계수 및 낮은 파티클 셰딩 (shedding) 을 갖는 PEEK (polyetheretherketone), 폴리테트라플루오로에틸렌, 폴리이미드, 폴리아미드, 아세탈 및 플루오로폴리머와 같은 다른 재료를 포함하는 다양한 재료로부터 머시닝될 수도 있다. 스터드 (205) 및 캠 샤프트 (207) 는 스테인리스 스틸 (예를 들어, 316, 316L, 17-7 등) 또는 우수한 강도와 내식성을 제공하는 임의의 다른 재료로부터 머시닝될 수도 있다.
이하, 도 2b 를 참조하면, 전극 캠 클램프의 단면도는 전극 (201) 을 백킹 플레이트 (203) 에 매우 근접하게 당기면서 캠 클램프를 동작시키는 방법을 또한 예시한다. 스터드 (205)/디스크 스프링 스택 (215)/소켓 (213) 어셈블리는 전극 (201) 내에 탑재된다. 도시된 바와 같이, 이 어셈블리는 소켓 (213) 상의 외부 스레드에 의해 전극 (201) 의 스레디드 포켓에 나사로 죌 수도 있다. 그러나, 이 소켓은 접착제 또는 다른 타입의 기계적 패스너에 의해서도 탑재될 수도 있다.
도 3 에서, 확대된 헤드를 갖는 스터드 (205), 디스크 스프링 스택 (215), 및 소켓 (213) 의 입면도 및 어셈블리도 (300) 는 캠 락 전극 클램프의 예시적인 설계에 추가 세부사항을 제공한다. 특정한 예시적인 실시형태에서, 스터드/디스크 스프링 어셈블리 (301) 는 소켓 (213) 에 프레스-피팅된다. 소켓 (213) 은 작은 토크 (예를 들어, 특정한 예시적인 실시형태에서, 약 20 인치-파운드) 로 전극 (201; 도 2a 및 도 2b 참조) 에 용이하게 삽입되게 하는 6각형 상단 부재 및 외부 스레드를 가진다. 상기에서 표시된 바와 같이, 소켓 (213) 은 다양한 타입의 플라스틱으로부터 머시닝될 수도 있다. 플라스틱을 이용하는 것은 파티클 발생을 최소화하고, 전극 (201) 상의 메이팅 포켓에 소켓 (213) 의 마손 (gall) 없는 설치를 허용한다.
스터드/소켓 어셈블리 (303) 는, 소켓 (213) 의 상위 부분의 내부 직경이 스터드 (205) 의 중간 섹션 부분의 외부 직경보다 큰 것으로 도시한다. 이 두 부분 사이의 직경의 차이는 상술한 바와 같이 어셈블링된 전극 클램프에서 제한된 측방향 이동을 허용한다. 스터드/디스크 스프링 어셈블리 (301) 는 소켓 (213) 의 베이스 부분에서 소켓 (213) 과 강성으로 접촉하게 유지되는 반면, 직경의 차이는 어느 정도의 측방향 이동을 허용한다 (또한, 도 2b 참조).
도 4a 를 참조하면, 캠 샤프트 (207) 및 캠 샤프트 베어링 (209) 의 분해도 (400) 는 또한 키잉 핀 (401) 을 표시한다. 키잉 핀 (401) 을 갖는 캠 샤프트 (207) 의 끝은 먼저 백킹 플레이트 보어 (211) 에 삽입된다 (도 2b 참조). 백킹 플레이트 보어 (211) 의 먼 끝에서의 한 쌍의 작은 메이팅 홀 (도시되지 않음) 은 백킹 플레이트 보어 (211) 로 캠 샤프트 (207) 의 적당한 배열을 제공한다. 캠 샤프트 (207) 의 측면도 (420) 는 캠 샤프트 (207) 의 한쪽 끝의 6각형 개구 (403) 와 반대쪽 끝의 키잉 핀 (401) 의 가능한 배치를 명확히 표시한다.
예를 들어, 도 4a 및 도 2b 를 계속하여 참조하면, 전극 캠 클램프는 백킹 플레이트 보어 (211) 로 캠 샤프트 (207) 를 삽입함으로써 어셈블링된다. 키잉 핀 (401) 은 한 쌍의 작은 메이팅 홀 중 하나와 인터페이스함으로써 백킹 플레이트 보어 (211) 에서 캠 샤프트 (207) 의 회전 운동을 제한한다. 캠 샤프트는 6각형 개구 (403) 를 통해 일 방향으로, 예를 들어, 반시계 방향으로 돌아 스터드 (205) 를 캠 샤프트 (207) 에 진입하게 한 후, 시계방향으로 돌아 스터드 (205) 를 완전히 엔게이지하고 락킹되게 한다. 백킹 플레이트 (203) 에 전극 (201) 을 홀딩하는데 필요한 클램프력은 디스크 스프링 스택 (215) 을 자유 스택 높이 (free stack height) 를 넘어 압축시킴으로써 공급된다. 캠 샤프트 (207) 는 샤프트 (205) 의 확대된 헤드를 엔게이지하는 내부 편심 내부 컷아웃을 가진다. 디스크 스프링 스택 (215) 이 압축됨에 따라, 클램프력은 디스크 스프링 스택 (215) 의 개별 스프링으로부터 소켓 (213) 으로 그리고 전극 (201) 을 통해 백킹 플레이트 (203) 로 전달된다.
예시적인 동작 모드에서, 일단 캠 샤프트 베어링이 캠 샤프트 (207) 에 부착되고 백킹 플레이트 보어 (211) 에 삽입되면, 캠 샤프트 (207) 는 전체 회전 운동에 대해 반시계방향으로 회전한다. 그 후, 스터드/소켓 어셈블리 (303; 도 3) 는 전극 (201) 으로 작은 토크가 가해진다. 그 후, 스터드 (205) 의 헤드는 수평하게 연장되는 백킹 플레이트 보어 (211) 아래의 수직으로 연장되는 스루-홀로 삽입된다. 키잉 핀이 2 개의 작은 메이팅 홀 (도시되지 않음) 중 두번째 작은 메이팅 홀로 드롭되거나 (이하 후술되는) 가청 클릭이 들릴 때까지, 전극 (201) 은 백킹 플레이트 (203) 에 대해 홀딩되고, 캠 샤프트 (207) 는 시계방향으로 회전된다. 예시적인 동작 모드는 역으로 되어, 백킹 플레이트 (203) 로부터 전극 (201) 을 탑재해제할 수도 있다. 그러나, 가청 클릭과 같은 특징은 캡 락 배열에서는 옵션이다.
도 4b 를 참조하면, 도 4a 의 캠 샤프트 (207) 의 측면도 (420) 의 단면도 A-A 는, 스터드 (205) 의 헤드가 완전히 고정되는 커터 경로 에지 (440) 를 표시한다. 특정한 예시적인 실시형태에서, 2 개의 반경 R1 및 R2 는 스터드 (205) 의 헤드가 상술된 옵션인 가청 클릭 노이즈를 만들어 스터드 (205) 가 완전히 고정되는 때를 표시하도록 선택된다.
도 5a 는 다음 특징들: (a) 캠-락킹된 비접합된 전극 (502); (b) 백킹 플레이트 (506); 및 (c) 전극을 백킹 플레이트 (506) 에 홀딩하는 캠 락들로의 액세스를 허용하는 가드 링 (508) 을 포함하는 용량성 커플링된 플라즈마 챔버를 위한 상부 전극 어셈블리 (500) 를 도시한다.
전극 어셈블리 (500) 는 챔버 외부로부터 챔버의 온도 제어되는 상단 벽 (512) 으로 볼팅되는 열 제어 플레이트 (510) 를 포함한다. 전극 (502) 은 도 2 내지 도 4를 참조하여 전술한 캠-락킹 메커니즘 (514) 에 의해 챔버 내부로부터 백킹 플레이트에 해제가능하게 부착된다.
바람직한 실시형태에서, (a) 백킹 플레이트의 외측 부분의 이격된 위치들에 위치된 4개의 캠 락들 (514) 과 가드 링 내의 4개의 홀들을 정렬시키는 제 1 위치까지 가드 링 (508) 을 회전시키고; (b) 가드 링 내의 각각의 홀을 통해 엘렌 렌치와 같은 툴을 삽입하고, 각각의 캠 락을 회전시켜 각각의 개별적인 캠 락의 수직으로 연장된 락킹 핀을 해제하고; (c) 다른 4개의 캠 락들과 가드 링 내의 4개의 홀들을 정렬시키는 제 2 위치까지 가드 링을 90°회전시키며; (d) 가드 링 내의 각각의 홀을 통해 엘렌 렌치와 같은 툴을 삽입하고 각각의 개별적인 캠 락을 회전시켜 각각의 개별적인 캠 락의 락킹 핀을 해제함으로써, 전극 어셈블리 (500) 의 전극 (502) 이 해체될 수 있으며; 이것에 의해, 전극 (502) 이 내려지거나 플라즈마 챔버로부터 제거될 수 있다.
도 5a 는 또한, 캠 락 배열들 중 하나의 횡단면도를 도시하며, 회전가능한 캠 락 (514) 이 백킹 플레이트 (506) 의 외측 부분의 수평으로 연장된 보어 (560) 에 위치된다. 원통형 캠 락 (514) 이 엘렌 렌치와 같은 툴에 의해 (a) 락킹 핀 (562) 의 확대된 단부가 그 락킹 핀의 확대된 헤드를 리프트하는 캠 락 (514) 의 캠 표면에 의해 엔게이지되는 락 포지션 또는 (b) 락킹 핀 (562) 이 캠 락 (514) 에 의해 엔게이지되지 않는 해제 포지션으로 회전가능하다. 백킹 플레이트는 캠 락들을 인게이지하기 위해 락킹 핀들이 삽입되는 그 하부 면 내에 수직으로 연장된 보어들을 포함한다.
도 5a 에 도시된 실시형태에서, 백킹 플레이트 (506) 내의 외측 계단이 샤워헤드 전극 (502) 의 상부 면 상의 환형의 리세스된 탑재 면과 메이팅한다. 대안적인 배열에서, 계단과 리세스가 생략되어, 백킹 플레이트의 하부 면과 샤워헤드 전극의 상부 면이 평탄한 표면이 될 수 있다. 도 5b 는 편평한 상부 표면 (522A), 5개의 정렬 핀 홀 (520A), 8개의 포켓 (550A), 가스 홀 (528A), 및 가스 홀들의 제 3 로우와 제 4 로우 사이에 위치된 개스킷의 돌출부들과 메이팅하기 위한 2개의 리세스 (520B) 를 갖는 변형된 샤워헤드 전극 (502A) 의 횡단면도를 도시한다. 도 5c 는 편평한 하부 표면 (522B), 5개의 정렬 핀 홀들 (520C), 8개의 캠 락 (514B), 및 환형 개스킷 수용 표면들 (G1, G2) 을 갖는 변형된 백킹 플레이트 (506A) 를 도시한다.
도 6a 및 도 6b 는 전극 (502) 을 상세하게 도시한다. 전극 (502) 은 바람직하게는, 정렬 핀 (524) 을 수용하는 상부 면 (탑재 면) 내의 정렬 핀 홀 (520) 을 갖는 고순도 (10 ppm 미만의 불순물), 낮은 저항성 (0.005 내지 0.02 ohm-cm) 의 단결정 실리콘의 플레이트이다. 가스 홀들 (528) 이 상부 면으로부터 하부 면 (플라즈마 노출 표면; 530) 으로 연장되고 임의의 적절한 패턴으로 배열될 수 있다. 도시된 실시형태에서, 가스 홀들은, 전극의 중심으로부터 약 0.5 인치에 위치된 제 1 로우의 3개의 가스 홀들, 중심으로부터 약 1.4 인치에 위치된 제 2 로우의 13개의 가스 홀들, 중심으로부터 약 2.5 인치에 위치된 제 3 로우의 23개의 가스 홀들, 중심으로부터 약 3.9 인치에 위치된 제 4 로우의 25개의 가스 홀들, 중심으로부터 약 4.6 인치에 위치된 제 5 로우의 29개의 가스 홀들, 중심으로부터 약 5.4 인치에 위치된 제 6 로우의 34개의 가스 홀들, 중심으로부터 약 6 인치에 위치된 제 7 로우의 39개의 가스 홀들, 중심으로부터 약 7.5 인치에 위치된 제 8 로우의 50개의 가스 홀들, 중심으로부터 약 8.2 인치에 위치된 제 9 로우의 52개의 가스 홀들, 중심으로부터 약 9 인치에 위치된 제 10 로우의 53개의 가스 홀들, 중심으로부터 약 10.3 인치에 위치된 제 11 로우의 57개의 가스 홀들, 중심으로부터 약 10.9 인치에 위치된 제 12 로우의 59개의 가스 홀들 및 중심으로부터 약 11.4 인치에 위치된 제 13 로우의 63개의 가스 홀들을 갖는 13개의 원주상으로 연장되는 로우들로 배열된다.
대안적인 배열에서, 중심으로부터 0.25 인치에 위치된 제 1 로우의 4개의 홀들, 중심으로부터 약 0.72 인치에 위치된 제 2 로우의 10개의 홀들, 중심으로부터 약 1.25 인치에 위치된 제 3 로우의 20개의 홀들, 중심으로부터 약 1.93 인치에 위치된 제 4 로우의 26개의 홀들, 중심으로부터 약 2.3 인치에 위치된 제 5 로우의 30개의 홀들, 중심으로부터 약 2.67 인치에 위치된 제 6 로우의 36개의 홀들, 중심으로부터 약 3.0 인치에 위치된 제 7 로우의 40개의 홀들, 중심으로부터 약 3.73 인치에 위치된 제 8 로우의 52개의 홀들, 중심으로부터 약 4.1 인치에 위치된 제 9 로우의 58개의 홀들, 중심으로부터 약 4.48 인치에 위치된 제 10 로우의 62개의 홀들, 중심으로부터 약 5.17 인치에 위치된 제 11 로우의 70개의 홀들, 중심으로부터 약 5.44 인치에 위치된 제 12 로우의 74개의 홀들 및 중심으로부터 약 5.71 인치에 위치된 제 13 로우의 80개의 홀들로 562개의 가스 홀들이 배열될 수 있다.
도 5a 에 도시된 실시형태에서, 전극의 상부 면은, 중심 근처의 3개의 핀 홀들, 환형 리세스의 안쪽으로 향한 3개의 핀 홀들 및 전극의 외측 에지 근처의 환형 리세스 내의 3개의 핀 홀들을 갖는 9개의 정렬 핀 홀들을 포함한다. 3개의 중심 핀홀들은 방사형으로 정렬되고 내측 전극의 중심에서 하나의 핀 홀과 가스 홀들의 제 3 로우 및 제 4 로우 사이에 2개의 핀홀들을 포함한다. 환형 리세스 근처의 중간 핀 홀들은 중심 핀 홀과 방사형으로 정렬된 하나의 핀 홀과 120 °떨어져 이격된 2개의 다른 핀 홀들을 포함한다. 외측 3개의 핀 홀들은 인접 포켓들 사이의 위치에서 120 °떨어져 이격된다.
도 6a 는 가스 홀들의 13개의 로우를 갖는 전극 (502) 의 플라즈마 노출 표면 (530) 을 도시하는 전면 투시도이다. 도 6b는 가스 홀들의 13개의 로우를 갖는 상부 면의 투시도를 도시한다.
전극 (502) 은 가드 링 (508) 을 지지하는 외측 계단 (선반; 536), 백킹 플레이트 (506) 의 하부 표면을 엔게이지하는 상부 면 (탑재 면; 522), 안쪽의 테이퍼된 표면 (544) 을 포함하는 하부 면 (플라즈마 노출되는 계단형 표면; 530), 수평 표면 (546), 및 락킹 핀들이 탑재되는 상부 면 (540) 의 8개 포켓 (550) 및 외측 테이퍼된 표면 (548) 을 포함한다.
도 7 은 백킹 플레이트 (506) 의 투시도이다. 백킹 플레이트는 샤워헤드 전극 (502) 내 통로 (528) 와 정렬하는 가스 통로 (584) 의 13개의 로우를 포함한다. 백킹 플레이트의 상부 면 (586) 은 열 제어 플레이트 (510) 의 환형 돌출부에 접촉하는 3개의 환형 영역 (588a, 588b, 588c) 을 포함한다. 열 제어 플레이트는, 전체적으로 본원에 포함된 개시인 공동으로 양도된 미국 특허 공개 공보 제 2005/0133160 호, 제 2007/0068629 호, 제 2007/0187038 호, 제 2008/0087641 호 및 제 2008/0090417 호에 개시된 바와 같이, 상부 벽을 통해 열 제어 플레이트로 연장되는 패스너에 의해 플라즈마 챔버의 상부 벽에 부착된다. 스레딩된 개구 (590) 가 상부 면 (586) 의 외주 및 환형 영역 (588a, 588b, 588c) 에 위치되어 상단 플레이트 (512) 및 열 제어 플레이트 (510) 내의 개구들을 통해 연장되는 패스너들을 수용하여 백킹 플레이트 (506) 를 열 제어 플레이트 (510) 와 접촉하도록 홀딩한다. 예를 들어, 열 순환을 제공할 수 있는 패스너의 설명에 관한, 공동으로 양도된 미국 특허 공개 공보 제 2008/0087641 호를 참조한다. 상부 면 (586) 내 그루브 (592) 는 백킹 플레이트 (506) 와 열 제어 플레이트 (510) 사이에 가스 시일 (seal) 을 제공하는 O-링을 수용한다. 상부 면 (586) 내의 정렬 핀 보어들 (594) 은 열 제어 플레이트 내의 정렬 핀 보어들 안으로 피팅하는 정렬 핀들을 수용한다. 보어들 (560) 사이의 위치들에서 수평으로 연장된 스레딩된 개구들 (561) 은 가드 링이 회전하는 것을 방지하고 샤워헤드 전극의 어셈블리 이후 가드 링에 액세스 보어를 플러그하는데 사용되는 유전체 패스너를 수용한다.
도 8 은 제거된 가드 링을 갖는 샤워헤드 전극 어셈블리 (500) 의 투시도이다. 앞에서 설명한 바와 같이, 가드 링은 캠 락이 엔게이지될 수 있는 하나 이상의 어셈블리 포지션으로 회전되고, 유전체 패스너가 개구 (561) 로 삽입되어 이 가드 링이 백킹 플레이트의 외주와 접촉하지 않게 유지하여 백킹 플레이트의 열 팽창을 허용하는 락 포지션으로 회전될 수 있다. 열 제어 플레이트는, 액츄에이터가 플라즈마 한정 링을 지지하는 개구 (596) 를 갖는 플랜지 (595) 를 포함한다. 플라즈마 한정 링 어셈블리들의 탑재 배역의 세부사항은, 전체적으로 본원에 포함된 개시인 공동으로 양도된 미국 특허 공개 공보 제 2006/0207502 호 및 제 2006/0283552 호에서 찾을 수 있다.
전극의 탑재 표면 (522) 은, 백킹 플레이트 내의 8개의 캠 락에 의해 홀딩된 8개의 락킹 핀들에 의해 가해진 클램핑력의 결과로서 백킹 플레이트 (506) 의 반대 표면에 인접한다. 가드 링 (508) 은 백킹 플레이트 (506) 내 탑재 홀들을 커버하고 가드 링 내의 액세스 개구들은 Torlon
Figure 112010022902718-utm00001
, Vespel
Figure 112010022902718-utm00002
, Celcon
Figure 112010022902718-utm00003
, Delrin
Figure 112010022902718-utm00004
, Teflon
Figure 112010022902718-utm00005
, Arlon
Figure 112010022902718-utm00006
, 또는 낮은 마찰 계수 및 낮은 파티클 쉐딩 (particle shedding) 을 갖는 폴리에테르에테르케톤 (PEEK), 폴리테트라플루오로에틸렌, 폴리이미드, 폴리아미드, 아세탈, 및 플루오로폴리머와 같은 다른 재료로 이루어진 제거가능한 삽입재료로 채워진다.
도 5a 를 참고하면, Bergquist 사로부터 시판되는 "Q-PAD Ⅱ"와 같은 적절한 재료의 환형 섹션과 같은 하나 이상의 개스킷 (556) 에 의해 백킹 플레이트 (506) 와 전극 (502) 사이에 전기 접촉이 제공된다. 이러한 개스킷은 전극의 외주와 중심 정렬 핀과 외측 개스킷 사이의 하나 이상의 위치들에 위치된다. 예를 들어, 약 4 인치 및 12 인치의 직경을 갖는 환형 개스킷이 사용된다. 전체적으로 본원에 포함된 개시이며, 2007년 8월 31일 출원되어 공유된 미국 출원 제 11/896,375 호는 Q-PAD 재료로 이루어진 개스킷의 세부사항을 포함한다. 상이한 처리 가스 혼합물 및/또는 유량을 제공하기 위해서, 중심 정렬 핀과 외부 개스킷 사이에 하나 이상의 선택적 가스 칸막이 시일이 제공될 수 있다. 예를 들어, 외부 가스 분배 구역과 내부 가스 분배 구역을 분리시키기 위해서 내부 개스킷과 외부 개스킷 사이의 위치에서, 하나의 O-링을 전극 (502) 과 백킹 플레이트 (506) 사이에 제공할 수 있다. 외부 개스킷의 내주를 따라 전극 (502) 과 백킹 플레이트 (506) 사이에 위치된 O-링 (558) 은 전극과 백킹 플레이트 사이에 가스 및 파티클 시일을 제공할 수 있다.
도 9 는 하부 표면 (904) 상에 돌출부 (902) 의 형태로 복수의 정렬 피쳐들을 갖는 바람직한 개스킷 (900) 의 저면도를 도시한다. 전극 (502A) 은 개스킷 (900) 상의 돌출부를 수용하도록 사이징된 복수의 리세스 (도 5b의 520B) 를 포함한다. 도시된 실시형태에서, 2개의 돌출부 (902) 가 180 °떨어져 위치되고 이 돌출부는 가스 통로 (528A) 의 제 3 과 제 4의 원주상 로우들 사이에 위치된 전극 (502A) 내의 둥근 리세스 (520B) 내부에 피팅하는 동일한 원통 형상을 갖는다. 돌출부는 전극 (502A) 의 리세스 (520B) 에서 마찰 엔게이지되도록 사이징되는 것이 바람직하다. 개스킷의 폭의 절반보다 큰 직경을 갖는 원통형 돌출부를 도 9에 도시하였지만, 원한다면, 돌출부는 임의의 원하는 형상 및 사이즈일 수 있고 돌출부의 수는 3, 4, 5, 6, 7, 8 또는 그 이상일 수 있다. 예를 들어, 개스킷은 0.01 인치 보다 작은 균일한 두께의 편평한 링 (환형 스트립) 일 수 있고 이 돌출부는 편평한 링의 두께 보다 적어도 2, 3, 4 또는 5 배 더 두꺼울 수 있다. 돌출부는 완전한 돌출부를 몰딩하거나 편평한 링의 일부를 돌출부로 변형시킴으로써 형성될 수 있지만, 편평한 링 보다 더 큰 두께를 갖는 다른 재료로부터 돌출부를 형성하고 플라즈마 처리 챔버의 진공 환경과 양립할 수 있는 접착제를 이용하여 돌출부를 편평한 링에 부착하는 것이 바람직하다.
개스킷은 전기적으로 그리고 열적으로 전도성인 것이 바람직하며 예를 들어, 약 10 내지 200 mTorr의 고 진공 환경에서 가스를 배출하는 것이 바람직한 재료로 이루어지고, 낮은 미립자 발생 성능을 가지며; 접점에서 절단기를 제공하는 것을 따르고; Ag, Ni, Cu 등과 같은 반도체 기판의 수명을 단축시키는 금속 성분이 없다. 개스킷은 실리콘-알루미늄 박 샌드위치 개스킷 구조 또는 엘라스토머-스테인리스 스틸 샌드위치 개스킷 구조일 수 있다. 바람직하게는, 개스킷은, 플라즈마 에칭이 실시되는 것과 같은 단계인 반도체 제조에 사용되는 고 진공 환경과 양립할 수 있는 열적으로 그리고 전기적으로 전도성인 고무가 상부 및 하부 측 상에 코팅된 알루미늄 시트이다. 개스킷은, 전극 및 백킹 플레이크가 기계적으로 함께 클램핑되지만 전극과 백킹 플레이트의 반대 면들이 샤워 헤드 전극의 온도 사이클링 동안 서로를 러빙하지 않게 방지하는 것이 바람직하다.
도 9 에 도시된 개스킷 (900) 은 (Bergquist 사에서 시판되는 "Q-PAD" 포일 재료와 같은) 전기적 그리고 열 전도성 재료의 적층이 바람직하다. 도 5c에 위치된 G1의 위치에 있어서 개스킷 (900) 은 약 2.93 인치의 내경, 약 3.43 인치의 외경 및 약 0.006 인치의 두께를 갖는 것이 바람직하다. 이 개스킷은 약 0.185 인치의 직경과 약 0.026 내지 0.034 인치의 높이를 갖는 실리콘 고무와 같은 시트 재료의 원통형 부분을 포함하는 2 개의 돌출부 (902) 를 갖는다. 돌출부 (902) 는, 실리콘 엘라스토머 접착제, 예를 들어, Dow Corning에서 시판하는 RTV 3140 실리콘 접착제와 같은 적절한 접착제에 의해 개스킷 (900) 의 일 측에 접착식으로 접착하는 것이 바람직하다. 개스킷은 개스킷 재료의 시트 중에 링을 컷팅 또는 스탬핑함으로써 제조될 수 있다. 마찬가지로, 돌출부는 열적 및/또는 전기적 전도성이거나 아닐 수도 있는 탄성 재료와 같은 동일 또는 상이한 재료의 시트 중에서 컷팅되거나 스탬핑될 수 있다. 예를 들어, 돌출부는 탄력적으로 변형되고 샤워헤드 전극 내 리세스를 마찰 결합시키는 블랙 실리콘 고무와 같은 고무 재료일 수 있다. 이와 같이, 개스킷 (900) 은 샤워헤드 전극의 세정 또는 교체 동안 개스킷을 쉽게 제거하기 위해서 접착제를 이용하지 않고 샤워헤드 전극 상에 탑재될 수 있다.
본 고안은 구체적인 실시형태를 기준으로 하여 상세하게 설명하였지만, 첨부된 청구범위에서 벗어나지 않고 다양한 변경 및 수정이 이루어질 수 있고, 등가물이 이용될 수 있다는 것은 당업자에게 자명하다.
100; 전극 어셈블리
140; 백킹 플레이트
170; 가드 링

Claims (13)

  1. 백킹 플레이트에 샤워헤드 전극이 클램핑된 샤워헤드 전극 어셈블리를 위한 개스킷으로서,
    상기 개스킷은,
    열 및 전기 전도성 재료의 환형 (annular) 스트립 및 그 표면 상의 복수의 돌출부들을 포함하며,
    상기 환형 스트립은 상기 샤워헤드 전극의 외경보다 더 작은 외경을 가지고, 상기 돌출부들의 각각은 상기 환형 스트립의 두께보다 적어도 2배 더 높은 높이를 갖는, 샤워헤드 전극 어셈블리를 위한 개스킷.
  2. 제 1 항에 있어서,
    상기 돌출부들은 2개의 원통형 돌출부들을 포함하며, 상기 2개의 원통형 돌출부들의 각각은 상기 환형 스트립의 폭의 적어도 절반의 직경을 갖고, 상기 환형 스트립의 두께의 적어도 4배의 두께를 갖는, 샤워헤드 전극 어셈블리를 위한 개스킷.
  3. 제 1 항에 있어서,
    상기 환형 스트립은 실리콘 고무의 층들 사이의 알루미늄 박의 적층체이며, 상기 돌출부들은 상기 환형 스트립의 일 측에 접착성으로 접합되는, 샤워헤드 전극 어셈블리를 위한 개스킷.
  4. 제 1 항에 있어서,
    상기 복수의 돌출부들은 180°떨어져 위치된 2개의 원통형 돌출부들로 구성되는, 샤워헤드 전극 어셈블리를 위한 개스킷.
  5. 제 1 항에 있어서,
    상기 환형 스트립은 평탄한 상부 및 하부 표면들, 및 0.005 인치 내지 0.01 인치의 두께를 갖고, 상기 돌출부들은 0.02 인치 내지 0.04 인치의 두께를 갖는 평탄한 디스크들이며, 상기 돌출부들은 상기 환형 스트립의 일 측에 접합되는, 샤워헤드 전극 어셈블리를 위한 개스킷.
  6. 제 1 항에 있어서,
    상기 환형 스트립은 3 인치 내지 4 인치의 외경, 2 인치 내지 3 인치의 내경, 및 0.2 인치 내지 0.4 인치의 폭을 갖는, 샤워헤드 전극 어셈블리를 위한 개스킷.
  7. 백킹 플레이트의 외측 부분에 위치된 복수의 캠 락들을 포함하는 상기 백킹 플레이트;
    12 인치를 넘는 직경을 가지며, 샤워헤드 전극의 상부 면 및 하부 면에 의해 정의되는 주변부 및 중심부와, 반도체 기판이 위에서 지지되는 하부 전극과 상기 샤워헤드 전극 사이의 갭으로 프로세스 가스가 전달될 수 있는 상기 샤워헤드 전극의 중심부 내의 복수의 가스 아웃렛들과, 상기 상부 면의 주변부 내에 있으며 상기 백킹 플레이트에 상기 샤워헤드 전극을 클램핑하기 위해 상기 백킹 플레이트의 상기 캠 락들과 엔게이지된 위로 연장하는 락킹 핀들을 지지하는 복수의 원주상으로 이격된 포켓들을 포함하는, 상기 샤워헤드 전극으로서, 상기 상부 면은 상기 중심부에 걸쳐 연장하는 평탄한 표면을 포함하고, 상기 하부 면은 상기 중심부에 걸쳐 연장하는 평탄한 내측 표면 및 상기 주변부에 걸쳐 연장하는 계단형 외측 표면에 의해 정의되고, 상기 계단형 외측 표면은 상기 샤워헤드 전극의 증가되는 두께의 영역을 정의하는 환형의 평탄한 표면을 포함하는, 상기 샤워헤드 전극; 및
    상기 샤워헤드 전극과 상기 백킹 플레이트 사이에서 압축된 제 1 항에 기재된 개스킷으로서, 상기 개스킷의 돌출부들은 상기 샤워헤드 전극 내의 리세스들 내에 위치되고, 상기 환형 스트립은, 상기 샤워헤드 전극의 온도 순환 동안에 상기 샤워헤드 전극의 상부 면이 상기 백킹 플레이트의 하부 면에 대해 러빙하는 것을 방지하는, 상기 개스킷을 포함하는, 샤워헤드 전극 어셈블리.
  8. 제 7 항에 있어서,
    상기 돌출부들은 2개의 원통형 돌출부들을 포함하며, 상기 2개의 원통형 돌출부들의 각각은 상기 환형 스트립의 폭의 적어도 절반의 직경을 갖고, 상기 환형 스트립의 두께의 적어도 4배의 두께를 갖는, 샤워헤드 전극 어셈블리.
  9. 제 7 항에 있어서,
    상기 환형 스트립은 실리콘 고무의 층들 사이의 알루미늄 박의 적층체이며, 상기 돌출부들은 상기 환형 스트립의 일 측에 접착성으로 접합되는, 샤워헤드 전극 어셈블리.
  10. 제 7 항에 있어서,
    상기 복수의 돌출부들은 180°떨어져 위치된 2개의 원통형 돌출부들로 구성되는, 샤워헤드 전극 어셈블리.
  11. 제 7 항에 있어서,
    상기 환형 스트립은 평탄한 상부 및 하부 표면들, 및 0.005 인치 내지 0.01 인치의 두께를 갖고, 상기 돌출부들은 0.02 인치 내지 0.04 인치의 두께를 갖는 평탄한 디스크들이며, 상기 돌출부들은 상기 환형 스트립의 일 측에 접합되는, 샤워헤드 전극 어셈블리.
  12. 제 7 항에 있어서,
    상기 환형 스트립은 3 인치 내지 4 인치의 외경, 2 인치 내지 3 인치의 내경, 및 0.2 인치 내지 0.4 인치의 폭을 갖는, 샤워헤드 전극 어셈블리.
  13. 제 7 항에 있어서,
    상기 백킹 플레이트와 상기 샤워헤드 전극 사이의 가스 시일을 더 포함하며, 상기 가스 시일은 상기 가스 통로들의 밖으로 향하여 위치되고, 상기 샤워헤드 전극은 다결정 실리콘이며, 상기 백킹 플레이트는 알루미늄을 포함하는, 샤워헤드 전극 어셈블리.
KR2020100003725U 2009-04-10 2010-04-09 클램핑된 모놀리식 샤워헤드 전극을 위한 포지셔닝 피쳐를 갖는 개스킷 KR200464038Y1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/421,845 2009-04-10
US12/421,845 US8272346B2 (en) 2009-04-10 2009-04-10 Gasket with positioning feature for clamped monolithic showerhead electrode

Publications (2)

Publication Number Publication Date
KR20100010304U KR20100010304U (ko) 2010-10-20
KR200464038Y1 true KR200464038Y1 (ko) 2013-02-19

Family

ID=42558392

Family Applications (1)

Application Number Title Priority Date Filing Date
KR2020100003725U KR200464038Y1 (ko) 2009-04-10 2010-04-09 클램핑된 모놀리식 샤워헤드 전극을 위한 포지셔닝 피쳐를 갖는 개스킷

Country Status (6)

Country Link
US (2) US8272346B2 (ko)
JP (2) JP2010251752A (ko)
KR (1) KR200464038Y1 (ko)
CN (1) CN202025711U (ko)
DE (1) DE202010004773U1 (ko)
TW (1) TWM396482U (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210122209A (ko) * 2020-03-30 2021-10-08 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
WO2023027199A1 (ko) * 2021-08-23 2023-03-02 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Families Citing this family (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
TWM412457U (en) 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US20120073752A1 (en) * 2010-09-24 2012-03-29 Memc Electronic Materials, Inc. Adapter Ring For Silicon Electrode
JP2012101676A (ja) 2010-11-10 2012-05-31 Hitachi Automotive Systems Ltd ブレーキ制御装置
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103403843B (zh) * 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20120255635A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Method and apparatus for refurbishing gas distribution plate surfaces
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US20130284092A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Faceplate having regions of differing emissivity
US9058960B2 (en) * 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
JP5798140B2 (ja) * 2013-02-15 2015-10-21 株式会社東芝 プラズマ処理装置
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6375163B2 (ja) 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
JP6374781B2 (ja) * 2014-12-10 2018-08-15 東京エレクトロン株式会社 プラズマ処理方法
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017044754A1 (en) * 2015-09-11 2017-03-16 Applied Materials, Inc. Plasma module with slotted ground plate
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10607817B2 (en) 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110942969B (zh) * 2018-09-21 2022-08-23 中微半导体设备(上海)股份有限公司 一种气体喷淋头组件及其等离子体处理设备
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
CN110411613A (zh) * 2019-09-04 2019-11-05 川北真空科技(北京)有限公司 导气垫圈及其制造方法
US11332828B2 (en) * 2019-10-04 2022-05-17 Applied Materials, Inc. Gas distribution assembly mounting for fragile plates to prevent breakage
KR20210077060A (ko) * 2019-12-16 2021-06-25 삼성디스플레이 주식회사 잉크젯 프린트 장치 및 쌍극자 정렬 방법
TW202146691A (zh) * 2020-02-13 2021-12-16 荷蘭商Asm Ip私人控股有限公司 氣體分配總成、噴淋板總成、及調整至反應室之氣體的傳導率之方法
US12068137B2 (en) 2020-09-25 2024-08-20 Applied Materials, Inc. Thread profiles for semiconductor process chamber components
KR102567507B1 (ko) * 2020-12-31 2023-08-16 세메스 주식회사 기판 처리 장치 및 가스 분배 어셈블리
CN114649189A (zh) * 2022-03-23 2022-06-21 深圳市华星光电半导体显示技术有限公司 一种干蚀刻设备
KR102556317B1 (ko) * 2022-08-12 2023-07-18 주식회사 에이티에스 플라즈마 에칭 장비용 합금 및 이를 포함하는 체결기구
JP2024113345A (ja) * 2023-02-09 2024-08-22 三菱マテリアル株式会社 プラズマ処理装置用の電極板とその製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US20080090417A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Upper electrode backing member with particle reducing features
US20080308229A1 (en) 2007-06-13 2008-12-18 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings

Family Cites Families (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) * 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5484486A (en) * 1994-05-02 1996-01-16 Applied Materials, Inc. Quick release process kit
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5590975A (en) * 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
WO1996031997A1 (fr) 1995-04-07 1996-10-10 Seiko Epson Corporation Equipement de traitement de surface
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
WO1998053484A1 (en) 1997-05-20 1998-11-26 Tokyo Electron Limited Processing apparatus
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US7070686B2 (en) * 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
WO2000045425A1 (en) 1999-02-01 2000-08-03 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6916399B1 (en) * 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
TWI228747B (en) 2000-05-17 2005-03-01 Tokyo Electron Ltd Processing apparatus and the maintenance method, assembling mechanism and method of processing apparatus parts, and lock mechanism and the lock method
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6349744B1 (en) * 2000-10-13 2002-02-26 Mks Instruments, Inc. Manifold for modular gas box system
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US20020121241A1 (en) * 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7211170B2 (en) * 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US20020144783A1 (en) * 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6908540B2 (en) * 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
CN100442429C (zh) 2002-05-23 2008-12-10 蓝姆研究公司 用于半导体处理等离子反应器的多部分电极以及替换多部分电极的一部分的方法
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
WO2005052414A2 (en) 2003-11-25 2005-06-09 Garlock Sealing Technologies, Llc Corrugated gasket core with profiled surface
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7662254B2 (en) * 2007-02-08 2010-02-16 Lam Research Corporation Methods of and apparatus for aligning electrodes in a process chamber to protect an exclusion area within an edge environ of a wafer
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
KR101519684B1 (ko) * 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8418649B2 (en) * 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
TWI455239B (zh) 2008-03-14 2014-10-01 Lam Res Corp 凸輪鎖電極夾
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
JP5745519B2 (ja) 2009-08-31 2015-07-08 ラム リサーチ コーポレーションLam Research Corporation 高周波(rf)接地帰還構成
JP2013503494A (ja) 2009-08-31 2013-01-31 ラム リサーチ コーポレーション プラズマ閉じ込めを実施するためのマルチペリフェラルリング構成
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
SG170717A1 (en) * 2009-11-02 2011-05-30 Lam Res Corp Hot edge ring with sloped upper surface
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US20080090417A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Upper electrode backing member with particle reducing features
US20080308229A1 (en) 2007-06-13 2008-12-18 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210122209A (ko) * 2020-03-30 2021-10-08 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
KR20210121581A (ko) * 2020-03-30 2021-10-08 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
KR102380271B1 (ko) 2020-03-30 2022-03-30 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
US11295933B2 (en) 2020-03-30 2022-04-05 Psk Inc. Substrate processing apparatus and substrate processing method
KR102396430B1 (ko) * 2020-03-30 2022-05-10 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
WO2023027199A1 (ko) * 2021-08-23 2023-03-02 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
CN202025711U (zh) 2011-11-02
US20130034967A1 (en) 2013-02-07
JP2010251752A (ja) 2010-11-04
DE202010004773U1 (de) 2010-08-12
US20100261354A1 (en) 2010-10-14
JP3172461U (ja) 2011-12-22
KR20100010304U (ko) 2010-10-20
US8272346B2 (en) 2012-09-25
TWM396482U (en) 2011-01-11
US8536071B2 (en) 2013-09-17

Similar Documents

Publication Publication Date Title
KR200464038Y1 (ko) 클램핑된 모놀리식 샤워헤드 전극을 위한 포지셔닝 피쳐를 갖는 개스킷
US8796153B2 (en) Clamped monolithic showerhead electrode
US8419959B2 (en) Clamped monolithic showerhead electrode
KR101168847B1 (ko) 클램핑된 샤워헤드 전극 어셈블리
US10262834B2 (en) Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US7875824B2 (en) Quartz guard ring centering features
KR200462655Y1 (ko) 클램프형 모놀리식 샤워헤드 전극

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
REGI Registration of establishment
FPAY Annual fee payment

Payment date: 20151123

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161123

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20171124

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20181122

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20191126

Year of fee payment: 8