DE202010004773U1 - Dichtungselement mit Postionierungsmerkmal für eine festgeklemmte monolithische Gasverteilungselektrode - Google Patents

Dichtungselement mit Postionierungsmerkmal für eine festgeklemmte monolithische Gasverteilungselektrode Download PDF

Info

Publication number
DE202010004773U1
DE202010004773U1 DE202010004773U DE202010004773U DE202010004773U1 DE 202010004773 U1 DE202010004773 U1 DE 202010004773U1 DE 202010004773 U DE202010004773 U DE 202010004773U DE 202010004773 U DE202010004773 U DE 202010004773U DE 202010004773 U1 DE202010004773 U1 DE 202010004773U1
Authority
DE
Germany
Prior art keywords
gas distribution
distribution electrode
annular strip
inches
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE202010004773U
Other languages
English (en)
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of DE202010004773U1 publication Critical patent/DE202010004773U1/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/16Vessels
    • H01J2237/166Sealing means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49719Seal or element thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49721Repairing with disassembling
    • Y10T29/4973Replacing of defective part

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

Dichtungselement für eine Gasverteilungselektrodenanordnung, bei der eine Gasverteilungselektrode an einer Trägerplatte festgeklemmt ist, wobei das Dichtungselement einen ringförmigen Streifen von wärme- und stromleitendem Material und eine Mehrzahl von Vorsprüngen auf seiner Oberfläche umfasst, wobei der ringförmige Streifen einen Außendurchmesser aufweist, der kleiner als ein Außendurchmesser der Gasverteilungselektrode ist, und wobei jeder der Vorsprünge eine Höhe aufweist, die mindestens zweimal größer als die Dicke des ringförmigen Streifens ist.

Description

  • GEBIET DER ERFINDUNG
  • Die Erfindung betrifft eine Gasverteilungselektrodenanordnung einer Plasmaverarbeitungskammer, in der Halbleiterbauelemente hergestellt werden können.
  • ZUSAMMENFASSUNG
  • Gemäß einer Ausführungsform wird ein Dichtungselement für eine Gasverteilungselektrodenanordnung bereitgestellt, bei der eine monolithische Stufenelektrode an einer Trägerplatte festgeklemmt ist und wobei die Gasverteilungselektrodenanordnung eine obere Elektrode einer kapazitiv gekoppelten Plasmaverarbeitungskammer umfasst. Die Stufenelektrode ist eine kreisförmige Platte mit einer zum Plasma hin freiliegenden Oberfläche auf ihrer Unterseite und einer Montageoberfläche auf ihrer Oberseite. Die Montageoberfläche umfasst eine Mehrzahl von Ausrichtstiftausnehmungen, die konfiguriert sind, um Ausrichtstifte aufzunehmen, die in einem Muster angeordnet sind, das Ausrichtstiftlöchern in einer Trägerplatte entspricht, gegen welche die Platte durch Nockenarretierungen gehalten wird, und die Platte umfasst Prozessgasauslässe, die in einem Muster angeordnet sind, das Gasversorgungslöchern in der Trägerplatte entspricht. Die Oberseite umfasst eine Mehrzahl von Aussparungen, die Ausrichtmerkmale auf dem Dichtungselement aufnehmen. Eine Mehrzahl von in Umfangsrichtung räumlich getrennten Taschen in einem äußeren Bereich der Montageoberfläche sind konfiguriert, um Arretierstifte darin aufzunehmen, die angepasst sind, um mit Nockenarretierungen zusammenzuwirken, um die Stufenelektrode an der Trägerplatte festzuklemmen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1 stellt eine Querschnittsansicht einer Gasverteilungselektrodenanordnung dar, die eine obere Elektrode eines kapazitiv gekoppelten Plasmareaktors zum Ätzen von Substraten bildet, die einen Schutzring aufweist.
  • 2A ist eine dreidimensionale Darstellung einer beispielhaften Nockenarretierung zum Festklemmen einer Stufenelektrode in dem in 1 dargestellten Reaktor.
  • 2B ist eine Querschnittsansicht der beispielhaften Nockenarretierelektrodenfestklemmeinrichtung von 2A.
  • 3 stellt Seitenaufriss- und Zusammenbauzeichnungen eines beispielhaften Arretierstifts dar, der in der Nockenarretierfestklemmeinrichtung der 2A und 2B verwendet wird.
  • 4A stellt Seitenaufriss- und Zusammenbauzeichnungen einer beispielhaften Nockenwelle dar, die in der Nockenarretierfestklemmeinrichtung der 2A und 2B verwendet wird.
  • 4B stellt eine Querschnittsansicht eines beispielhaften Werkzeugbahnrands eines Teils der Nockenwelle von 4A dar.
  • 5A stellt eine Gasverteilungselektrodenanordnung mit einer Stufenelektrode, Trägerplatte, Temperaturregelplatte, Schutzring und Deckenplatte dar.
  • 5B stellt eine Perspektivansicht der Oberseite einer modifizierten Gasverteilungselektrode dar, und
  • 5C stellt eine Perspektivansicht der Unterseite einer modifizierten Trägerplatte dar.
  • Die 6A und 6B sind Perspektivansichten der Stufenelektrode von 5A.
  • 7 ist eine Perspektivansicht einer Trägerplatte von 5A.
  • 8 ist eine Perspektivansicht der Gasverteilungselektrodenanordnung von 5A ohne den Schutzring.
  • 9 ist eine Bodenansicht eines Dichtungselements gemäß einer bevorzugten Ausführungsform.
  • 10 ist eine Seitenansicht des in 9 dargestellten Dichtungselements.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die Herstellung eines IC-Chips beginnt typischerweise mit einer dünnen polierten Kristallscheibe eines hochreinen Einkristallhalbleitermaterialsubstrats (wie z. B. Silicium oder Germanium), die ein ”Wafer” genannt wird. Jeder Wafer wird einer Aufeinanderfolge von physikalischen und chemischen Verarbeitungsschritten unterzogen, die die verschiedenen Schaltungsstrukturen auf dem Wafer bilden. Während des Herstellungsverfahrens können unter Verwendung von verschiedenen Techniken, wie z. B. thermische Oxidation, um Siliciumdioxidfilme zu erzeugen, chemische Abscheidung aus der Gasphase, um Silicium-, Siliciumdioxid- und Siliciumnitridfilme zu erzeugen, und Sputtern oder anderen Techniken, um andere Metallfilme zu erzeugen, verschiedene Typen von Dünnfilmen auf dem Wafer abgelagert werden.
  • Nach Ablagerung eines Films auf dem Halbleiterwafer werden die einzigartigen elektrischen Eigenschaften von Halbleitern erzeugt, indem unter Verwendung eines Verfahrens, das Dotieren genannt wird, ausgewählte Verunreinigungen in dem Halbleiterkristallgitter substituiert werden. Der dotierte Siliciumwafer kann dann mit einer dünnen Schicht von fotoempfindlichem oder strahlungsempfindlichem Material, das ein ”Resist” genannt wird, gleichförmig beschichtet werden. Kleine geometrische Muster, die Elektronenwege in der Schaltung begrenzen, können dann unter Verwendung eines als Lithografie bekannten Verfahrens auf den Resist übertragen werden. Während des lithografischen Verfahrens können die IC-Muster auf einer als eine ”Maske” bezeichneten Glasplatte gezeichnet werden und dann optisch verkleinert, auf die fotoempfindliche Beschichtung projiziert und übertragen werden.
  • Das lithografierte Resistmuster wird dann auf die darunter liegende kristalline Oberfläche des Halbleitermaterials durch ein Verfahren übertragen, das als Ätzen bekannt ist. Vakuumverarbeitungskammern werden im Allgemeinen zum Ätzen und zur chemischen Abscheidung aus der Gasphase (CVD) von Materialien auf Substraten verwendet, indem ein Ätz- oder Ablagerungsgas zur Vakuumkammer zugeführt wird und ein Radiofrequenz(RF)-Feld an das Gas angelegt wird, um das Gas in einen Plasmazustand zu aktivieren.
  • Ein reaktives Ionenätzsystem besteht typischerweise aus einer Ätzkammer mit einer oberen Elektrode oder Anode und einer unteren Elektrode oder Kathode, die darin positioniert sind. Die Kathode ist in Bezug zur Anode und zu den Behälterwänden negativ vorgespannt. Der zu ätzende Wafer wird durch eine geeignete Maske bedeckt und direkt auf die Kathode platziert. Ein chemisch reaktives Gas, wie z. B. CF4, CHF3, CClF3, HBr, Cl2 und SF6 oder Mischungen davon mit O2, N2, He oder Ar, wird in die Ätzkammer eingeleitet und bei einem Druck gehalten, der typischerweise im Millitorrbereich liegt. Die obere Elektrode ist mit einem Gasloch (Gaslöchern) versehen, das (die) ermöglicht (lichen), dass das Gas durch die Elektrode in die Kammer gleichförmig verteilt wird. Das zwischen der Anode und der Kathode erstellte elektrische Feld dissoziiert das reaktive Gas, das ein Plasma bildet. Die Oberfläche des Wafers wird durch chemische Wechselwirkung mit den aktiven Ionen und durch Momentübertragung der Ionen, die auf die Oberfläche des Wafers auftreffen, geätzt. Das durch die Elektroden erzeugte elektrische Feld zieht die Ionen zur Kathode an, wobei bewirkt wird, dass die Ionen die Oberfläche in einer vorherrschend vertikalen Richtung treffen, so dass das Verfahren wohldefinierte vertikal geätzte Seitenwände erzeugt. Die Ätzreaktorelektroden können häufig hergestellt werden, indem zwei oder mehr unterschiedliche Bauelemente mit mechanisch nachgebenden und/oder wärmeleitenden Klebemitteln gebunden werden, wobei eine Funktionsmannigfaltigkeit ermöglicht wird.
  • 1 stellt eine Querschnittsansicht eines Teils einer Gasverteilungselektrodenanordnung 100 eines Plasmaverarbeitungssystems zum Ätzen von Substraten dar. Wie in 1 dargestellt, umfasst die Gasverteilungselektrodenanordnung 100 eine Stufenelektrode 110, eine Trägerplatte 140 und einen Schutzring (oder äußeren Ring) 170. Die Gasverteilungselektrodenanordnung 100 umfasst auch eine Plasmaeinschlussanordnung (oder Waferbereich-Druck(WAP)-Anordnung) 180, die die äußere Peripherie der oberen Elektrode 110 und der Trägerplatte 140 umgibt.
  • Die Anordnung 100 umfasst auch eine Temperaturregelplatte 102 und eine obere (Decken-)Platte 104, die Flüssigkeitsströmungskanäle darin aufweist und eine temperaturgeregelte Wand der Kammer bildet. Die Stufenelektrode 110 ist vorzugsweise eine zylindrische Platte und kann aus einem leitenden hochreinen Material, wie z. B. einkristallinem Silicium, polykristallinem Silicium, Siliciumcarbid oder einem anderen geeigneten Material (wie z. B. Aluminium oder dessen Legierung, eloxiertem Aluminium, Yttriumoxid-beschichtetem Aluminium) hergestellt sein. Die Trägerplatte 140 ist mit unten beschriebenen mechanischen Befestigungseinrichtungen an der Elektrode 110 mechanisch gesichert. Der Schutzring 170 umgibt die Trägerplatte 140 und gewährt einen Zugriff auf Nockenarretierelemente, wie unten beschrieben.
  • Die Gasverteilungselektrodenanordnung 100, wie in 1 dargestellt, wird typischerweise mit einem elektrostatischen Halter (nicht dargestellt) einschließlich einer ebenen unteren Elektrode verwendet, auf der ein Wafer bei einem Abstand von etwa 1 bis 2 cm unter der oberen Elektrode 110 getragen wird. Ein Beispiel für ein solches Plasmaverarbeitungssystem ist ein Reaktor vom Parallelplattentyp, wie z. B. die Exelan®-Dielektrika-Ätzsysteme, die von der Lam Research Corporation of Fremont, Kalifornien, hergestellt werden. Solche Halteranordnungen liefern eine Temperaturregelung des Wafers, indem für einen rückseitigen Helium(He)-Druck gesorgt wird, der die Wärmedurchgangszahl zwischen dem Wafer und dem Halter steuert.
  • Die obere Elektrode 110 ist ein selbstverzehrendes Teil, das regelmäßig ersetzt werden muss. Um Prozessgas zum Spalt zwischen dem Wafer und der oberen Elektrode zuzuführen, ist die obere Elektrode 110 mit Gasausflussdurchlässen 106 versehen, die von einer Größe und Verteilung sind, die geeignet sind, um ein Prozessgas zuzuführen, das durch die Elektrode aktiviert wird und in einer Reaktionszone unterhalb der oberen Elektrode 110 ein Plasma bildet.
  • Die Gasverteilungselektrodenanordnung 100 umfasst auch eine Plasmaeinschlussanordnung (oder Waferbereich-Plasma(WAP)-Anordnung) 180, die die äußere Peripherie der oberen Elektrode 110 und der Trägerplatte 140 umgibt. Die Plasmaeinschlussanordnung 180 besteht vorzugsweise aus einem Stapel oder einer Mehrzahl von räumlich getrennten Quarzringen 190, die die äußere Peripherie der oberen Elektrode 110 und der Trägerplatte 140 umgeben. Während einer Verarbeitung bewirkt die Plasmaeinschlussanordnung 180 einen Druckunterschied in der Reaktionszone und erhöht den elektrischen Widerstand zwischen den Reaktionskammerwänden und dem Plasma, wodurch das Plasma zwischen der oberen Elektrode 110 und der unteren Elektrode (nicht dargestellt) eingeschlossen wird.
  • Während eines Gebrauchs beschränken die Einschlussringe 190 das Plasma auf das Kammervolumen und steuern den Druck des Plasmas in der Reaktionskammer. Die Beschränkung des Plasmas auf die Reaktionskammer ist eine Funktion von vielen Faktoren, einschließlich des Zwischenraums zwischen den Einschlussringen 190, dem Druck in der Reaktionskammer außerhalb der Einschlussringe und in dem Plasma, dem Typ und Durchsatz des Gases, sowie dem Niveau und der Frequenz von RF-Leistung. Ein Einschluss des Plasmas wird leichter erreicht, wenn der Zwischenraum zwischen den Einschlussringen 190 sehr klein ist. Typischerweise wird ein Zwischenraum von 3,81 mm (0,15 Inch) oder weniger zum Einschluss benötigt. Jedoch bestimmt der Zwischenraum der Einschlussringe 190 auch den Druck des Plasmas, und es ist wünschenswert, dass der Zwischenraum eingestellt werden kann, um den Druck zu erzielen, der zur optimalen Verfahrensausführung bei Aufrechterhaltung eines Plasmas erforderlich ist. Prozessgas von einer Gasversorgung wird durch einen oder mehrere Durchlässe in der oberen Platte 104 zur Elektrode 110 zugeführt, die ermöglichen, dass Prozessgas zu einer einzigen Zone oder mehreren Zonen über dem Wafer zugeführt wird.
  • Die Elektrode 110 ist vorzugsweise eine plane Scheibe oder Platte mit einer gleichförmigen Dicke von einer Mitte (nicht dargestellt) zu einem Bereich von erhöhter Dicke, der eine Stufe auf der zum Plasma hin freiliegenden Oberfläche bildet, die sich von einem äußeren Rand einwärts erstreckt. Die Elektrode 110 weist vorzugsweise einen Durchmesser auf, der größer als ein zu verarbeitender Wafer ist, z. B. über 300 mm. Der Durchmesser der oberen Elektrode 110 kann von etwa 381 bis 432 mm (15 Inch bis etwa 17 Inch) reichen, um 300 mm-Wafer zu verarbeiten. Die obere Elektrode 110 umfasst vorzugsweise mehrere Gasdurchlässe 106, um ein Prozessgas in einen Raum in einer Plasmareaktionskammer unter der oberen Elektrode 110 einzuspeisen.
  • Einkristallines Silicium und polykristallines Silicium sind bevorzugte Materialien für zum Plasma hin freiliegende Oberflächen der Elektrode 110. Hochreines einkristallines oder polykristallines Silicium minimiert eine Verunreinigung von Substraten während einer Plasmaverarbeitung, da es nur eine minimale Menge von unerwünschten Elementen in die Reaktionskammer einführt und während einer Plasmaverarbeitung auch unmerklich verschleißt, wodurch Teilchen minimiert werden. Alternative Materialien einschließlich Zusammensetzungen von Materialien, die für zum Plasma hin freiliegende Oberflächen der oberen Elektrode 110 verwendet werden können, umfassen z. B. Aluminium (wie hierin verwendet, bezieht sich ”Aluminium” auf reines Al und dessen Legierungen), Yttriumoxid-beschichtetes Aluminium, SiC, SiN und AlN.
  • Die Trägerplatte 140 ist vorzugsweise aus einem Material hergestellt, das chemisch mit Prozessgasen verträglich ist, die zum Verarbeiten von Halbleitersubstraten in der Plasmaverarbeitungskammer verwendet werden, einen thermischen Ausdehnungskoeffizienten aufweist, der demjenigen des Elektrodenmaterials genau entspricht, und/oder strom- und wärmeleitend ist. Bevorzugte Materialien, die verwendet werden können, um die Trägerplatte 140 herzustellen, umfassen, sind aber nicht darauf beschränkt, Grafit, SiC, Aluminium (Al) oder andere geeignete Materialien.
  • Die obere Elektrode 110 ist ohne jegliches Kleben zwischen der Elektrode und Trägerplatte mechanisch an der Trägerplatte 140 angebracht, d. h. es wird kein wärme- und stromleitendes elastomeres Bindemittel verwendet, um die Elektrode an der Trägerplatte anzubringen.
  • Die Trägerplatte 140 ist mit geeigneten mechanischen Befestigungseinrichtungen, die Gewindebolzen, Schrauben oder dergleichen sein können, vorzugsweise an der Temperatur regelplatte 102 angebracht. Z. B. können Bolzen (nicht dargestellt) in Löchern in der Temperaturregelplatte 102 eingesetzt sein und in Gewindeöffnungen in der Trägerplatte 140 eingeschraubt sein. Die Temperaturregelplatte 102 umfasst einen Krümmteil 184 und ist vorzugsweise aus einem maschinell bearbeiteten metallischen Werkstoff hergestellt, wie z. B. Aluminium, einer Aluminiumlegierung oder dergleichen. Die obere temperaturgeregelte Platte 104 ist vorzugsweise aus Aluminium oder einer Aluminiumlegierung hergestellt. Die Plasmaeinschlussanordnung (oder Waferbereich-Plasmaanordnung (WAP)) 180 ist außen an der Gasverteilungselektrodenanordnung 100 positioniert. Eine geeignete Plasmaeinschlussanordnung 180 einschließlich einer Mehrzahl von vertikal einstellbaren Plasmaeinschlussringen 190 ist in dem in unserem Besitz befindlichen US-Patent No. 5,534,751 beschrieben, das in seiner Gesamtheit durch Bezug hierin aufgenommen wird.
  • Die obere Elektrode kann durch einen Nockenarretiermechanismus an der Trägerplatte mechanisch angebracht werden, wie in der in unserem Besitz befindlichen US-Anmeldung Serial No. 61/036,862 beschrieben, die am 14. März 2008 eingereicht wurde, deren Offenbarung durch Bezug hierdurch aufgenommen wird. Mit Bezug auf 2A umfasst eine dreidimensionale Ansicht einer beispielhaften Nockenarretierelektrodenfestklemmeinrichtung Teile einer Elektrode 201 und einer Trägerplatte 203. Die Elektrodenfestklemmeinrichtung kann in den verschiedensten mit einer Fertigung in Beziehung stehenden Geräten, wie z. B. der in 1 dargestellten Plasmaätzkammer, eine selbstverzehrende Elektrode 201 schnell, sauber und genau an einer Trägerplatte anbringen.
  • Die Elektrodenfestklemmeinrichtung umfasst einen Zapfen (Arretierstift) 205, der in einem Sockel 213 eingebaut ist. Der Zapfen kann durch einen Tellerfederstapel 215 umgeben sein, wie beispielsweise Edelstahl-Belleville-Federscheiben. Der Zapfen 205 und der Tellerfederstapel 215 können dann durch die Verwendung von Klebemitteln oder mechanischen Befestigungseinrichtungen durch Presssitz in den Sockel 213 eingepasst oder anders darin befestigt werden. Der Zapfen 205 und der Tellerfederstapel 215 sind im Sockel 213 so angeordnet, dass ein beschränkter Betrag einer lateralen Bewegung zwischen der Elektrode 201 und der Trägerplatte 203 möglich ist. Beschränken des Betrags einer lateralen Bewegung ermöglicht eine Feinpassung zwischen der Elektrode 201 und der Trägerplatte 203, wodurch ein guter Wärmekontakt sichergestellt ist, während doch noch für eine gewisse Bewegung gesorgt ist, um Unterschieden in einer Wärmeausdehnung zwischen den zwei Teilen Rechnung zu tragen. Zusätzliche Einzelheiten über das beschränkte laterale Bewegungsmerkmal werden in größerer Einzelheit unten erörtert.
  • In einer spezifischen beispielhaften Ausführungsform ist der Sockel 213 aus Torlon® von Lager-Güteklasse hergestellt. Alternativ kann der Sockel 213 aus anderen Materialien hergestellt sein, die gewisse mechanische Eigenschaften besitzen, wie z. B. gute Festigkeit und Schlagfestigkeit, Kriechfestigkeit, Dimensionsstabilität, Strahlungsbeständigkeit und chemische Beständigkeit können leicht eingesetzt werden. Verschiedene Materialien, wie z. B. Polyamide, Polyimide, Acetale und Polyethylen-Materialien von ultrahohem Molekulargewicht können alle geeignet sein. Hochtemperaturspezifische Kunststoffe und andere verwandte Materialien sind zur Bildung des Sockels 213 nicht erforderlich, da 230°C eine typische Maximaltemperatur ist, die in Anwendungen, wie z. B. Ätzkammern, angetroffen wird. Allgemein liegt eine typische Betriebstemperatur näher bei 130°C.
  • Andere Teile der Elektrodenfestklemmeinrichtung bestehen aus einer Nockenwelle 207, die an jedem Ende durch ein Paar von Nockenwellenlagern 209 umgeben wird. Die Anordnung aus Nockenwelle 207 und Nockenwellenlager ist in eine Trägerplattenbohrung 211 eingebaut, die in die Trägerplatte 203 maschinell eingearbeitet ist. In einer typischen Anwendung für eine Ätzkammer, die für 300 mm-Halbleiterwafer ausgelegt ist, können acht oder mehr der Elektrodenfestklemmeinrichtungen um die Peripherie der Elektroden 201/Trägerplatten 203-Kombination räumlich angeordnet sein.
  • Die Nockenwellenlager 209 können aus den verschiedensten Materialien maschinell hergestellt sein, einschließlich Torlon®, Vespel®, Celcon®, Delrin®, Teflon®, Arlon® oder anderen Materialien, wie z. B. Fluorpolymeren, Acetalen, Polyamiden, Polyimiden, Polytetrafluorethylenen und Polyetheretherketonen (PEEK) mit einem niedrigen Reibungskoeffizienten und einer niedrigen Teilchenablösung. Der Zapfen 205 und die Nockenwelle 207 können aus Edelstahl (z. B. 316, 316L, 17-7 usw.) oder einem beliebigen anderen Material maschinell gefertigt sein, wobei gute Festigkeit und Korrosionsbeständigkeit geliefert werden.
  • Mit Bezug nun auf 2B erläutert eine Querschnittsansicht der Elektroden-Nockenfestklemmeinrichtung weiter, wie die Nockenfestklemmeinrichtung arbeitet, indem die Elektrode 201 in enge Nachbarschaft zur Trägerplatte 203 gezogen wird. Die Zapfen 205/Tellerfederstapel 215/Sockel 213-Anordnung wird in die Elektrode 201 eingebaut. Wie dargestellt, kann die Anordnung mittels Außengewinden auf dem Sockel 213 in eine Gewindetasche in der Elektrode 201 eingeschraubt werden. Jedoch kann der Sockel durch Klebemittel oder andere Typen von mechanischen Befestigungseinrichtungen ebenso gut montiert werden.
  • In 3 liefert eine Aufriss- und Zusammenbauansicht 300 des Zapfens 205 mit einem vergrößerten Kopf, Tellerfederstapels 215 und Sockels 213 eine weitere Einzelheit bei einer beispielhaften Konstruktion der Nockenarretierelektro denfestklemmeinrichtung. In einer spezifischen beispielhaften Ausführungsform wird eine Zapfen/Tellerfeder-Anordnung 301 durch Presssitz in den Sockel 213 eingepasst. Der Sockel 213 weist ein Außengewinde und ein hexagonales Deckenelement auf, das eine leichte Einsetzung in die Elektrode 201 (siehe die 2A und 2B) mit einem leichten Drehmoment (z. B. in einer spezifischen beispielhaften Ausführungsform etwa 2,26 Nm (20 Inch-Pounds) ermöglicht. Wie oben angegeben, kann der Sockel 213 aus verschiedenen Typen von Kunststoffen maschinell gefertigt sein. Eine Verwendung von Kunststoffen minimiert eine Teilchenerzeugung und ermöglicht eine scheuerfreie Montage des Sockels 213 in einer passenden Tasche auf der Elektrode 201.
  • Die Zapfen/Sockel-Anordnung 303 veranschaulicht, dass ein Innendurchmesser in einem oberen Teil des Sockels 213 größer als ein Außendurchmesser eines Abschnittmittelteils des Zapfens 205 ist. Der Unterschied in Durchmessern zwischen den zwei Teilen ermöglicht eine begrenzte laterale Bewegung in der zusammengebauten Elektrodenfestklemmeinrichtung, wie oben erörtert. Die Zapfen/Tellerfeder-Anordnung 301 wird an einem Basisteil des Sockels 213 in starrem Kontakt mit dem Sockel 213 gehalten, während der Unterschied in Durchmessern eine gewisse laterale Bewegung ermöglicht. (Siehe auch 2B.)
  • Mit Bezug auf 4A zeigt eine auseinandergezogene Ansicht 400 der Nockenwelle 207 und der Nockenwellenlager 209 auch einen Verkeilstift 401. Das Ende der Nockenwelle 207 mit dem Verkeilstift 401 wird zuerst in die Trägerplattenbohrung 211 eingesetzt (siehe 2B). Ein Paar von kleinen passenden Löchern (nicht dargestellt) an einem entfernten Ende der Trägerplattenbohrung 211 sorgt für eine richtige Ausrichtung der Nockenwelle 207 in der Trägerplattenbohrung 211. Eine Seitenaufrissansicht 420 der Nockenwelle 207 zeigt deutlich eine mögliche Platzierung einer hexagonalen Öffnung 403 auf einem Ende der Nockenwelle 207 und des Verkeilstifts 401 auf dem entgegengesetzten Ende.
  • Mit fortgesetztem Bezug auf die 4A und 2B wird z. B. die Elektroden-Nockenfestklemmeinrichtung zusammengebaut, indem die Nockenwelle 207 in die Trägerplattenbohrung 211 eingesetzt wird. Der Verkeilstift 401 beschränkt eine Drehwegstrecke der Nockenwelle 207 in der Trägerplattenbohrung 211 durch Koppeln mit einem von den Paaren von kleinen passenden Löchern. Die Nockenwelle kann durch Verwendung der hexagonalen Öffnung 403 zuerst in einer Richtung, z. B. gegen den Uhrzeigersinn, gedreht werden, um einen Eintritt des Zapfens 205 in die Nockenwelle 207 zu ermöglichen, und dann im Uhrzeigersinn gedreht werden, um den Zapfen 205 voll in Eingriff zu nehmen und zu arretieren. Die Festklemmkraft, die erforderlich ist, um die Elektrode 201 an der Trägerplatte 203 zu halten, wird geliefert, indem der Tellerfederstapel 215 weiter als seine freie Stapelhöhe zusammengepresst wird. Die Nockenwelle 207 weist einen internen exzentrischen Innenausschnitt auf, der mit dem vergrößerten Kopf der Welle 205 in Eingriff tritt. Wenn der Tellerfederstapel 215 zusammengedrückt wird, wird die Klemmkraft von einzelnen Federn im Tellerfederstapel 215 zum Sockel 213 und durch die Elektrode 201 zur Trägerplatte 203 übertragen.
  • In einem beispielhaften Betriebsmodus wird, sobald die Nockenwellenlager an der Nockenwelle 207 angebracht sind und in die Trägerplattenbohrung 211 eingesetzt sind, die Nockenwelle 207 gegen den Uhrzeigersinn bis zu ihrer maximalen Drehwegstrecke gedreht. Die Zapfen/Sockel-Anordnung 303 (3) wird dann unter Anwendung einer Drehkraft leicht in die Elektrode 201 eingeschraubt. Der Kopf des Zapfens 205 wird dann in das vertikal verlaufende Durchgangsloch unter der horizontal verlaufenden Trägerplattenbohrung 211 eingesetzt. Die Elektrode 201 wird gegen die Trägerplatte 203 gehalten, und die Nockenwelle 207 wird im Uhrzeigersinn gedreht, bis sich entweder der Verkeilstift in das zweite der zwei kleinen passenden Löcher (nicht dargestellt) senkt oder ein vernehmbares Klicken gehört wird (in Einzelheit unten erörtert). Der beispielhafte Betriebsmodus kann umgekehrt werden, um die Elektrode 201 von der Trägerplatte 203 zu demontieren. Jedoch sind Merkmale, wie z. B. das vernehmbare Klicken bei der Nockenarretieranordnung fakultativ.
  • Mit Bezug auf 4B stellt eine Schnittansicht A-A der Seitenaufrissansicht 420 der Nockenwelle 207 von 4A einen Werkzeugbahnrand 440 dar, durch den der Kopf des Zapfens 205 voll gesichert wird. In einer spezifischen beispielhaften Ausführungsform werden die zwei Radien R1 und R2 so gewählt, dass der Kopf des Zapfens 205 das fakultative vernehmbare Klickgeräusch macht, das oben beschrieben ist, um anzuzeigen, wenn der Zapfen 205 voll gesichert ist.
  • 5A veranschaulicht eine obere Elektrodenanordnung 500 für eine kapazitiv gekoppelte Plasmakammer, die die folgenden Merkmale umfasst: (a) eine nockenarretierte nicht gebundene Elektrode 502; (b) eine Trägerplatte 506; und (c) einen Schutzring 508, der einen Zugriff auf Nockenarretierungen ermöglicht, die die Elektrode an der Trägerplatte 506 halten.
  • Die Elektrodenanordnung 500 umfasst eine Temperaturregelplatte 510, die von außerhalb der Kammer an eine temperaturgeregelte Deckenwand 512 der Kammer gebolzt ist. Die Elektrode 502 ist durch Nockenarretiermechanismen 514, die früher mit Bezug auf die 24 beschrieben sind, von innerhalb der Kammer lösbar an der Trägerplatte angebracht.
  • In einer bevorzugten Ausführungsform kann die Elektrode 502 der Elektrodenanordnung 500 demontiert werden durch: (a) Drehen des Schutzrings 508 zu einer ersten Position, wobei vier Löcher im Schutzring mit vier Nockenarretierungen 514 ausgerichtet werden, die an beabstandeten Positionen im äußeren Teil der Trägerplatte angeordnet sind; (b) Einsetzen eines Werkzeugs, wie z. B. eines Sechskantsteckschlüssels, durch jedes Loch im Schutzring und Drehen jeder Nockenarretierung, um einen vertikal sich erstreckenden Arretierstift von jeder respektiven Nockenarretierung zu lösen; (c) Drehen des Schutzrings um 90° zu einer zweiten Position, wobei die vier Löcher im Schutzring mit vier anderen Nockenarretierungen ausgerichtet werden; und (d) Einsetzen eines Werkzeugs, wie z. B. eines Sechskantsteckschlüssels durch jedes Loch im Schutzring und Drehen jeder jeweiligen Nockenarretierung, um einen Arretierstift jeder jeweiligen Nockenarretierung freizugeben; wodurch die Elektrode 502 abgesenkt und aus der Plasmakammer entfernt werden kann.
  • 5A stellt auch eine Querschnittsansicht von einer von den Nockenarretieranordnungen dar, wobei sich eine drehbare Nockenarretierung 514 in einer horizontal verlaufenden Bohrung 560 in einem äußeren Teil der Trägerplatte 506 befindet. Die zylindrische Nockenarretierung 514 ist durch ein Werkzeug, wie z. B. einen Sechskantsteckschlüssel drehbar zu: (a) einer Arretierposition, bei der ein vergrößertes Ende eines Arretierstifts 562 durch eine Nockenoberfläche der Nockenarretierung 514 in Eingriff genommen wird, die den vergrößerten Kopf des Arretierstifts hochhebt, oder (b) einer Freigabeposition, bei der der Arretierstift 562 nicht durch die Nockenarretierung 514 in Eingriff genommen wird. Die Trägerplatte umfasst vertikal verlaufende Bohrungen in ihrer Unterseite, durch die die Arretierstifte eingesetzt werden, um mit den Nockenarretierungen in Eingriff zu treten.
  • In der in 5A dargestellten Ausführungsform greift eine äußere Stufe in der Trägerplatte 506 in eine ringförmig ausgesparte Montageoberfläche auf der Oberseite der Gasverteilungselektrode 502 ein. In einer alternativen Anordnung können die Stufe und die Aussparung weggelassen werden, so dass die Unterseite der Trägerplatte und die Oberseite der Gasverteilungselektrode plane Oberflächen sind. 5B stellt einen Querschnitt einer modifizierten Gasverteilungselektrode 502A mit einer ebenen oberen Oberfläche 522A, fünf Ausrichtstiftlöchern 520A, acht Taschen 550A, Gaslöchern 528A und zwei Aussparungen 520B zum Ineinandergreifen mit Vorsprüngen eines Dichtungselements dar, das sich zwischen der dritten und vierten Reihe von Gaslöchern befindet. 5C stellt eine modifizierte Trägerplatte 506A mit einer planen unteren Oberfläche 522B, fünf Ausrichtstiftlöchern 520C, acht Nockenarretierungen 514B und ringförmigen Dichtungselementaufnahmeoberflächen G1 und G2 dar.
  • Die 6A–B stellen Einzelheiten der Elektrode 502 dar. Die Elektrode 502 ist vorzugsweise eine Platte von Einkristallsilicium hoher Reinheit (weniger als 10 ppm Verunreinigungen), niedrigem spezifischem elektrischem Widerstand (0,005 bis 0,02 Ohm-cm) mit Ausrichtstiftlöchern 520 in einer Oberseite (Montageoberfläche) 522, die Ausrichtstifte 524 aufnehmen. Gaslöcher 528 verlaufen von der Oberseite zur Unterseite (der zum Plasma hin freiliegenden Oberfläche) 530 und können in einem beliebigen geeigneten Muster angeordnet sein. In der dargestellten Ausführungsform sind die Gaslöcher in 13 in Umfangsrichtung verlaufenden Reihen angeordnet, wobei sich 3 Gaslöcher in der ersten Reihe etwa 12,7 mm (0,5 Inch) von der Mitte der Elektrode befinden, sich 13 Gaslöcher in der zweiten Reihe etwa 35,56 mm (1,4 Inch) von der Mitte befinden, sich 23 Gaslöcher in der dritten Reihe etwa 63,5 mm (2,5 Inch) von der Mitte befinden, sich 25 Gaslöcher in der vierten Reihe etwa 99,06 mm (3,9 Inch) von der Mitte befinden, sich 29 Gaslöcher in der fünften Reihe etwa 116,8 mm (4,6 Inch) von der Mitte befinden, sich 34 Gaslöcher in der sechsten Reihe etwa 137,2 mm (5,4 Inch) von der Mitte befinden, sich 39 Gaslöcher in der siebten Reihe etwa 152,4 mm (6 Inch) von der Mitte befinden, sich 50 Gaslöcher in der achten Reihe etwa 190,5 mm (7,5 Inch) von der Mitte befinden, sich 52 Gaslöcher in der neunten Reihe etwa 208,3 mm (8,2 Inch) von der Mitte befinden, sich 53 Gaslöcher in der zehnten Reihe etwa 228,6 mm (9 Inch) von der Mitte befinden, sich 57 Gaslöcher in der elften Reihe etwa 261,6 mm (10,3 Inch) von der Mitte befinden, sich 59 Gaslöcher in der zwölften Reihe etwa 276,86 mm (10,9 Inch) von der Mitte befinden und sich 63 Löcher in der dreizehnten Reihe etwa 289,56 mm (11,4 Inch) von der Mitte befinden.
  • In einer alternativen Anordnung können 562 Gaslöcher angeordnet sein, wobei sich 4 Löcher in der ersten Reihe 6,35 mm (0,25 Inch) von der Mitte befinden, sich 10 Löcher in einer zweiten Reihe etwa 18,29 mm (0,72 Inch) von der Mitte befinden, 20 Löcher in einer dritten Reihe etwa 31,75 mm (1,25 Inch) von der Mitte, 26 Löcher in einer vierten Reihe etwa 49,02 mm (1,93 Inch) von der Mitte, 30 Löcher in einer fünften Reihe etwa 58,42 mm (2,3 Inch) von der Mitte, 36 Löcher in einer sechsten Reihe etwa 67,82 mm (2,67 Inch) von der Mitte, 40 Löcher in einer siebten Reihe etwa 76,2 mm (3,0 Inch) von der Mitte, 52 Löcher in einer achten Reihe etwa 94,74 mm (3,73 Inch) von der Mitte, 58 Löcher in einer neunten Reihe etwa 104,14 mm (4,1 Inch) von der Mitte, 62 Löcher in einer zehnten Reihe etwa 113,8 mm (4,48 Inch) von der Mitte, 70 Löcher in einer elften Reihe etwa 131,32 mm (5,17 Inch) von der Mitte, 74 Löcher in einer zwölften Reihe etwa 138,176 mm (5,44 Inch) von der Mitte und 80 Löcher in einer dreizehnten Reihe etwa 145,034 mm (5,71 Inch) von der Mitte.
  • In der in 5A dargestellten Ausführungsform umfasst die Oberseite der Elektrode 9 Ausrichtstiftlöcher, wobei sich 3 Stiftlöcher in der Nähe der Mitte, 3 Stiftlöcher einwärts der ringförmigen Aussparung und 3 Stiftlöcher in der ringförmigen Aussparung in der Nähe des äußeren Rands der Elektrode befinden. Die 3 mittigen Stiftlöcher sind radial ausgerichtet und umfassen ein Stiftloch in der Mitte der inneren Elektrode und 2 Stiftlöcher zwischen der dritten und vierten Reihe von Gaslöchern. Die dazwischenliegenden Stiftlöcher in der Nähe der ringförmigen Aussparung umfassen ein Stiftloch, das mit dem mittigen Stiftloch radial ausgerichtet ist, und zwei andere Stiftlöcher, die im Winkelabstand um 120° getrennt sind. Die äußeren 3 Stiftlöcher sind an Stellen zwischen benachbarten Taschen im Winkelabstand um 120° getrennt.
  • 6A ist eine Vorderseitenperspektivansicht, die die zum Plasma hin freiliegende Oberfläche 530 der Elektrode 502 mit den 13 Reihen von Gaslöchern darstellt. 6B stellt eine Perspektivansicht der Oberseite mit den 13 Reihen von Gaslöchern dar.
  • Die Elektrode 502 umfasst: eine äußere Stufe (einen vorspringenden Rand) 536, die den Schutzring 508 trägt, die Oberseite (Montageoberfläche) 522, die mit einer unteren Oberfläche der Trägerplatte 506 im Eingriff steht, die Unterseite (die zum Plasma hin freiliegende Stufenoberfläche) 530, die eine innere konisch verlaufende Oberfläche 544, eine horizontale Oberfläche 546 und eine äußere konisch verlaufende Oberfläche 548 umfasst, und 8 Taschen 550 in einer Oberseite 540, in der die Arretierstifte montiert sind.
  • 7 ist eine Perspektivansicht der Trägerplatte 506. Die Trägerplatte umfasst 13 Reihen von Gasdurchlässen 584, die sich mit den Durchlässen 528 in der Gasverteilungselektrode 502 ausrichten. Die Oberseite 586 der Trägerplatte umfasst drei ringförmige Bereiche 588a, 588b, 588c, die ringförmige Vorsprünge der Temperaturregelplatte 510 berühren. Die Temperaturregelplatte kann an der Deckenwand der Plasmakammer durch Befestigungseinrichtungen angebracht werden, die sich durch die Deckenwand in die Temperaturregelplatte erstrecken, wie in den Veröffentlichungen Nos. 2005/0133160 , 2007/0068629 , 2007/0187038 , 2008/0087641 und 2008/0090417 der gemeinsam übertragenen US-Patente offenbart, deren Offenbarungen hierdurch in ihrer Gesamtheit aufgenommen werden. Gewindeöffnungen 590 befinden sich in einer äußeren Peripherie der Oberseite 586 und den ringförmigen Bereichen 588a, 588b, 588c, um Befestigungseinrichtungen aufzunehmen, die sich durch Öffnungen in der Deckenplatte 512 und Temperaturregelplatte 510 erstrecken, um die Trägerplatte 506 in Kontakt mit der Temperaturregelplatte 510 zu halten. Siehe z. B. die Veröffentlichung No. 2008/0087641 des gemeinsam übertragenen US-Patents für eine Beschreibung von Befestigungseinrichtungen, die einer Temperaturwechselbeanspruchung Rechnung tragen können. Eine Nut 592 in der Oberseite 586 nimmt einen O-Ring auf, der eine Gasdichtung zwischen der Trägerplatte 506 und der Temperaturregelplatte 510 liefert. Ausrichtstiftbohrungen 594 in der Oberseite 586 nehmen Ausrichtstifte auf, die in Ausrichtstiftbohrungen in der Temperaturregelplatte passen. Horizontal verlaufende Gewindeöffnungen 561 an Positionen zwischen den Bohrungen 560 nehmen dielektrische Befestigungseinrichtungen auf, die verwendet werden, um zu verhindern, dass sich der Schutzring dreht, und um die Zugriffsbohrungen im Schutzring nach Zusammenbau der Gasverteilungselektrode zu verstopfen.
  • 8 ist eine Perspektivansicht der Gasverteilungselektrodenanordnung 500, wobei der Schutzring entfernt ist. Wie früher erklärt, kann der Schutzring zu einer oder mehreren Anordnungspositionen gedreht werden, bei denen die Nockenarretierungen in Eingriff genommen und zu einer Arretierposition gedreht werden können, bei der dielektrische Befestigungseinrichtungen in Öffnungen 561 eingesetzt werden können, um den Schutzring außer Kontakt mit der äußeren Peripherie der Trägerplatte zu halten und folglich eine Wärmeausdehnung der Trägerplatte zu ermöglichen. Die Temperaturregelplatte umfasst einen Flansch 595 mit Öffnungen 596, durch die Aktuatoren die Plasmaeinschlussringe tragen. Einzelheiten der Montageanordnung von Plasmaeinschlussringanordnungen können in den Veröffentlichungen No. 2006/0207502 und 2006/0283552 der gemeinsam übertragenen US-Patente gefunden werden, deren Offenbarungen hierdurch in ihrer Gesamtheit aufgenommen werden.
  • Die Montageoberfläche 522 der Elektrode liegt an einer gegenüberliegenden Oberfläche der Trägerplatte 506 an, was auf die Festklemmkraft zurückzuführen ist, die durch die 8 Arretierstifte ausgeübt wird, die durch die 8 Nockenarretierungen in der Trägerplatte gehalten werden. Der Schutzring 508 bedeckt die Montagelöcher in der Trägerplatte 506, und die Zugriffsöffnungen im Schutzring sind mit entfernbaren Einsätzen gefüllt, die aus plasmaresistentem Polymermaterial hergestellt sind, wie z. B. Torlon®, Vespel®, Celcon®, Delrin®, Teflon®, Arlon® oder anderen Materialien, wie z. B. Fluorpolymeren, Acetalen, Polyamiden, Polyimiden, Polytetrafluorethylenen und Polyetheretherketonen (PEEK), die einen niedrigen Reibungskoeffizienten und eine geringe Teilchenablösung aufweisen.
  • Mit Bezug auf 5A wird ein elektrischer Kontakt zwischen der Trägerplatte 506 und der Elektrode 502 durch ein oder mehrere Dichtungselemente 556 geliefert, wie z. B. ringförmige Abschnitte eines geeigneten Materials, wie z. B. ”Q-PAD II”, das von der Bergquist Company erhältlich ist. Solche Dichtungselemente befinden sich an der äußeren Peripherie der Elektrode und an einer oder mehreren Stellen zwischen dem mittigen Ausrichtstift und dem äußeren Dichtungselement. Z. B. können ringförmige Dichtungselemente mit Durchmessern von etwa 101,6 und 304,8 mm (4 und 12 Inch) verwendet werden. Die in unserem Besitz befindliche US-Anmeldung Serial No. 11/896,375, eingereicht am 31. August 2007, deren Offenbarung durch Bezug hierdurch aufgenommen wird, umfasst Einzelheiten von Dichtungselementen, die aus Q-PAD-Material hergestellt sind. Um unterschiedliche Prozessgasmischungen und/oder Durchsätze zu liefern, können eine oder mehrere fakultative Gasunterteilungsdichtungen zwischen dem mittigen Ausrichtstift und dem äußeren Dichtungselement bereitgestellt werden. Z. B. kann ein einziger O-Ring zwischen der Elektrode 502 und der Trägerplatte 506 an einer Stelle zwischen dem inneren und äußeren Dichtungselement bereitgestellt werden, um eine innere Gasverteilungszone von einer äußeren Gasverteilungszone zu trennen. Ein O-Ring 558, der sich zwischen der Elektrode 502 und der Trägerplatte 506 entlang der inneren Peripherie des äußeren Dichtungselements befindet, kann eine Gas- und Teilchendichtung zwischen der Elektrode und Trägerplatte liefern.
  • 9 stellt eine Bodenansicht eines bevorzugten Dichtungselements 900 mit einer Mehrzahl von Ausrichtmerkmalen in der Form von Vorsprüngen 902 auf seiner unteren Oberfläche 904 dar. Die Elektrode 502A umfasst eine Mehrzahl von Aussparungen (520B in 5B), die so dimensioniert sind, dass sie die Vorsprünge auf dem Dichtungselement 900 aufnehmen. In der dargestellten Ausführungsform sind zwei Vorsprünge 902 um 180° auseinander angeordnet, und die Vorsprünge weisen identische zylindrische Formen auf, die in runde Aussparungen 520B in der Elektrode 502A passen, die sich zwischen der dritten und vierten Umfangsreihe von Gasdurchlässen 528A befinden. Die Vorsprünge sind vorzugsweise so dimensioniert, dass sie in den Aussparungen 520B in der Elektrode 502A reibschlüssig in Eingriff genommen werden. Während zylindrische Vorsprünge mit Durchmessern, die größer als die halbe Breite des Dichtungselements sind, in 9 dargestellt sind, können die Vorsprünge eine beliebige gewünschte Form und Abmessung aufweisen, und die Anzahl von Vorsprüngen kann 3, 4, 5, 6, 7, 8 oder mehr betragen, wenn gewünscht. Z. B. kann das Dichtungselement ein ebener Ring von gleichförmiger Dicke von unter 0,254 mm (0,01 Inch) sein, und die Vorsprünge können mindestens 2, 3, 4 oder 5 Mal dicker als die Dicke des ebenen Rings sein. Obwohl die Vorsprünge geformt werden könnten, indem als Einheit ausgebildete Vorsprünge geformt werden oder Teile des ebenen Rings in Vorsprünge deformiert werden, wird es bevorzugt, die Vorsprünge aus einem unterschiedlichen Material mit einer größeren Dicke als der ebene Ring zu bilden und die Vorsprünge an den ebenen Ring mit Klebemittel anzubringen, das in einer Vakuumumgebung einer Plasmaverarbeitungskammer angemessen ist.
  • Das Dichtungselement ist vorzugsweise strom- und wärmeleitend und aus einem Material hergestellt, das vorzugsweise in einer Hochvakuumumgebung, z. B. etwa 10 bis 200 mTorr, nicht entgast, ein Verhalten geringer Teilchenerzeugung aufweist; nachgiebig ist, um Scherbeanspruchung an Kontaktpunkten aufzunehmen; frei von metallischen Komponenten ist, die Lebensdauerverkürzer in Halbleitersubstraten sind, wie z. B. Ag, Ni, Cu und dergleichen. Das Dichtungselement kann eine Silicon-Alufolien-Sandwichdichtungselementstruktur oder eine Elastomer-Edelstahl-Sandwichdichtungselementstruktur sein. Vorzugsweise ist das Dichtungselement ein Aluminiumblech, das auf einer oberen und unteren Seite mit einem wärme- und stromleitenden Kautschuk beschichtet ist, der in einer Hochvakuumumgebung angemessen ist, die bei einer Halbleiterherstellung, bei der Schritte, wie z. B. Plasmaätzen, durchgeführt werden, verwendet wird. Das Dichtungselement ist vorzugsweise nachgiebig, so dass es zusammengepresst werden kann, wenn die Elektrode und Trägerplatte mechanisch zusammengeklemmt werden, aber verhindern kann, dass gegenüberliegende Oberflächen der Elektrode und Trägerplatte während einer Temperaturwechselbeanspruchung der Gasverteilungselektrode gegeneinander reiben.
  • Das in 9 dargestellte Dichtungselement 900 ist vorzugsweise ein Laminat aus strom- und wärmeleitendem Material (wie z. B. ”Q-PAD”-Folienmaterial, das von The Bergquist Company erhältlich ist). Das Dichtungselement 900 für die G1-Stelle in 5C weist vorzugsweise einen Innendurchmesser von etwa 74,422 mm (2,93 Inch), einen Außendurchmesser von etwa 87,122 mm (3,43 Inch) und eine Dicke von etwa 0,1524 mm (0,006 Inch) auf. Dieses Dichtungselement hat zwei Vorsprünge 902, die ein zylindrisches Stück eines Folienmaterials, wie z. B. Siliconkautschuk, mit einem Durchmesser von etwa 4,699 mm (0,185 Inch) und einer Höhe von etwa 0,6604 und 0,8636 mm (0,026 bis 0,034 Inch) umfassen. Die Vorsprünge 902 sind vorzugsweise durch ein geeignetes Klebemittel, wie beispielsweise ein Silicon-Elastomer-Klebemittel, z. B. RTV 3140 Silicon-Klebemittel, das von Dow Corning erhältlich ist, an einer Seite des Dichtungselements 900 geklebt. Das Dichtungselement kann hergestellt werden, indem ein Ring aus einer Folie von Dichtungselementmaterial geschnitten oder gestanzt wird. Ähnlich können die Vorsprünge aus der Folie desselben oder unterschiedlichen Materials, wie z. B. ein elastisches Material, das wärme- und/oder stromleitend sein kann oder auch nicht, geschnitten oder gestanzt werden. Z. B. können die Vorsprünge ein Kautschukmaterial sein, wie z. B. schwarzer Siliconkautschuk, das sich elastisch verformt und reibschlüssig mit den Aussparungen in der Gasverteilungselektrode in Eingriff tritt. Das Dichtungselement 900 kann folglich auf der Gasverteilungselektrode ohne Klebemittel montiert werden, so dass während einer Reinigung oder Ersetzung der Gasverteilungselektrode eine leichte Entfernung des Dichtungselements ermöglicht wird.
  • Während die Erfindung mit Bezug auf spezifische Ausführungsformen derselben in Einzelheit beschrieben worden ist, ist es Fachleuten ersichtlich, dass verschiedene Änderungen und Modifikationen vorgenommen und Äquivalente verwendet werden können, ohne dass man vom Umfang der angefügten Ansprüche abweicht.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • - US 5534751 [0031]
    • - US 2005/0133160 [0054]
    • - US 2007/0068629 [0054]
    • - US 2007/0187038 [0054]
    • - US 2008/0087641 [0054, 0054]
    • - US 2008/0090417 [0054]
    • - US 2006/0207502 [0055]
    • - US 2006/0283552 [0055]

Claims (13)

  1. Dichtungselement für eine Gasverteilungselektrodenanordnung, bei der eine Gasverteilungselektrode an einer Trägerplatte festgeklemmt ist, wobei das Dichtungselement einen ringförmigen Streifen von wärme- und stromleitendem Material und eine Mehrzahl von Vorsprüngen auf seiner Oberfläche umfasst, wobei der ringförmige Streifen einen Außendurchmesser aufweist, der kleiner als ein Außendurchmesser der Gasverteilungselektrode ist, und wobei jeder der Vorsprünge eine Höhe aufweist, die mindestens zweimal größer als die Dicke des ringförmigen Streifens ist.
  2. Dichtungselement nach Anspruch 1, bei dem die Vorsprünge zwei zylindrische Vorsprünge umfassen, wobei jeder einen Durchmesser von mindestens der Hälfte der Breite des ringförmigen Streifens und eine Dicke von mindestens viermal die Dicke des ringförmigen Streifens aufweist.
  3. Dichtungselement nach Anspruch 1, bei dem der ringförmige Streifen ein Laminat von Aluminiumfolie zwischen Schichten von Siliconkautschuk ist und die Vorsprünge an einer Seite des ringförmigen Streifens geklebt sind.
  4. Dichtungselement nach Anspruch 1, bei dem die Mehrzahl von Vorsprüngen aus zwei zylindrischen Vorsprüngen besteht, die um 180° auseinander angeordnet sind.
  5. Dichtungselement nach Anspruch 1, bei dem der ringförmige Streifen eine plane obere und untere Oberfläche und eine Dicke von 0,127 bis 0,254 mm (0,005 bis 0,01 Inch) aufweist, die Vorsprünge plane Scheiben mit einer Dicke von 0,508 bis 1,016 mm (0,02 bis 0,04 Inch) sind und die Vorsprünge an einer Seite des ringförmigen Streifens gebunden sind.
  6. Dichtungselement nach Anspruch 1, bei dem der ringförmige Streifen einen Außendurchmesser von 76,2 bis 101,6 mm (3 bis 4 Inch), einen Innendurchmesser von 50,8 bis 76,2 mm (2 bis 3 Inch) und eine Breite von 5,08 bis 10,16 mm (0,2 bis 0,4 Inch) aufweist.
  7. Gasverteilungselektrodenanordnung, umfassend: eine Trägerplatte, die eine Mehrzahl von Nockenarretierungen umfasst, die in einem äußeren Teil der Trägerplatte angeordnet sind; eine Gasverteilungselektrode, die einen Durchmesser von mehr als 304,8 mm (12 Inch) aufweist und einen mittigen Teil und einen peripheren Teil enthält, die durch Ober- und Unterseite der Gasverteilungselektrode begrenzt werden, wobei die Oberseite eine plane Oberfläche umfasst, die sich über den mittigen Teil erstreckt, die Unterseite durch eine plane innere Oberfläche, die sich über den mittigen Teil erstreckt, und eine gestufte äußere Oberfläche, die sich über den peripheren Teil erstreckt, begrenzt wird, wobei die gestufte äußere Oberfläche eine ringförmige plane Oberfläche umfasst, die einen Bereich von erhöhter Dicke der Gasverteilungselektrode begrenzt; eine Mehrzahl von Gasauslässen in dem mittigen Teil der Elektrode, durch die Prozessgas zu einem Spalt zwischen der Gasverteilungselektrode und einer unteren Elektrode geliefert werden kann, auf der ein Halbleitersubstrat getragen wird, eine Mehrzahl von in Umfangsrichtung räumlich getrennten Taschen im peripheren Teil der Oberseite, wobei die Taschen aufwärts verlaufende Arretierstifte tragen, die mit den Nockenarretierungen der Trägerplatte im Eingriff stehen, um die Gasverteilungselektrode an der Trägerplatte festzuklemmen; und das Dichtungselement nach Anspruch 1, das zwischen der Gasverteilungselektrode und der Trägerplatte zusammengepresst wird, wobei die Vorsprünge des Dichtungselements, die in Aussparungen in der Gasverteilungselektrode angeordnet sind, und der ringförmige Streifen verhindern, dass die Oberseite der Gasverteilungselektrode gegen eine Unterseite der Trägerplatte während einer Temperaturwechselbeanspruchung der Gasverteilungselektrode reibt.
  8. Gasverteilungselektrodenanordnung nach Anspruch 7, bei der die Vorsprünge zwei zylindrische Vorsprünge umfassen, die jeweils einen Durchmesser von mindestens der Hälfte der Breite des ringförmigen Streifens und eine Dicke von mindestens viermal die Dicke des ringförmigen Streifens aufweisen.
  9. Gasverteilungselektrodenanordnung nach Anspruch 7, bei der der ringförmige Streifen ein Laminat von Aluminiumfolie zwischen Schichten von Siliconkautschuk ist und die Vorsprünge an einer Seite des ringförmigen Streifens geklebt sind.
  10. Gasverteilungselektrodenanordnung nach Anspruch 7, bei der die Mehrzahl von Vorsprüngen aus zwei zylindrischen Vorsprüngen bestehen, die um 180° auseinander angeordnet sind.
  11. Gasverteilungselektrodenanordnung nach Anspruch 7, bei der der ringförmige Streifen eine plane obere und untere Oberfläche und eine Dicke von 0,127 bis 0,254 mm (0,005 bis 0,01 Inch) aufweist, die Vorsprünge plane Scheiben mit einer Dicke von 0,508 bis 1,016 mm (0,02 bis 0,04 Inch) sind, und die Vorsprünge an einer Seite des ringförmigen Streifens gebunden sind.
  12. Gasverteilungselektrodenanordnung nach Anspruch 7, bei der der ringförmige Streifen einen Außendurchmesser von 76,2 bis 101,6 mm (3 bis 4 Inch), einen Innendurchmesser von 50,8 bis 76,2 mm (2 bis 3 Inch) und eine Breite von 5,08 bis 10,16 mm (0,2 bis 0,4 Inch) aufweist.
  13. Gasverteilungselektrodenanordnung nach Anspruch 7, weiter umfassend eine Gasdichtung zwischen der Trägerplatte und der Gasverteilungselektrode, wobei die Gasdichtung außen von den Gasdurchlässen angeordnet ist, wobei die Gasverteilungselektrode polykristallines Silicium umfasst und die Trägerplatte Aluminium umfasst.
DE202010004773U 2009-04-10 2010-04-09 Dichtungselement mit Postionierungsmerkmal für eine festgeklemmte monolithische Gasverteilungselektrode Expired - Lifetime DE202010004773U1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/421,845 US8272346B2 (en) 2009-04-10 2009-04-10 Gasket with positioning feature for clamped monolithic showerhead electrode
US12/421,845 2009-04-10

Publications (1)

Publication Number Publication Date
DE202010004773U1 true DE202010004773U1 (de) 2010-08-12

Family

ID=42558392

Family Applications (1)

Application Number Title Priority Date Filing Date
DE202010004773U Expired - Lifetime DE202010004773U1 (de) 2009-04-10 2010-04-09 Dichtungselement mit Postionierungsmerkmal für eine festgeklemmte monolithische Gasverteilungselektrode

Country Status (6)

Country Link
US (2) US8272346B2 (de)
JP (2) JP2010251752A (de)
KR (1) KR200464038Y1 (de)
CN (1) CN202025711U (de)
DE (1) DE202010004773U1 (de)
TW (1) TWM396482U (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103125011A (zh) * 2010-09-24 2013-05-29 Memc电子材料有限公司 用于硅电极的适配环
CN110411613A (zh) * 2019-09-04 2019-11-05 川北真空科技(北京)有限公司 导气垫圈及其制造方法

Families Citing this family (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
JP2012101676A (ja) 2010-11-10 2012-05-31 Hitachi Automotive Systems Ltd ブレーキ制御装置
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120255635A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Method and apparatus for refurbishing gas distribution plate surfaces
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US20130284092A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Faceplate having regions of differing emissivity
US9058960B2 (en) 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
JP5798140B2 (ja) * 2013-02-15 2015-10-21 株式会社東芝 プラズマ処理装置
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9911579B2 (en) * 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
JP6374781B2 (ja) * 2014-12-10 2018-08-15 東京エレクトロン株式会社 プラズマ処理方法
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN108028164B (zh) * 2015-09-11 2020-12-29 应用材料公司 具有开槽接地板的等离子体模块
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10607817B2 (en) 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN110942969B (zh) * 2018-09-21 2022-08-23 中微半导体设备(上海)股份有限公司 一种气体喷淋头组件及其等离子体处理设备
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
KR20210077060A (ko) 2019-12-16 2021-06-25 삼성디스플레이 주식회사 잉크젯 프린트 장치 및 쌍극자 정렬 방법
KR20210103953A (ko) * 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 가스 분배 어셈블리 및 이를 사용하는 방법
KR102396430B1 (ko) * 2020-03-30 2022-05-10 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
US12068137B2 (en) 2020-09-25 2024-08-20 Applied Materials, Inc. Thread profiles for semiconductor process chamber components
KR102567507B1 (ko) * 2020-12-31 2023-08-16 세메스 주식회사 기판 처리 장치 및 가스 분배 어셈블리
WO2023027199A1 (ko) * 2021-08-23 2023-03-02 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
CN114649189A (zh) * 2022-03-23 2022-06-21 深圳市华星光电半导体显示技术有限公司 一种干蚀刻设备
KR102556317B1 (ko) * 2022-08-12 2023-07-18 주식회사 에이티에스 플라즈마 에칭 장비용 합금 및 이를 포함하는 체결기구
JP2024113345A (ja) * 2023-02-09 2024-08-22 三菱マテリアル株式会社 プラズマ処理装置用の電極板とその製造方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US20050133160A1 (en) 2003-12-23 2005-06-23 Kennedy William S. Showerhead electrode assembly for plasma processing apparatuses
US20060207502A1 (en) 2005-03-18 2006-09-21 Rajinder Dhindsa Plasma confinement ring assemblies having reduced polymer deposition characteristics
US20060283552A1 (en) 2005-06-20 2006-12-21 Rogers James H Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20070068629A1 (en) 2005-09-23 2007-03-29 Hong Shih Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US20070187038A1 (en) 2006-02-13 2007-08-16 Daxing Ren Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US20080090417A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Upper electrode backing member with particle reducing features

Family Cites Families (152)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) * 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5484486A (en) * 1994-05-02 1996-01-16 Applied Materials, Inc. Quick release process kit
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5590975A (en) * 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
US6086710A (en) 1995-04-07 2000-07-11 Seiko Epson Corporation Surface treatment apparatus
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
KR100434790B1 (ko) 1997-05-20 2004-06-07 동경 엘렉트론 주식회사 처리 장치
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US7070686B2 (en) * 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
WO2000045425A1 (en) 1999-02-01 2000-08-03 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6916399B1 (en) * 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
KR100638916B1 (ko) 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 및 그 유지 보수 방법
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6349744B1 (en) * 2000-10-13 2002-02-26 Mks Instruments, Inc. Manifold for modular gas box system
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US20020121241A1 (en) * 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7211170B2 (en) * 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US20020144783A1 (en) * 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6908540B2 (en) * 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
EP1512164B1 (de) 2002-05-23 2016-01-06 Lam Research Corporation Mehrteilige elektrode für einen plasmareaktor zur halbleiterbehandlung, sowie verfahren zum ersetzen eines teiles einer mehrteiligen elektrode
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US20050116427A1 (en) 2003-11-25 2005-06-02 Francis Seidel Corrugated gasket core with profiled surface
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7431788B2 (en) 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7662254B2 (en) * 2007-02-08 2010-02-16 Lam Research Corporation Methods of and apparatus for aligning electrodes in a process chamber to protect an exclusion area within an edge environ of a wafer
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
JP5194125B2 (ja) * 2007-09-25 2013-05-08 ラム リサーチ コーポレーション シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
MY166000A (en) * 2007-12-19 2018-05-21 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
TWI455239B (zh) 2008-03-14 2014-10-01 Lam Res Corp 凸輪鎖電極夾
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
SG10201405040PA (en) 2009-08-31 2014-10-30 Lam Res Corp A local plasma confinement and pressure control arrangement and methods thereof
KR102240849B1 (ko) 2009-08-31 2021-04-14 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
DE202010014805U1 (de) * 2009-11-02 2011-02-17 Lam Research Corporation (Delaware Corporation) Heissrandring mit geneigter oberer Oberfläche
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US20050133160A1 (en) 2003-12-23 2005-06-23 Kennedy William S. Showerhead electrode assembly for plasma processing apparatuses
US20060207502A1 (en) 2005-03-18 2006-09-21 Rajinder Dhindsa Plasma confinement ring assemblies having reduced polymer deposition characteristics
US20060283552A1 (en) 2005-06-20 2006-12-21 Rogers James H Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20070068629A1 (en) 2005-09-23 2007-03-29 Hong Shih Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US20070187038A1 (en) 2006-02-13 2007-08-16 Daxing Ren Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US20080090417A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Upper electrode backing member with particle reducing features

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103125011A (zh) * 2010-09-24 2013-05-29 Memc电子材料有限公司 用于硅电极的适配环
CN110411613A (zh) * 2019-09-04 2019-11-05 川北真空科技(北京)有限公司 导气垫圈及其制造方法

Also Published As

Publication number Publication date
TWM396482U (en) 2011-01-11
KR200464038Y1 (ko) 2013-02-19
US8536071B2 (en) 2013-09-17
JP3172461U (ja) 2011-12-22
US20130034967A1 (en) 2013-02-07
CN202025711U (zh) 2011-11-02
KR20100010304U (ko) 2010-10-20
US8272346B2 (en) 2012-09-25
JP2010251752A (ja) 2010-11-04
US20100261354A1 (en) 2010-10-14

Similar Documents

Publication Publication Date Title
DE202010004773U1 (de) Dichtungselement mit Postionierungsmerkmal für eine festgeklemmte monolithische Gasverteilungselektrode
DE202010012763U1 (de) Festgeklemmte monolithische Gasverteilungselektrode
DE202011109320U1 (de) Nockenarretierte Gasverteilungselektrode und -Elektrodenanordnung
DE69626281T2 (de) Elektroden-klemmvorrichtung und verfahren zu deren zusammenbau und verwendung
DE69530801T2 (de) Montageelement und methode zum klemmen eines flachen, dünnen und leitfähigen werkstückes
DE202010014257U1 (de) Am Rand festgeklemmte und mechanisch befestigte innere Elektrode einer Gasverteilungselektrodenanordnung
DE69501018T2 (de) Vielfache Elektrode elektrostatische Haltevorrichtung
EP1604384B1 (de) Anlage zur bearbeitung eines substrats
US8573152B2 (en) Showerhead electrode
DE69519008T2 (de) Plasmareaktor
US8796153B2 (en) Clamped monolithic showerhead electrode
DE60125608T2 (de) Hängende Gasverteilungvorrichtung für Plasmakammer
DE69710961T2 (de) Komponenten peripher zum Sockel im Wege des Gasflusses innerhalb einer Kammer zur chemischen Gasphasenabscheidung
TWI504317B (zh) 受夾固之單晶噴淋頭電極組件
DE69500566T2 (de) Elektrostatische Halteplatte
DE69830310T2 (de) Multifunktionaler verfahrensraum für cvd-verfahren
DE60006933T2 (de) Herstellungsvorrichtung für halbleiter mit keramischer fliesenauskleidung
DE60014686T2 (de) Aktive elektrostatische dichtung und elektrostatische vakuumpumpe
DE102015210736B3 (de) Vorrichtung mit folie zum elektrostatischen koppeln eines substrats mit einem substratträger
EP1145292A1 (de) Substrathalter
DE19602634C2 (de) Plasma-CVD-Vorrichtung

Legal Events

Date Code Title Description
R207 Utility model specification

Effective date: 20100916

R150 Utility model maintained after payment of first maintenance fee after three years
R150 Utility model maintained after payment of first maintenance fee after three years

Effective date: 20130514

R151 Utility model maintained after payment of second maintenance fee after six years
R152 Utility model maintained after payment of third maintenance fee after eight years
R071 Expiry of right