CN202025711U - 喷头电极总成和用于喷头电极总成的垫圈 - Google Patents

喷头电极总成和用于喷头电极总成的垫圈 Download PDF

Info

Publication number
CN202025711U
CN202025711U CN2010201758930U CN201020175893U CN202025711U CN 202025711 U CN202025711 U CN 202025711U CN 2010201758930 U CN2010201758930 U CN 2010201758930U CN 201020175893 U CN201020175893 U CN 201020175893U CN 202025711 U CN202025711 U CN 202025711U
Authority
CN
China
Prior art keywords
showerhead electrode
endless belt
liner plate
electrode
protuberance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2010201758930U
Other languages
English (en)
Inventor
格雷戈里·R·贝滕科特
高塔姆·巴塔卡亚
西蒙·戈塞尔林
珊蒂·赵
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Application granted granted Critical
Publication of CN202025711U publication Critical patent/CN202025711U/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/16Vessels
    • H01J2237/166Sealing means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49719Seal or element thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49718Repairing
    • Y10T29/49721Repairing with disassembling
    • Y10T29/4973Replacing of defective part

Landscapes

  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本实用新型公开一种喷头电极总成的垫圈,其中喷头电极夹紧于衬板,所述垫圈包括导热导电材料组成的环形带和多个在其表面上的突出部,该环形带的外径小于该喷头电极的外径,各突出部的高度至少比该环形带厚度厚两倍。本实用新型还公开一种半导体基片处理中使用的等离子反应室的电极总成。该总成包括上部喷头电极,其通过一系列隔开的凸轮锁以机械方式贴附于衬板,并包括权利要求1所述的垫圈,被压缩在该喷头电极和该衬板之间、在距该喷头电极中心3到4英寸的位置。保护环围绕该衬板,并可移动到该保护环中开口与该衬板中开口对齐的位置,从而该凸轮锁可利用工具转动以释放从该电极上面延伸出的锁紧销。

Description

喷头电极总成和用于喷头电极总成的垫圈
技术领域
本发明涉及等离子处理室的喷头电极总成,在该室中可制造半导体组件。 
背景技术
反应性离子蚀刻系统通常由蚀刻室组成,该室中设有上部电极(或阳极)和下部电极(或阴极)。该阴极相对该阳极和该容器壁负偏置。该阴极相对该阳极和该容器壁负偏置。将化学反应气体(如CF4、CHF3、CClF3、HBr、Cl2和SF6及其混合物)与O2、N2、He或Ar一起被引入该蚀刻室,并保持在通常为毫托范围内的压强下。该上部电极设有一个或多个气孔,该孔允许气体通过该电极均匀地分散进该室。该阳极和该阴极之间建立的电场将分解该反应气体而形成等离子。该晶片的表面通过与该反应性离子的化学反应以及离子撞击该晶片表面的动量传递来蚀刻。该电极创建的电场将这些离子吸引到该阴极,使得离子在大体垂直的方向撞击该表面,从而该工艺产生轮廓分明的垂直蚀刻的侧壁。该蚀刻反应器电极往往通过将两个或多个不相似的构件利用机械相容和/或导热粘结剂粘合在一起来制造,从而可以有许多功能。 
该上部电极是损耗部件,其必须定期更换。希望不使用粘结剂而将该上部电极贴附于该衬板以允许更换该上部电极。如果该上部电极以机械方式紧固于该衬板而不使用导热导电粘结剂,则希望凭借一个或多个垫圈而在该衬板和上部电极之间提供电气接触。具有 定位特征的垫圈的优点在于它们可不使用粘结剂安装在该上部电极上,以允许在清洁或者更换该上部电极过程中容易去除。 
发明内容
本实用新型提供一种喷头电极总成的垫圈,其中喷头电极夹紧于衬板,所述垫圈包括导热导电材料组成的环形带和多个在其表面上的突出部,该环形带的外径小于该喷头电极的外径,各突出部的高度至少比该环形带厚度厚两倍。 
其中该突出部包括两个圆柱形突出部,每个的直径是该环形带宽度的至少一半且厚度是该环形带厚度的至少四倍。该环形带是在多个硅酮橡胶层之间的铝箔层压板,该突出部粘性粘合于该环形带一侧。该多个突出部由两个隔开180°的圆柱形突出部组成。该环形带具有平的上部和下部表面,厚度为0.005至0.01英寸,该突出部是平的圆盘,厚度为0.02至0.04英寸,以及该突出部结合于该环形带一侧。该环形带外径为3至4英寸,内径为2至3英寸而宽度为0.2至0.4英寸。 
本实用新型还提供一种喷头电极总成,包括:衬板,包括多个位于该衬板的外部部分的凸轮锁;喷头电极,直径超过12英寸,并包括由该喷头电极的上面和下面限定的中心部分和边缘部分,该上面包括延伸跨过该中心部分的平面,该下面由延伸跨过该中心部分的平的内部表面以及延伸跨过该边缘部分的阶梯状外部表面限定,该阶梯状外部表面包括限定该喷头电极的厚度增大的区域的环形平面;多个在该电极的中心部分中的气体出口,通过该出口工艺气体可传输至该喷头电极和下部电极之间的间隙,半导体基片支撑在该下部电极上,该上面的边缘部分中多个周向隔开的插口,该插口支撑与该衬板的凸轮锁啮合的向上延伸锁紧销,从而将该喷头电极 夹紧于该衬板;以及前述的垫圈,压缩在该喷头电极和该衬板之间,该喷头电极中凹处中的垫圈的突出部,该环形带防止该喷头电极的上面免于在该喷头电极的温度循环期间与该衬板的下面摩擦。 
其中该突出部包括两个圆柱形突出部,每个的直径是该环形带宽度的至少一半,且厚度是该环形带厚度的至少四倍。该环形带是在多个硅酮橡胶层之间的铝箔层压板,该突出部粘性粘合于该环形带一侧。该多个突出部由两个隔开180°的圆柱形突出部组成。该环形带具有平的上部和下部表面,厚度为0.005至0.01英寸,该突出部是平的圆盘,厚度为0.02至0.04英寸,以及该突出部粘合于该环形带一侧。该环形带外径为3至4英寸,内径为2至3英寸而宽度为0.2至0.4英寸。该喷头电极总成,进一步包括该衬板和该喷头电极之间的气密层,该气密层位于气体通道的外侧,该喷头电极包括多晶硅和该衬板包括铝。 
按照一个实施例,为喷头电极总成提供垫圈,在该总成中,单体阶梯状电极固定于衬板,该喷头电极总成包括电容耦合等离子处理室的上部电极。该阶梯状电极是圆板,该圆板的下面具有等离子暴露表面,上面有安装表面。该安装表面包括多个定位销凹处,构造为容纳设在衬板中的定位销孔匹配的图案排列的定位销,该板由凸轮锁保持为与其抵靠,且该板包括以与该衬板中的气体供应孔匹配的图案排列的工艺气体出口。该上面包括多个凹处,其容纳该垫圈上的定位特征。该安装表面的外部部分中多个周向隔开的腔室构造为将锁紧销容纳在其中,适于与凸轮锁配合以将该阶梯状电极卡紧于该衬板。 
附图说明
图1示出形成具有保护环的上部电极的喷头电极总成的剖视图,该电极用于蚀刻基片的电容耦合等离子反应器。 
图2A是用于在图1所示的反应器中固定阶梯状电极的示范性凸轮锁的三维表示。 
图2B是图2A的示范性凸轮锁电极夹头的剖视图。 
图3A-F示出图2A和2B的凸轮锁夹头中使用的示范性锁紧销的侧视图和装配图。 
图4A-D示出图2A和2B的凸轮锁夹头中使用的示范性凸轮轴的侧视图和装配图。 
图4E示出图4A-D的凸轮轴一部分的示范性切割路径(cutter-path)边缘的剖视图。 
图5A示出具有阶梯状电极、衬板、热控板、保护环和顶板的喷头电极总成。 
图5B示出修改的喷头电极的上面(upper face)的立体图,图5C示出修改的衬板的下面(lower face)的立体图。 
图6A和6B是图5A的阶梯状电极的立体图。 
图7是图5A的衬板的立体图。 
图8是图5A没有该保护环的喷头电极总成的立体图。 
图9是按照优选实施例的垫圈的仰视图。 
图10是图9所示的垫圈的侧视图。 
具体实施方式
集成电路芯片的制造通常开始于高纯度、单晶体半导体材料基片(如硅或锗)的薄的、抛光的片,称作“晶片”。每个晶片经受一系列物理和化学处理步骤,这些步骤在该晶片上形成各种不同的电路结构。在该制造工艺中,使用各种技术将多种不同的薄膜沉积在该晶片上,如使用热氧化以产生二氧化硅薄膜,使用化学气相沉积以产生硅、二氧化硅和氮化硅薄膜,以及使用溅射或其他技术以产生其他金属薄膜。 
在将薄膜沉积在该半导体晶片上后,使用陈作掺杂的工艺将选取的杂质替代进该半导体晶格而产生半导体唯一的电气属性。该掺杂的硅晶片然后可均匀地涂覆光敏或辐照敏感材料薄层,称作“抗蚀剂(resist)”。在该电路中形成电子路径的小的几何图案然后可使用称作光刻的工艺转移到该抗蚀剂上。在该光刻工艺期间,该集成电路图案可绘制在称作“掩模”的玻璃板上,然后光学缩小、投影并转移到该光敏涂层上。 
该光刻抗蚀剂图案然后通过称为蚀刻的工艺转移到下面的半导体材料的晶面(crystalline surface)。真空处理室通常用来在基片上蚀刻和化学气相沉积(CVD)材料,通过将蚀刻或沉积气体提供到该真空室并将射频(RF)场施加到该气体以将该气体激发为等离子态来进行。 
反应性离子蚀刻系统通常由蚀刻室组成,该室中设有上部电极(或阳极)和下部电极(或阴极)。该阴极相对该阳极和该容器壁负偏置。该待蚀刻的晶片被合适的掩模覆盖并直接设在该阴极上。 将化学反应气体(如CF4、CHF3、CClF3、HBr、Cl2和SF6及其混合物)与O2、N2、He或Ar一起被引入该蚀刻室,并保持在通常为毫托范围内的压强下。该上部电极设有一个或多个气孔,该孔允许气体通过该电极均匀地分散进该室。该阳极和该阴极之间建立的电场将分解该反应气体而形成等离子。该晶片的表面通过与该反应性离子的化学反应以及离子撞击该晶片表面的动量传递来蚀刻。该电极创建的电场将这些离子吸引到该阴极,使得离子在大体垂直的方向撞击该表面,从而该工艺产生轮廓分明的垂直蚀刻的侧壁。该蚀刻反应器电极往往通过将两个或多个不相似的构件利用机械相容和/或导热粘结剂结合(bind)在一起来制造,从而可以有许多功能。 
图1示出用于蚀刻基片的等离子处理系统的喷头电极总成100的一部分的剖视图。如图1所示,该喷头电极总成100包括阶梯状电极110、衬板140和保护环(或外部环)170。该喷头电极总成100还包括等离子限制总成(或晶片区域压力(WAP)总成)180,其围绕该上部电极110和该衬板140的外缘。 
该总成100还包括热控板102和上(顶)板104,其中具有液体流动通道并形成该室的温度控制壁。该阶梯状电极110优选地是圆柱板并可由导电高纯度材料组成,如单晶硅、多晶硅、碳化硅或其他合适的材料(如铝或其合金、阳极氧化处理的铝、氧化钇涂覆的铝)。该衬板140利用下面描述的机械紧固件以机械方式固定于该电极110。该保护环170围绕该衬板140并提供到达下面所述的凸轮锁紧构件的入口。 
如图1所示的该喷头电极总成100通常与集成平的下部电极的静电卡盘(未示)一起使用,晶片支撑在该下部电极上、在该上部电极110下方大约1至2cm的距离。这种等离子处理系统的示例是平行板类型反应器,如该 
Figure DEST_PATH_GSB00000568196500061
电介质蚀刻系统,由Fremont,Calif 的Lam Research Corporation制造。这种卡紧布置通过提供背侧氦气(He)压强而提供对该晶片的温度控制,该压强控制该晶片和该卡盘之间的热传递速率。 
该上部电极110是损耗部件,其必须定期更换。为了将工艺气体提供到该晶片和该上部电极之间的间隙,该上部电极110设有气体释放通道106,其大小和分布适于提供工艺气体,该气体被该电极激发并在该上部电极110下方的反应区域形成等离子。 
该喷头电极总成100还包括等离子限制总成(或晶片区域等离子(WAP)总成)180,其围绕该上部电极110和该衬板140的外缘。该等离子限制总成180优选地由层叠或多个隔开的石英环190组成,其围绕该上部电极110和该衬板140的外缘。处理过程中,该等离子限制总成180在反应区域中产生压差并增加该反应室壁和该等离子之间的电阻,由此将该等离子限制在该上部电极110和该下部电极(未示)之间。 
使用期间,该限制环190将该等离子限制在该室容积并控制该反应室内该等离子的压强。将该等离子限制与该反应室是许多因素的函数,包括该限制环190之间的间距、该反应室中该限制环外面以及该等离子内的压强、气体的种类和流率,以及RF功率水平和频率。如果该限制环190之间的间距非常小,则该等离子的限制更容易实现。通常,限制需要0.15英寸或更小的间距。然而,该限制环190的间距还确定该等离子的压强,并且希望可以调节该间距以获得最佳的工艺性能所需要的压强同时保持等离子。来自气体供应源的工艺气体通过该上板104中的一个或多个通道提供到电极110,该上板允许将工艺气体提供到该晶片上方的单个区域或多个区域。 
该电极110优选地式平的圆盘或板,其从中心(未示)到厚度增加而在从外部边缘向内延伸的该等离子暴露表面上形成阶梯的区域范围厚度一致。该电极110直径优选地大于待处理晶片,例如,超过300mm。该上部电极110的直径可从大约15英寸至大约17英寸用以处理300mm晶片。该上部电极110优选地包括多个气体通道106,用以将工艺气体喷射进等离子反应室内、该上部电极110下方的空间中。 
单晶硅和多晶硅是用于该电极110的等离子暴露表面的优选材料。高纯度、单晶或多晶硅最小化等离子处理期间基片的污染,因为其仅将最低量的不希望的元素引入该反应室,并且在等离子处理期间还平滑磨损,由此最小化颗粒。包括可用于该上部电极110的等离子-暴露表面的材料组合物的替代材料包括例如铝(这里所使用的“铝”指的是纯Al和其合金)、氧化钇涂覆的铝、SiC、SiN和AlN。 
该衬板140优选地由这样的材料组成,其与用于在该等离子处理室中处理半导体基片的工艺气体化学相容,这种材料的热膨胀系数与该电极材料的热膨胀系数密切匹配,和/或该材料导热导电。可用来制作该衬板140的优选材料包括,但不限于,石墨、SiC、铝(Al)或其他合适材料。 
该上部电极110以机械方式连接到该衬板140,而在该电极和衬板之间没有任何粘性粘合,即,导热导电弹性粘合材料不用来将该电极贴附于该衬板。 
该衬板140优选地利用合适的机械紧固件连接到该热控板102,该紧固件可以是螺栓、螺钉等。例如,螺栓(未示)可插入该热控板102中的孔中并旋进该衬板140中的螺纹开口。该热控板102包括挠曲部分184,并优选地由机械加工的金属材料制成,如铝、铝合 金等。该上部温控板104优选地由铝或铝合金制成。该等离子限制总成(或晶片区域等离子总成(WAP))180设在该喷头电极总成100外面。包括多个垂直可调节等离子限制环190的合适的等离子限制总成180在共有美国专利No.5,534,751中描述,其通过引用整体结合在这里。 
该上部电极可通过凸轮锁机构以机械方式连接到该衬板,如在共有美国专利申请No.61/036,862中所描述的,其递交于2008年3月14日,特此通过引用结合其公开内容。参照图2A,包括电极201和衬板203一部分的示范性凸轮锁电极夹头的三维视图。该电极夹头能够快速、干净和准确的在大量与工厂相关的工具中将可消耗的电极201贴附于衬板,如图1所示的该等离子蚀刻室。 
该电极夹头包括安装在插口213中的立柱(锁紧销)205。该立柱可被碟簧层叠215围绕,例如,不锈钢Belleville垫片。该立柱205和碟簧层叠215然后可压合(press-fit)或通过使用粘结剂或机械紧固件以其他方式固定在该插口213中。该立柱205和该碟簧层叠215在该插口213中布置为使得该电极201和该衬板203之间能进行有限量的横向移动。限制该横向移动的量允许该电极201和该衬板203之间的紧配合,因此确保良好的热接触,同时仍提供一些移动,因为两个部件之间的热膨胀的差。该有限横向移动特征的更多细节在下面更详细的讨论。 
在具体的示范性实施例中,该插口213由轴承级别(bearing grade)的 制造。或者,该插口213可由具备特定机械特性的其他材料制造,如良好的强度和耐冲击性、耐蠕变性、尺寸稳定性、耐辐射性和化学耐性。多种材料,如聚酰胺、聚酰亚胺、乙缩醛和超高分子量聚乙烯材料都是合适的。高温比塑料和其他相关材料对 于形成该插口213是不需要的,因为230℃通常是在如蚀刻室的应用中遇到的最高温度。通常,一般的运行温度接近130℃。 
该电极夹头的其他部分由凸轮轴207组成,其每端被一对凸轮轴轴承209围绕。该凸轮轴207和凸轮轴轴承总成安装在该衬板203中机械加工出来的衬板孔211中。在设计用于300mm半导体晶片的蚀刻室的通常应用中,八个或多个该电极夹头可围绕该电极201/衬板203组合的边缘隔开。 
该凸轮轴轴承209可由多种材料机械加工而成,包括 
Figure DEST_PATH_GSB00000568196500102
或其他材料,如含氟聚合物、乙缩醛、聚酰胺、聚酰亚胺、聚四氟乙烯和聚醚醚酮(PEEK),他们具有低摩擦系数和低颗粒脱落性。该立柱205和凸轮轴207可由不锈钢(例如,316,316L,17-7等)或任何其他提供良好强度和耐腐蚀性的材料机械加工制得。 
现在参考图2B,该电极凸轮夹头的剖视图,进一步举例说明该凸轮夹头如何通过将该电极201拉到靠近该衬板203而运转。该立柱205/碟簧层叠215/插口213总成安装在该电极201中。如所示,该总成可通过该插口213上的外螺纹旋进该电极201中的纹螺插口。然而,该插口可通过粘结剂或其他类型的机械紧固件安装。 
图3A-F中,具有增大的头部、碟簧层叠215和插口213的立柱205的侧视图和装配图300提供该凸轮锁电极夹头的示范性设计的更多细节。在具体的示范性实施例中,立柱/碟簧总成301压入该插口213。该插口213具有外螺纹以及六角形顶部构件,能够利用较小的扭矩(例如,在具体的示范性实施例中,大约20英寸-磅)轻易插进该电极201(见图2A和2B)。如上面所指明的,该插口213可由多种类型 的塑料机械加工得到。使用塑料最小化颗粒生成并可以没有擦伤地将该插口213安装进该电极201上的配对插口。 
该立柱/插口总成303说明该插口213的上部部分的内径大于该立柱205的中段部分的外径。该两部分之间的直径差允许组装的电极夹头中有如上面所讨论的有限的横向运动。该立柱/碟簧总成301在该插口213的底部保持与该插口213刚性接触,而直径差允许一些横向运动(还见图2B)。 
参照图4A-D,该凸轮轴207和凸轮轴轴承209的分解图400还标示出键销401。该凸轮轴207具有该键销401的末端首先插入该衬板孔211(见图2B)。在该衬板孔211的远端的一对小配对孔(未示)提供该凸轮轴207与该衬板孔211的正确对齐。该凸轮轴207的侧视图420清楚地标示出该凸轮轴207一端的六角开口403和相对一端的该键销401的可能的位置。 
例如,继续参照图4A-D和2B,该电极凸轮夹头通过将该凸轮轴207插入该衬板孔211组装。该键销401通过与该对小配对孔之一干涉而限制该凸轮轴207在该衬板孔211中的转动。该凸轮轴可首先通过使用该六角开口403在一个方向转动,例如逆时针,以便允许该立柱205进入该凸轮轴207,然后顺时针转动以完全啮合和锁紧该立柱205。将该电极201夹持于该衬板203所需的夹紧力通过将该碟簧层叠215压缩超出其自由层叠高度而提供。该凸轮轴207具有内部偏心内部切断,其啮合该轴205的增大的头部。随着该碟簧层叠215压缩,该夹紧力从该碟簧层叠215中独立的弹簧传递到该插口213并通过该电极201传递到该衬板203。 
在示范性的运转模式中,一旦该凸轮轴轴承贴附于该凸轮轴207并插入该衬板孔211,该凸轮轴207逆时针转动至其完全转动位 置。该立柱/插口总成303(图3A-F)然后轻轻扭进该电极201。该立柱205的头部然后插进水平延伸的衬板孔211下方垂直延伸的通孔。该电极201保持为抵靠(against)该衬板203,以及该凸轮轴207顺时针直到键销进入该两个小配对孔(未示)的第二个,或听到可以听到的咔嗒声(下面将更详细讨论)。该示范性运转模式可反过来以将该电极201从该衬板203卸下。然而,在该凸轮锁装置中,如可以听到的咔嗒声这样的特征是可选的。 
参照图4E,图4A-D的该凸轮轴207的侧视图420的剖视图A-A标示出切割路径边缘440,该立柱205的头部通过其完全固定。在具体的示范性实施例中,两个半径R1和R2选择为该立柱205的头部发出如上所述的可选的可以听到的咔嗒声,以表明该立柱205何时完全固定。 
图5A说明用于电容耦合等离子室的上部电极总成500包括下列特征:(a)凸轮锁紧的非粘合电极502;(b)衬板506;和(c)保护环508,其允许进入将该电极夹持于该衬板506的凸轮锁。 
该电极总成500包括热控板510,其从该室的外面用螺栓固定于该室的温度控制顶壁512。该电极502可拆卸地从该室里面通过之前参照图2-4描述的凸轮锁机构514贴附于该衬板。 
在一个优选实施例中,该电极总成500的电极502可通过如下步骤拆卸:(a)转动该保护环508至第一位置,将该保护环中的四个孔与位于该衬板的外部部分中的间隔位置的四个凸轮锁514对齐;(b)将工具(如内六角扳手)插入穿过该保护环中的每个孔并转动每个凸轮锁以释放各个凸轮锁的垂直延伸的锁紧销;(c)转动该保护环90°至第二位置,将该保护环中的四个孔与另外四个凸轮锁对齐;和(d)将工具(如内六角扳手)插入通过该保护环中的每个 孔并转动各个凸轮锁以释放各个凸轮锁的锁紧销;借此该电极502可降下并从该等离子室去除。 
图5A还示出该凸轮锁装置之一的剖视图,其中可转动凸轮锁514位于该衬板506的外部部分中的水平延伸孔560中。该圆柱形凸轮锁514可通过工具(如内六角扳手)转动至:(a)锁紧位置,在该位置锁紧销562的增大的一端由该凸轮锁514的凸轮表面啮合,其升起该锁紧销的该增大的头部或(b)释放位置,在该位置该锁紧销562不被该凸轮锁514啮合。该衬板包括在其下面(lower face)的垂直延伸孔,该锁紧销经过该孔插入以啮合该凸轮锁。 
在图5A所示实施例中,该衬板506中的外部台阶与该喷头电极502的上面的环形凹入安装表面配对。在可选布置中,该台阶和凹处可省去,从而该衬板的下面和该喷头电极的上面是平面。图5B示出修改的喷头电极502A的剖面,具有平的上部表面522A、五个定位销孔520A、八个插口550A、气孔528A和两个凹处520B,用以配对该第三和第四行气孔之间的垫圈的突出部。图5C示出修改的衬板506A,具有平的下部表面522B、五个定位销孔520C、八个凸轮锁514B和环形垫圈接收表面G1和G2。 
图6A-B示出该电极502的细节。该电极502优选地是高纯度(小于10ppm杂质)、低电阻率(0.005至0.02ohm-cm)单晶硅板,其上面(安装表面)522具有用于容纳定位销524的定位销孔520。气孔528从该上面延伸到该下面(等离子暴露表面)530,并可布置为任何合适的图案。在所示实施例中,该气孔布置成13个周向延伸的行,其中3个气孔在距该电极中心大约0.5英寸的第一行,13个气孔在位于距该中心大约1.4英寸的第二行,23个气孔在位于距该中心大约2.5英寸的第三行,25个气孔在位于距该中心大约3.9英寸的第四行,29个气孔在该位于距该中心大约4.6英寸的第五行,34个气孔在该位 于距该中心大约5.4英寸的第六行,39个气孔在该位于距该中心大约6英寸的第七行,50个气孔在该位于距该中心大约7.5英寸的第八行,52个气孔在该位于距该中心大约8.2英寸的第九行,53个气孔在该位于距该中心大约9英寸的第十行,57个气孔在该位于距该中心大约10.3英寸的第十一行,59个气孔在该位于距该中心大约10.9英寸的第十二行以及63个孔在该位于距该中心大约11.4英寸的第十三行。 
在替代布置中,562个气孔可布置为4个孔在该位于0.25英寸距该中心的第一行,10个孔在位于距该中心大约0.72英寸的第二行,20个孔在距该中心大约1.25英寸的第三行,26个孔在距该中心大约1.93英寸的第四行,30个孔在距该中心大约2.3英寸的第五行,36个孔在距该中心大约2.67英寸的第六行,40个孔在距该中心大约3.0英寸的第七行,52个孔在距该中心大约3.73英寸的第八行,58个孔在距该中心大约4.1英寸的第九行,62个孔在距该中心大约4.48英寸的第十行,70个孔在距该中心大约5.17英寸的第十一行,74个孔在距该中心大约5.44英寸的第十二行和80个孔在距该中心大约5.71英寸的第十三行。 
在图5中A所示实施例中,该电极的上面包括9个定位销孔,其中3个销孔靠近中心、3个销孔在该环形凹处之内和3个销孔在靠近该电极外部边缘的该环形凹处中。该3个中心销孔径向对齐,并包括该内部电极中心的一个销孔和该三和第四行气孔之间的2个销孔。该靠近该环形凹处的中间销孔包括一个与该中心销孔对齐的销孔和两个隔开120°的销孔。该外部3个销孔在邻近的插口之间的位置处隔开120°。 
图6A是示出该电极502的该等离子暴露表面530的正面立体图,具有13行气孔。图6B示出该上面的立体图,具有13行气孔。 
该电极502包括:外部台阶(突出部分)536,其支撑该保护环508;该上面(安装表面)522,其啮合该衬板506的下部表面;该下面(等离子暴露表面)530,其包括内部锥形表面544、水平表面546和外部锥形表面548;以及上面540中的8个插口550,其中安装该锁紧销。 
图7是衬板506的立体图。该衬板包括13行气体通道584,其与该喷头电极502中的通道528对齐。该衬板的上面586包括三个环形区域588a、588b、588c,其接触该热控板510的环形突出部。该热控板可通过紧固件连接到该等离子室的顶壁,该紧固件延伸穿过该顶壁进入该热控板,如共同受让美国专利申请No.2005/0133160、2007/0068629、2007/0187038、2008/0087641和2008/0090417所示,特此整体结合其公开内容。螺纹开口590位于该上面586和该环形区域588a、588b、588c的外缘以容纳延伸通过该顶板512和热控板510中开口的紧固件,从而将该衬板506保持为与该热控板510接触。见,例如,共同受让美国专利申请No.2008/0087641,以描述可承受热循环的紧固件。该上面586中的凹槽592容纳O形环,该O形环提供该衬板506和该热控板510之间的气密层。该上面586中的定位销孔594容纳定位销,其安装在该热控板中的定位销孔中。在孔560之间位置处的水平延伸螺纹开口561容纳介电紧固件,其用来保护该保护环不会转动,以及在该喷头电极装配后塞住保护环中的进入孔。 
图8是该喷头电极总成500去除该保护环的立体图。如之前所解释的,该保护环可转动至一个或多个组装位置,在该位置,该凸轮锁可啮合并转动至锁紧位置,在锁紧位置,介电紧固件可插入开口561以将该保护环保持为不与该衬板的外缘接触并因此允许该衬板热膨胀。该热控板包括带有开口596的凸缘595,驱动器通过该开口支撑该等离子限制环。等离子限制环总成安装装置的细节可在共同 受让美国专利申请No.2006/0207502和2006/0283552中找到,其特此结合其所公开的全部内容。 
该电极的安装表面522由于该衬板中8个凸轮锁夹持的该8个锁紧销所施加的夹紧力而毗连该衬板506的相对表面。该保护环508覆盖该衬板506中的安装孔,该保护环中的进入开口填充可去除的插入物,其由耐等离子聚合材料制成,如 
Figure DEST_PATH_GSB00000568196500161
Figure DEST_PATH_GSB00000568196500162
或其他材料,如含氟聚合物、乙缩醛、聚酰胺、聚酰亚胺、聚四氟乙烯和聚醚醚酮(PEEK),他们具有低摩擦系数和低颗粒脱落性。 
参照图5A,该衬板506和电极502之间的电接触由一个或多个垫圈556提供,如合适的材料的环形段,如“Q-PADII”,可从该Bergquist公司获得。这种垫圈位于该电极的外缘以及在该中心定位销和该外部垫圈之间的一个或多个位置。例如,可使用直径大约4和12英寸的环形垫圈。共同拥有的美国申请No.11/896,375,递交于2007年8月31日,包括Q-PAD材料制作的垫圈的细节,特此通过引用结合其公开内容。为了提供不同的工艺气体混合物和/或流率,一个或多个可选的气体分隔密封件可设在该中心定位销和该外部垫圈之间。例如,单个O形环可设在该电极502和该衬板506之间、在该内部和外部垫圈之间的位置以将内部气体分配区域与外部气体分配区域隔开。位于该电极502和该衬板506之间、沿该外部垫圈的内缘的O形环558可提供该电极和衬板之间的气体和颗粒密封。 
图9示出优选的垫圈900的仰视图,在其下部表面904上具有多个突出部902形式的定位特征。该电极502A包括多个凹处(图5B中的520B),大小设为容纳该垫圈900上的突出部。在所示实施例中,两个突出部902设为隔开180°,该突出部具有完全相同的圆柱形状,其安装在该电极502A中、位于该第三和第四周向气体通道528A行 之间的圆形凹处520B内。该突出部优选地大小为部分啮合在该电极502A中的该凹处520B中。尽管图9示出圆柱形突出部直径大于该垫圈宽度的一般,但是如果需要,该突出部可具有任何需要的形状和大小,以及突出部的数量可以是3、4、5、6、7、8或更多。例如,该垫圈可以是0.01英寸均匀厚度的平环,该突出部至少是该平环厚度的2,3,4或5倍。尽管该突出部可通过注模整体的突出部或将该平环的部分变形为突出部而形成,但是优选的由厚度大于该平环的不同材料形成该突出部,利用粘结剂将该突出部贴附于该平环,该粘结剂与等离子处理室的真空环境相容。 
该垫圈优选地导电导热,并由优选地在高真空环境下不漏气的材料制成,例如,大约10至200mTorr,该材料具有低颗粒生成新能;适于在接触点承受剪切;不含金属部件,这是半导体基片中的寿命杀手,如Ag、Ni、Cu等。该垫圈可以是硅胶-铝箔夹层垫圈结构或弹性体-不锈钢夹层垫圈结构。优选地,该垫圈是铝片,上、下侧涂覆有导热导电橡胶,其与用于半导体制造的高真空环境相容,在该制造中执行多个步骤,如等离子蚀刻。该垫圈优选地是相容的,从而其可在该电极和衬板机械夹紧在一起时压缩,但是防止该电极和衬板的相对的表面在该喷头电极的温度循环期间彼此摩擦。 
图9所示的垫圈900优选地是导热导电材料的层压板(如“Q-PAD”箔材料,可从Bergquist公司获得的)。图5C中G1位置的垫圈900优选地内径大约2.93英寸、外径大约3.43英寸以及厚度大约0.006英寸。这个垫圈具有两个突出部902,其包括片材(如硅酮橡胶)形成的圆柱形片,直径大约0.185英寸和高度大约0.026至0.034英寸。该突出部902优选地通过合适的粘结剂粘性粘合于该垫圈900的一侧,如硅胶弹性体粘结剂,例如可从Dow Corning获得的RTV3140硅胶粘结剂。该垫圈可通过从垫圈材料片切割或冲压出环 而制造。类似地,该突出部可由相同或不同材料切割或冲压得到,如弹性材料,可以导热导电或者可以不导热导电。例如,该突出部橡胶状材料组成,如黑硅酮橡胶,其弹性变形和部分啮合该喷头电极中的凹处。该垫圈900可因此不使用粘结剂而安装在该喷头电极上,以便在该喷头电极的清洁和替换过程中容易去除该垫圈。 
尽管本发明已经参照其具体实施例来描述,但是对于本领域技术人员来说,显然可进行各种改变和修改,移进应用等同方式,而不背离所附权利要求的范围。 

Claims (13)

1.一种喷头电极总成的垫圈,其中喷头电极夹紧于衬板,所述垫圈包括导热导电材料组成的环形带和多个在其表面上的突出部,该环形带的外径小于该喷头电极的外径,各突出部的高度至少比该环形带厚度厚两倍。
2.根据权利要求1所述的垫圈,其中该突出部包括两个圆柱形突出部,每个的直径是该环形带宽度的至少一半且厚度是该环形带厚度的至少四倍。
3.根据权利要求1所述的垫圈,其中该环形带是在多个硅酮橡胶层之间的铝箔层压板,该突出部粘性粘合于该环形带一侧。
4.根据权利要求1所述的垫圈,其中该多个突出部由两个隔开180°的圆柱形突出部组成。
5.根据权利要求1所述的垫圈,其中该环形带具有平的上部和下部表面,厚度为0.005至0.01英寸,该突出部是平的圆盘,厚度为0.02至0.04英寸,以及该突出部结合于该环形带一侧。
6.根据权利要求1所述的垫圈,其中该环形带外径为3至4英寸,内径为2至3英寸而宽度为0.2至0.4英寸。
7.一种喷头电极总成,包括:
衬板,包括多个位于该衬板的外部部分的凸轮锁;
喷头电极,直径超过12英寸,并包括由该喷头电极的上面和下面限定的中心部分和边缘部分,该上面包括延伸跨过该中心部分的平面,该下面由延伸跨过该中心部分的平的内部表 面以及延伸跨过该边缘部分的阶梯状外部表面限定,该阶梯状外部表面包括限定该喷头电极的厚度增大的区域的环形平面;多个在该电极的中心部分中的气体出口,通过该出口工艺气体可传输至该喷头电极和下部电极之间的间隙,半导体基片支撑在该下部电极上,该上面的边缘部分中多个周向隔开的插口,该插口支撑与该衬板的凸轮锁啮合的向上延伸锁紧销,从而将该喷头电极夹紧于该衬板;以及
权利要求1所述的垫圈,压缩在该喷头电极和该衬板之间,该喷头电极中凹处中的垫圈的突出部,该环形带防止该喷头电极的上面免于在该喷头电极的温度循环期间与该衬板的下面摩擦。
8.根据权利要求7所述的喷头电极总成,其中该突出部包括两个圆柱形突出部,每个的直径是该环形带宽度的至少一半,且厚度是该环形带厚度的至少四倍。
9.根据权利要求7所述的喷头电极总成,其中该环形带是在多个硅酮橡胶层之间的铝箔层压板,该突出部粘性粘合于该环形带一侧。
10.根据权利要求7所述的喷头电极总成,其中该多个突出部由两个隔开180°的圆柱形突出部组成。
11.根据权利要求7所述的喷头电极总成,其中该环形带具有平的上部和下部表面,厚度为0.005至0.01英寸,该突出部是平的圆盘,厚度为0.02至0.04英寸,以及该突出部粘合于该环形带一侧。 
12.根据权利要求7所述的喷头电极总成,其中该环形带外径为3至4英寸,内径为2至3英寸而宽度为0.2至0.4英寸。
13.根据权利要求7所述的喷头电极总成,进一步包括该衬板和该喷头电极之间的气密层,该气密层位于气体通道的外侧。 
CN2010201758930U 2009-04-10 2010-04-12 喷头电极总成和用于喷头电极总成的垫圈 Expired - Lifetime CN202025711U (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/421,845 US8272346B2 (en) 2009-04-10 2009-04-10 Gasket with positioning feature for clamped monolithic showerhead electrode
US12/421,845 2009-04-10

Publications (1)

Publication Number Publication Date
CN202025711U true CN202025711U (zh) 2011-11-02

Family

ID=42558392

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010201758930U Expired - Lifetime CN202025711U (zh) 2009-04-10 2010-04-12 喷头电极总成和用于喷头电极总成的垫圈

Country Status (6)

Country Link
US (2) US8272346B2 (zh)
JP (2) JP2010251752A (zh)
KR (1) KR200464038Y1 (zh)
CN (1) CN202025711U (zh)
DE (1) DE202010004773U1 (zh)
TW (1) TWM396482U (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106884157A (zh) * 2011-03-04 2017-06-23 诺发系统公司 混合型陶瓷喷淋头
US10221484B2 (en) 2007-10-16 2019-03-05 Novellus Systems, Inc. Temperature controlled showerhead
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
CN110942969A (zh) * 2018-09-21 2020-03-31 中微半导体设备(上海)股份有限公司 一种气体喷淋头组件及其等离子体处理设备
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN114829149A (zh) * 2019-12-16 2022-07-29 三星显示有限公司 喷墨印刷设备和用于对准偶极子的方法
US12017465B2 (en) 2019-12-16 2024-06-25 Samsung Display Co., Ltd. Inkjet printing apparatus and method for aligning dipoles

Families Citing this family (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US20120073752A1 (en) * 2010-09-24 2012-03-29 Memc Electronic Materials, Inc. Adapter Ring For Silicon Electrode
JP2012101676A (ja) 2010-11-10 2012-05-31 Hitachi Automotive Systems Ltd ブレーキ制御装置
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120255635A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Method and apparatus for refurbishing gas distribution plate surfaces
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
US20130284092A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Faceplate having regions of differing emissivity
US9058960B2 (en) * 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9610591B2 (en) 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
JP5798140B2 (ja) * 2013-02-15 2015-10-21 株式会社東芝 プラズマ処理装置
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6375163B2 (ja) 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
JP6374781B2 (ja) * 2014-12-10 2018-08-15 東京エレクトロン株式会社 プラズマ処理方法
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6892439B2 (ja) * 2015-09-11 2021-06-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated スロット付きグランドプレートを有するプラズマモジュール
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10607817B2 (en) 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
CN110411613A (zh) * 2019-09-04 2019-11-05 川北真空科技(北京)有限公司 导气垫圈及其制造方法
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
KR20210103953A (ko) * 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 가스 분배 어셈블리 및 이를 사용하는 방법
KR102396430B1 (ko) * 2020-03-30 2022-05-10 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
CN117836894A (zh) * 2021-08-23 2024-04-05 Psk有限公司 基板处理装置及基板处理方法
KR102556317B1 (ko) * 2022-08-12 2023-07-18 주식회사 에이티에스 플라즈마 에칭 장비용 합금 및 이를 포함하는 체결기구

Family Cites Families (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) * 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
US5423936A (en) 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5484486A (en) * 1994-05-02 1996-01-16 Applied Materials, Inc. Quick release process kit
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5590975A (en) * 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
US6086710A (en) 1995-04-07 2000-07-11 Seiko Epson Corporation Surface treatment apparatus
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
KR100434790B1 (ko) 1997-05-20 2004-06-07 동경 엘렉트론 주식회사 처리 장치
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US7070686B2 (en) * 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
WO2000045425A1 (en) 1999-02-01 2000-08-03 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6916399B1 (en) * 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
TWI228747B (en) 2000-05-17 2005-03-01 Tokyo Electron Ltd Processing apparatus and the maintenance method, assembling mechanism and method of processing apparatus parts, and lock mechanism and the lock method
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6349744B1 (en) * 2000-10-13 2002-02-26 Mks Instruments, Inc. Manifold for modular gas box system
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) * 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US7211170B2 (en) * 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US20020144783A1 (en) * 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US6908540B2 (en) * 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
JP4847009B2 (ja) 2002-05-23 2011-12-28 ラム リサーチ コーポレーション 半導体処理プラズマ反応器用の多部品電極および多部品電極の一部を取り換える方法
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US20050116427A1 (en) 2003-11-25 2005-06-02 Francis Seidel Corrugated gasket core with profiled surface
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060043067A1 (en) 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7244311B2 (en) 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7662254B2 (en) * 2007-02-08 2010-02-16 Lam Research Corporation Methods of and apparatus for aligning electrodes in a process chamber to protect an exclusion area within an edge environ of a wafer
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US7943007B2 (en) * 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
US8216418B2 (en) 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
KR101519684B1 (ko) * 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
CN101903979B (zh) * 2007-12-19 2012-02-01 朗姆研究公司 组合喷淋头电极总成、连接其各部件的方法及衬底处理方法
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
JP5650547B2 (ja) 2008-03-14 2015-01-07 ラム リサーチ コーポレーションLam Research Corporation カムロック電極クランプ
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US20110100553A1 (en) 2009-08-31 2011-05-05 Rajinder Dhindsa multi-peripheral ring arrangement for performing plasma confinement
KR102240849B1 (ko) 2009-08-31 2021-04-14 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
DE202010014805U1 (de) * 2009-11-02 2011-02-17 Lam Research Corporation (Delaware Corporation) Heissrandring mit geneigter oberer Oberfläche
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10584415B2 (en) 2007-10-16 2020-03-10 Novellus Systems, Inc. Temperature controlled showerhead
US10221484B2 (en) 2007-10-16 2019-03-05 Novellus Systems, Inc. Temperature controlled showerhead
CN106884157B (zh) * 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
CN106884157A (zh) * 2011-03-04 2017-06-23 诺发系统公司 混合型陶瓷喷淋头
US10400333B2 (en) 2011-03-04 2019-09-03 Novellus Systems, Inc. Hybrid ceramic showerhead
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
CN110942969A (zh) * 2018-09-21 2020-03-31 中微半导体设备(上海)股份有限公司 一种气体喷淋头组件及其等离子体处理设备
CN110942969B (zh) * 2018-09-21 2022-08-23 中微半导体设备(上海)股份有限公司 一种气体喷淋头组件及其等离子体处理设备
CN114829149A (zh) * 2019-12-16 2022-07-29 三星显示有限公司 喷墨印刷设备和用于对准偶极子的方法
CN114829149B (zh) * 2019-12-16 2024-04-19 三星显示有限公司 喷墨印刷设备和用于对准偶极子的方法
US12017465B2 (en) 2019-12-16 2024-06-25 Samsung Display Co., Ltd. Inkjet printing apparatus and method for aligning dipoles

Also Published As

Publication number Publication date
DE202010004773U1 (de) 2010-08-12
JP2010251752A (ja) 2010-11-04
US20100261354A1 (en) 2010-10-14
KR200464038Y1 (ko) 2013-02-19
JP3172461U (ja) 2011-12-22
US8536071B2 (en) 2013-09-17
US20130034967A1 (en) 2013-02-07
TWM396482U (en) 2011-01-11
KR20100010304U (ko) 2010-10-20
US8272346B2 (en) 2012-09-25

Similar Documents

Publication Publication Date Title
CN202025711U (zh) 喷头电极总成和用于喷头电极总成的垫圈
CN201919233U (zh) 夹紧的单体喷头电极和喷头电极组件
CN102037790B (zh) 卡紧的整体喷头电极
US10262834B2 (en) Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US8573152B2 (en) Showerhead electrode
US8313805B2 (en) Clamped showerhead electrode assembly
US8470127B2 (en) Cam-locked showerhead electrode and assembly
CN101971321A (zh) 凸轮锁电极夹具

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20111102