CN102037790B - 卡紧的整体喷头电极 - Google Patents

卡紧的整体喷头电极 Download PDF

Info

Publication number
CN102037790B
CN102037790B CN200980118489.XA CN200980118489A CN102037790B CN 102037790 B CN102037790 B CN 102037790B CN 200980118489 A CN200980118489 A CN 200980118489A CN 102037790 B CN102037790 B CN 102037790B
Authority
CN
China
Prior art keywords
electrode
liner plate
showerhead electrode
chamber
showerhead
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200980118489.XA
Other languages
English (en)
Other versions
CN102037790A (zh
Inventor
罗杰·帕特里克
格雷戈里·R·贝当古
迈克尔·C·凯洛格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN102037790A publication Critical patent/CN102037790A/zh
Application granted granted Critical
Publication of CN102037790B publication Critical patent/CN102037790B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S403/00Joints and connections
    • Y10S403/12Furniture type having a rotatable fastener or fastening element that tightens connection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S403/00Joints and connections
    • Y10S403/13Furniture type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/32Articulated members
    • Y10T403/32254Lockable at fixed position
    • Y10T403/32426Plural distinct positions
    • Y10T403/32442At least one discrete position
    • Y10T403/32451Step-by-step adjustment
    • Y10T403/32459Retainer extends through aligned recesses
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/70Interfitted members
    • Y10T403/7005Lugged member, rotary engagement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/70Interfitted members
    • Y10T403/7009Rotary binding cam or wedge
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/70Interfitted members
    • Y10T403/7041Interfitted members including set screw

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

一种用于半导体衬底处理中使用的等离子处理室的电极总成。该总成包括上部喷头电极,其通过一系列隔开的凸轮锁以机械方式连接于衬板。保护环围绕该衬板,并且可移动到使得该保护环中开口对齐该衬板中开口的位置,从而该凸轮锁可利用工具转动以释放从该电极的上面延伸的锁紧销。

Description

卡紧的整体喷头电极
技术领域
本发明涉及等离子处理室的喷头电极总成,在该室中制造半导体部件。发明内容
按照一个实施方式,喷头电极总成包括卡紧到衬板的整体台阶状电极,其中该喷头电极总成包括电容耦合等离子处理室的上部电极。该台阶状电极是圆形板,在该圆形板下面有等离子暴露表面以及在该圆形板上面有安装表面。该安装表面包括多个定位销凹处,构造为容纳定位销,其布置成匹配衬板中定位销孔的图案,通过凸轮锁将该圆形板保持抵靠该衬板,并且该圆形板包括工艺气体出口,其布置成匹配该衬板中气体供应孔的图案。该上面包括围绕平的内表面的外部凹面,该等离子暴露表面包括内部和外部倾斜表面。该外部凹面中的多个周向隔开的腔室构造为在其中容纳锁紧销,其适于结合凸轮锁以将该台阶状电极卡紧于该衬板。
按照另一实施方式,电容耦合等离子处理室的喷头电极总成包括热控制板、衬板、保护环和台阶状电极。该热控制板由该等离子处理室的温度受控壁支撑,该热控制板的直径大于待在该等离子处理室中处理的晶片直径,并且在其下侧包括环形突出部,该环形突出部之间具有集气室。该衬板由该热控制板支撑并且直径小于该热控制板,具有气体通道贯穿其中,以及水平延伸孔中的凸轮锁。该保护环的高度等于该衬板的外缘厚度,并且具有至少一个通过该保护环的水平延伸的入口孔,该保护环可围绕该衬板转动以将该入口孔与至少一个该凸轮锁对齐。该台阶状电极具有贯穿其中的气体通道,该通道与该衬板中的气体通道流体连通。该台阶状电极包括垂直延伸的锁紧销,其啮合该凸轮锁,该台阶状电极支撑该保护环,并且可通过从该凸轮锁释放该锁紧销而去除。附图说明
图1示出形成用于蚀刻衬底的电容耦合等离子反应器中的上部电极的、具有保护环的喷头电极总成的剖视图。
图2A是图1所示的反应器中卡紧台阶状电极的示范性凸轮锁的三维示意图。
图2B是图2A的示范性凸轮锁电极卡件的剖视图。
图3示出图2A和2B的凸轮锁卡件中使用的示范性锁紧销的侧视图和装配图。
图4示出图2A和2B的凸轮锁卡件中使用的示范性凸轮轴的侧视图和装配图。
图4B示出图4A的凸轮轴的一部分的示范性刀具路径边缘的剖视图。
图5示出具有台阶状电极、衬板、热控制板、保护环和顶板的喷头电极总成。
图6A和6B是该台阶状电极的立体图。
图7是衬板的立体图。
图8是没有保护环的喷头电极总成的立体图。具体实施方式
集成电路芯片的制造通常开始于薄的、抛光的薄片,其由称作“晶片”的高纯度、单晶半导体材料衬底(如硅或锗)形成。每个晶片经受一系列物理和化学处理步骤,这些步骤在晶片上形成各种电路结构。在制造过程中,可使用各种不同的技术将各种不同类型的薄膜沉积在晶片上,如热氧化以形成二氧化硅膜,化学气相沉积以形成硅、二氧化硅和氮化硅膜,以及溅射或其他技术以产生其他金属膜。
在半导体晶片上沉积膜之后,通过使用称作掺杂的工艺将选取的杂质置换到半导体晶格中而产生唯一的半导体电气属性。掺杂的硅晶片然后可均匀地涂覆称作“抗蚀剂”的光敏或辐射敏感材料薄层。然后可将在电路中形成电子路径的小的几何图案使用称作光刻的工艺转移到抗蚀剂上。在光刻工艺期间,集成电路图案可绘制在称作“掩模”的玻璃板上,然后光学还原、投影并转移到该光敏涂层上。
然后将光刻抗蚀剂图案通过称作蚀刻的工艺转移到下层的半导体材料晶体表面。真空处理室通常用于衬底上材料的蚀刻以及化学气相沉积(CVD),通过将蚀刻或沉积气体提供到该室并且将射频(RF)场施加到该气体以将该气体激发为等离子态。
反应离子蚀刻系统通常由蚀刻室组成,其中设有上部电极或阳极以及下部电极或阴极。该阴极相对于该阳极和该容器壁负向偏置。待蚀刻晶片被合适的掩模覆盖并直接设在阴极上。将化学反应气体如CF4、CHF3、CClF3、HBr、Cl2和SF6或其与O2、N2、He或Ar的混合物引入该蚀刻室,并且保持在通常为毫托范围的压强。该上部电极提供气体孔,其允许气体通过该电极均匀地分散进该室。建立在该阳极和该阴极之间的电场将分解该反应气体而形成等离子。晶片表面通过与反应离子的化学反应以及借助撞击晶片表面的离子的动量转移而蚀刻。电极产生的电场将离子吸引到阴极,使得这些离子主要在垂直方向撞击该表面,从而该工艺产生良好的垂直蚀刻的侧壁。蚀刻反应器电极通常通过将两个或者多个不相似的构件利用机械相容和/或导热粘合剂粘结而制造,以顾及多种功能。
图1示出用于蚀刻衬底的等离子处理系统的喷头电极总成100的一部分的剖视图。如图1所示,该喷头电极总成100包括台阶状电极110、衬板140和保护环(或外环)170。该喷头电极总成100还包括等离子限制总成(或晶片区域压强(WAP)总成)180,其围绕该上部电极110和该衬板140的外缘。
该总成100还包括热控制板102和上(顶)板104,其中具有液体流动通道并形成该室的温度受控壁。该台阶状电极110优选地是圆柱形板,并可由导电高纯度材料制成,这些材料如单晶硅、多晶硅、碳化硅或其他合适的材料(如铝或其合金、阳极氧化铝、涂覆氧化钇的铝)。利用下述的机械紧固件将该衬板140以机械方式固定于该电极110。该保护环170围绕该衬板140并提供对下述的凸轮锁紧构件的入口。
如图1所示的喷头电极总成100通常与静电卡盘(未示)一起使用,该卡盘集成平的下部电极,晶片支撑在该下部电极上,位于该上部电极110下方大约1-2cm。等离子处理系统的一个示例是平行板类型反应器,如由Fremont,Calif的Lam Research Corporation制造的Exelan
Figure BPA00001257580900051
电介质蚀刻系统。这种卡紧装置通过提供背侧氦(He)压而提供晶片的温度控制,氦压控制晶片和卡盘之间的热传递速率。
该上部电极110是消耗部件,其必须定期更换。为了将工艺气体提供到该晶片和该上部电极之间的间隙,该上部电极110提供有气体排出通道106,其大小和分布适于提供工艺气体,该工艺气体被电极激发并在该上部电极110下方的反应区域中形成等离子。
该喷头电极总成100还包括等离子限制总成(或晶片区域等离子(WAP)总成)180,其围绕该上部电极110和该衬板140的外缘。该等离子限制总成180优选地由一叠或多个隔开的石英环190组成,其围绕该上部电极110和该衬板140的外缘。在处理过程中,该等离子限制总成180在反应区域中产生压强差,并且增加反应室壁和等离子之间的电阻,由此将等离子限制在上部电极110和下部电极(未示)之间。
使用过程中,限制环190将等离子限制于室容积并且控制反应室内的等离子压强。将等离子限制于反应室与许多因素有关,包括限制环190之间的间距,反应室内、限制环以外和等离子内的压强,气体类型和流率,以及RF功率的水平和频率。如果限制环190之间的间距非常小,则更容易实现等离子的限制。通常,限制需要0.15英寸或更小的间距。然而,限制环190的间距还确定等离子压强,并且希望可调节该间距以在维持等离子的同时实现最佳处理性能所需的压强。将来自气体供应源的工艺气体通过该上板104中的一个或多个通道提供到电极110,该上板允许将工艺气体提供到晶片上方的单个区域或者多个区域。
该电极110优选是平的碟或板,其从中心(未示)开始厚度一致直到形成等离子暴露表面上的台阶的厚度增加区域,该区域从外缘向内延伸。该电极110优选地直径大于待处理的晶片,例如,超过300mm。该上部电极110的直径可从大约15英寸至大约17英寸,用以处理300mm晶片。该上部电极110优选地包括多个气体通道106,用以将工艺气体喷射到等离子处理室中、该上部电极110下方的空间中。
单晶硅和多晶硅是优选的用于电极110等离子暴露表面的材料。高纯度单晶或多晶硅最小化等离子处理过程中的衬底污染,因为其仅将最少量的不希望的元素引入反应室,并且还在等离子处理过程中平滑磨损,由此使得颗粒最少。替代材料(包括可用于上部电极110的等离子暴露表面的材料的复合物)包括例如铝(这里使用“铝”来表示纯的Al及其合金)、涂覆氧化钇的铝、SiC、SiN和AlN。
衬板140优选地由这样的材料制成,其与用于在等离子处理室中处理半导体衬底的工艺气体化学相容,其热膨胀系数与该电极材料密切匹配和/或导热导电。可用于制作衬板140的优选材料包括,但不限于,石墨,SiC,铝(Al)或其他合适的材料。
该上部电极110以机械方式连接于该衬板140,而在该电极和衬板之间没有粘合任何粘结剂,即,不使用导热导电弹性粘合材料将电极贴附于衬板。
该衬板140优选地利用合适的机械紧固件连接于该热控制板102,该紧固件可以是螺栓、螺钉等。例如,螺栓(未示)可插入该热控制板102中的孔并旋入该衬板140中的螺纹孔。该热控制板102包括折曲部分184,并优选地由机械加工的金属材料制成,如铝、铝合金等。上部温度受控板104优选地由铝或铝合金制成。该等离子限制总成(或晶片区域等离子总成(WAP))180设在该喷头电极总成100的外面。包括多个垂直可调的等离子限制环190的合适的等离子限制总成180在共同所有美国专利5,534,751中描述,其整体通过引用结合在这里。
该上部电极可通过凸轮锁机构以机械方式连接于该衬板,凸轮锁在递交于2008年3月14日的共同所有美国申请61/036,862中描述,特此通过引用结合其公开内容。参照图2A,包括电极201和衬板203一部分的示范性凸轮锁电极卡件的三维示意图。该电极卡件能够快速、清洁并且准确地将可消耗的电极201连接到各种与晶圆厂有关的工具(例如图1中示出的等离子蚀刻室)中的衬板。
该电极卡件包括安装在插口213中的螺柱(锁紧销)205。该螺柱可由碟簧层叠215围绕,例如,不锈钢Belleville垫圈。该螺柱205和碟簧层叠215然后可压力安装或通过使用粘结剂或机械紧固件以其他方式紧固在该插口213中。该螺柱205和该碟簧层叠215在该插口213中布置为使得该电极201和该衬板203之间可以有有限量的横向移动。限制横向移动的量是考虑到该电极201和该衬板203之间的紧密配合,因此确保良好的热接触,同时仍然提供一定的移动以解决两个部件之间的热膨胀差。该有限的横向移动特征的额外细节在下面更详细地讨论。
在具体的示范性实施方式中,该插口213由轴承级Torlon
Figure BPA00001257580900071
制造。或者,该插口213可由其他具备某些机械特性的材料制造,这些机械特性如良好的强度和耐冲击性、抗蠕变性、直径稳定性、辐射稳定性和化学耐性,可容易应用。多种不同的材料,如聚酰胺、聚酰亚胺、乙缩醛和超高分子量聚乙烯材料全都是合适的。不需要高温特异性材料和其他相关材料来形成插口213,因为230℃是应用(如蚀刻室)中通常遇到的最高温度。一般来说,通常的运行温度是接近130℃。
电极卡件的其他部分由凸轮轴207组成,其每端被一对凸轮轴轴承209围绕。该凸轮轴207和凸轮轴轴承总成安装在机械加工在衬板203中的衬板孔211中。在设计用于300mm半导体晶片的蚀刻室的通常应用中,八个或更多个电极卡件可围绕该电极201/衬板203组合的边缘隔开。
该凸轮轴轴承209可由多种不同的材料机械加工而成,包括Torlon、Vespel
Figure BPA00001257580900082
、Celcon
Figure BPA00001257580900083
、Delrin
Figure BPA00001257580900084
、Teflon
Figure BPA00001257580900085
、Arlon
Figure BPA00001257580900086
、或其他材料,如含氟聚合物、乙缩醛、聚酰胺、聚酰亚胺、聚四氟乙烯和聚醚醚酮(PEEK),具有低摩擦系数和低颗粒脱落。该螺柱205和凸轮轴207可由不锈钢材料(例如,316、316L、17-7等)机械加工得到,或任何其他提供良好强度和耐腐蚀性的材料。
现在参照图2B,电极凸轮卡件的剖视图进一步举例说明该凸轮卡件如何将该电极201拉到靠近该衬板203运行。该螺柱205/碟簧层叠215/插口213总成安装在该电极201中。如图所示,该总成可凭借该插口213上的外螺纹旋进该电极201中的螺纹腔室。然而,该插口也可以通过粘结剂或其他类型机械紧固件安装。
图3中,具有增大头部的螺柱205、碟簧层叠215和插口213的侧视和装配图300提供该凸轮锁电极卡件的示范性设计中的额外细节。在具体的示范性实施方式中,螺柱/碟簧总成301压力安装在插口213中。该插口213具有外螺纹和六角形顶部构件,允许利用小扭矩(例如,在具体的示范性实施方式中,大约20英寸-磅)容易插入该电极201(见图2A和2B)。如上面所指出的,该插口213可由多种不同类型塑料机械加工得到。使用塑料最小化颗粒生成并且允许无磨损将该插口213安装在该电极201上的配对腔室中。
该螺柱/插口总成303说明该插口213上部的内径大于该螺柱205的中间部分的外径。这两个部分之间的直径差是考虑到如上面所讨论的装配后的电极卡件中有限的横向移动。该螺柱/碟簧总成301在该插口213的底部保持为与该插口213保持刚性连接,尽管直径差允许一些横向移动(还见图2B)。
参照图4A,该凸轮轴207和凸轮轴轴承209的分解视图400还指出键销401。该凸轮轴207具有键销401的端部首先插入该衬板孔211(见图2B)。该衬板孔211远端的一对小配对孔(未示)使得该凸轮轴207在该衬板孔211正确对准。该凸轮轴207的侧视图420清楚地表明一种可能的布置,即在该凸轮轴207一端的六角开口403和在相对一端的键销401。
例如,继续参照图4A和2B,通过将该凸轮轴207插入该衬板孔211装配电极凸轮卡件。该键销401通过与这对小配对孔之一交界而限制该凸轮轴207在该衬板孔211中的转动运动。该凸轮轴可首先通过使用该六角开口403在一个方向(例如,逆时针)转动以允许该螺柱205进入该凸轮轴207,然后顺时针转动以完全啮合并锁定该螺柱205。通过压缩该碟簧层叠215超出它们的自由层叠高度而施加将该电极201保持于该衬板203所需的夹紧力。该凸轮轴207具有内部偏心内部切口,其啮合该轴205增大的头部。随着该碟簧层叠215压缩,该夹紧力从该碟簧层叠215中单个弹簧传递到该插口213,并通过该电极201到达该衬板203。
在示范性运行模式中,一旦该凸轮轴轴承连接于该凸轮轴207并插入该衬板孔211,该凸轮轴207逆时针转动至其完全转动行程。该螺柱/插口总成303(图3)然后轻轻地扭转进入该电极201。该螺柱205的头部然后插入水平延伸的衬板孔211下方的垂直延伸通孔。该电极201保持为抵靠该衬板203,并且顺时针转动该凸轮轴207直到该键销落入这两个小配对孔(未示)的第二个或听到咔嗒声(下面详细讨论)。该示范性运行模式可以反过来以将该电极201从该衬板203拆掉。然而,如咔嗒声这样的特征在该凸轮锁装置中是可选的。
参照图4B,图4A的凸轮轴207的侧视图420的A-A剖视图指明刀具路径边缘440,该螺柱205的头部凭借该边缘完全固定。在具体的示范性实施方式中,选择两个半径R1和R2使得该螺柱205的头部发出上面描述的可选的冲击性声音以指明该螺柱205何时完全固定。
图5说明用于电容耦合等离子室的上部电极总成500,其包括下列特征:(a)凸轮锁定非粘合电极502;(b)衬板506;和(c)保护环508,其允许进入将该电极保持于该衬板506的凸轮锁。
该电极总成500包括热控制板510,其从该室的外侧闩到该室的温度受控顶壁512。该电极502以可释放方式通过之前参照图2-4描述的凸轮锁机构514从该室内连接于该衬板。
在优选实施方式中,该电极总成500的电极502可通过以下方式拆卸:(a)转动该保护环508至第一位置,将该保护环中四个孔与位于衬板外部隔开位置的四个凸轮锁514对齐;(b)通过在该保护环中每个孔插入工具(如allen扳手)并转动每个凸轮锁以释放各个凸轮锁的垂直延伸的锁紧销;(c)将该保护环转动90°至第二位置,将该保护环中四个孔与另外四个凸轮锁对齐;和(d)通过在该保护环中每个孔插入工具(如allen扳手)并且转动各个凸轮锁以释放各个凸轮锁的锁紧销;借此,可降低该电极502并从该等离子室去除。
图5还示出该凸轮锁装置之一的剖视图,其中可转动凸轮锁514位于该衬板506的外部的水平延伸孔560中。该圆柱形凸轮锁514可通过工具(如allen扳手)转动至:(a)锁紧位置,在该位置锁紧销562增大的头部被该凸轮锁514的凸轮表面啮合从而升起该锁紧销增大的头部;或(b)释放位置,在该位置锁紧销562不被该凸轮锁514啮合。该衬板包括在其下面的垂直延伸孔,该锁紧销插入穿过这些孔以啮合该凸轮锁。
图6A-B示出该电极502的细节。该电极502优选地是高纯度(小于10ppm杂质)、低电阻率(0.005至0.02ohm-cm)单晶硅的板,其上面(安装表面)522具有定位销孔520用以容纳定位销524。气体孔528从该上面延伸到该下面(等离子暴露表面)530,并且可布置为任何合适的图案。在所示的实施方式中,该气体孔布置在13个周向延伸的排中,其中三个气体孔在位于距该电极中心大约0.5英寸的第一排,13个气体孔在位于距该中心大约1.4英寸的第二排,23个气体孔在位于距该中心大约2.5英寸的第三排,25个气体孔该位于距该中心大约3.9英寸的第四排,29个气体孔在位于距该中心大约4.6英寸的第五排,34个气体孔在位于距该中心大约5.4英寸的第六排,39个气体孔在位于距该中心大约6英寸的第七排,50个气体孔在位于距该中心大约7.5英寸的第八排,52个气体孔在位于距该中心大约8.2英寸的第九排,53个气体孔在位于距该中心大约9英寸的第十排,57个气体孔在位于距该中心大约10.3英寸的第十一排,59个气体孔在位于距该中心大约10.9英寸的第十二排,以及63个孔在位于距该中心大约11.4英寸的第十三排。
该电极的上面包括9个定位销孔,3个销孔靠近中心、3个销孔在环形凹处里面和3个销孔在该环形凹处中靠近该电极外缘。3个中心销孔径向对齐并且包括一个在该内电极中心的销孔和2个在第三和第四排气体孔之间的销孔。靠近该环形凹处的中间销孔包括一个与该中心销孔径向对齐的销孔以及另外两个隔开120°的销孔。该外部3个销孔在相邻腔室之间的位置隔开120°。
图6A是具有13排气体孔的电极502的等离子暴露表面530的前视立体图。图6B示出具有13排气体孔的上面的立体图。
该电极502包括:外部台阶(突出物)536,其支撑该保护环508;上面(安装表面)522,其啮合该衬板506的下部表面;下面(等离子暴露的台阶状表面)530,其包括内部锥形表面544、水平表面546和外部锥形表面548;和8个上面540中的腔室550,该腔室中安装该锁紧销。
图7是衬板506的立体图。该衬板包括13排气体通道584,它们与该喷头电极502中的通道528对齐。该衬板的上面586包括三个环形区域588a、588b、588c,它们接触该热控制板510的环形突出部。该热控制板可通过延伸穿过该顶壁进入该热控制板的紧固件连接于该顶壁该等离子室,如共同转让美国专利申请2005/0133160、2007/0068629、2007/0187038、2008/0087641和2008/0090417中所描述的,特此整体结合它们的公开内容。螺纹开口590位于该上面586的外缘以及该环形区域588a、588b、588c中以容纳延伸穿过该顶板512和热控制板510中的开口而将该衬板506保持为接触该热控制板510的紧固件。见,例如,共同转让美国专利申请2008/0087641对于紧固件的描述,其可容纳热循环。该上面586中的凹槽592容纳O形环,其提供该衬板506和该热控制板510之间的气密密封。该上面586中的定位销孔594接收安装在热控制板中定位销孔中的定位销。孔560之间的位置的水平延伸螺纹开口561容纳介电紧固件,该紧固件用以防止保护环转动以及在喷头电极装配后塞住保护环的入口孔。
图8是去除保护环的喷头电极总成500的立体图。如之前所解释的,该保护环可转动至一个或多个装配位置,在这个(些)位置该凸轮锁可啮合并转动到锁紧位置,在该锁紧位置介电紧固件可插入开口561以将保护环保持为不接触衬板外缘并因此允许衬板热膨胀。该热控制板包括具有开口596的法兰595,驱动器通过该开口支撑该等离子限制环。等离子限制环总成的安装装置的细节可在共同转让美国专利申请2006/0207502和2006/0283552中找到,特此整体结合其公开内容。
该电极的安装表面522在由该衬板中8个凸轮所保持的8个锁紧销施加的卡紧力的作用下毗连衬板506的相对表面。该保护环508覆盖该衬板506中的安装孔,该保护环中的入口开口填充可去除的由耐等离子聚合材料制成的插入物,这些材料例如Torlon
Figure BPA00001257580900131
、Vespel
Figure BPA00001257580900132
、Celcon
Figure BPA00001257580900133
、Delrin
Figure BPA00001257580900134
、Teflon、Arlon
Figure BPA00001257580900136
或其他材料,如含氟聚合物、乙缩醛、聚酰胺、聚酰亚胺、聚四氟乙烯和聚醚醚酮(PEEK),具有低摩擦系数和低颗粒脱落。
参照图5,该衬板506和电极502之间的电气接触由一个或多个Q-板556提供,Q-板556位于该电极的外缘以及中心定位销和外部Q-板之间的一个或多个位置。例如,可使用直径大约4和12英寸的Q-板。共同所有美国申请11/896,375(递交于2007年8月31)包括Q-板的细节,特此通过引用结合其公开内容。为了提供不同的工艺气体混合物和/或流率,一个或多个可选气体分隔密封件可设在该中间定位销和该外部Q-板之间。例如,单个O形环可设在该电极502和该衬板506之间的该内部和外部Q-板之间的位置以将内部气体分布区域与外部气体分布区域隔开。沿该外部Q-板的内缘设在该电极502和该衬板506之间的O形环558可提供该电极和衬板之间的气体和颗粒密封。
尽管参照其具体实施方式详细描述本发明,但是对于本领域技术人员,显然可进行各种不同的改变和修改,以及采用等同方式,而不背离所附权利要求的范围。

Claims (18)

1.一种在等离子处理室中使用的喷头电极,所述电极包括:
由该喷头电极的上面和下面限定的中心部分和边缘部分,该上面包括延伸跨过该中心部分的平面,该下面由延伸跨过该中心部分的平的内表面和延伸跨过该边缘部分的台阶状外表面限定,该台阶状外表面包括形成该喷头电极的厚度增加的区域的环形平面;
该电极的中心部分中的多个气体出口,通过该出口将工艺气体传送到该喷头电极和下部电极之间的间隙,晶片支撑在该下部电极上;
多个在该边缘部分的上面中周向隔开的腔室,该腔室构造为容纳适于将该喷头电极卡紧于衬板的凸轮锁;以及
在该上面中的定位销孔,该定位销孔构造为对齐延伸进入该衬板的定位销。
2.根据权利要求1所述的电极,进一步包括该台阶状外表面中的气体孔的受限图案,适于结合压强计单元以提供该室中的真空压强测量值。
3.根据权利要求1所述的电极,其中该喷头电极的上面包括在其外缘的环形突出物,该突出物构造为支撑保护环从而该保护环的外表面与该喷头电极的外表面齐平。
4.根据权利要求1所述的电极,进一步包括在该边缘部分中上面上的环形凹处并且直径超过12英寸,该环形凹处深度小于0.25英寸、宽度至少0.5英寸,该环形凹处中的腔室直径至少0.5英寸、深度至少0.3英寸,该台阶状表面从该平的内表面延伸至少0.15英寸,该环形平面宽度至少1.5英寸。
5.根据权利要求1所述的电极,其中该台阶状表面包括内部倾斜表面和外部倾斜表面,该内部倾斜表面在该内部平面和该环形平面之间延伸,该外部倾斜表面在该环形平面和该喷头电极的外缘之间延伸,该外部倾斜表面与该环形平面的平面形成小于30度的角度,该内部倾斜表面与该环形平面的平面形成大于30度的角度。
6.一种电极总成包括:
根据权利要求1所述的喷头电极;
衬板,包括与该喷头电极中腔室对齐的轴向延伸孔以及与该轴向延伸孔连通的径向延伸孔;
能转动的凸轮轴,安装在该径向延伸孔中;
锁紧销,位于该喷头电极的腔室中,该锁紧销包括在其自由端的增大的头部,该凸轮轴包括适于啮合和锁紧该锁紧销头部以便以机械方式将该喷头电极卡紧于该衬板的切口。
7.根据权利要求6所述的电极总成,其中该锁紧销的底部位于插口中,该插口包括在其外表面上的螺纹,该螺纹与该腔室的内表面上的螺纹啮合,该插口包括啮合该喷头电极的上面的法兰,该衬板中的轴向延伸孔包括宽部和窄部,该宽部容纳该法兰以及该窄部容纳该锁紧销。
8.根据权利要求7所述的电极总成,其中该锁紧销能在该插口中轴向和横向移动以适应该衬板和该喷头电极不同的膨胀率。
9.根据权利要求6所述的电极总成,其中该喷头电极是多晶硅、单晶硅、碳化硅、铝、阳极氧化的铝或涂覆氧化钇的铝形成的板,该衬板是铝板。
10.根据权利要求6所述的电极总成,其中该衬板没有热控制冷却剂通道和加热元件。
11.根据权利要求6所述的电极总成,进一步包括连接于该衬板的热控制板,该热控制板在其下表面具有环形突出部,形成与该衬板中气体通道连通的集气室。
12.根据权利要求6所述的电极总成,进一步包括该衬板和该喷头电极之间的气体密封件,该气体密封件位于该气体通道的外面。
13.一种在等离子室中处理半导体衬底的方法,所述方法包括如下步骤:
将该半导体衬底支撑在该室中的下部电极上;
将工艺气体提供到该室;
邻近上部电极的暴露表面形成等离子;和
利用该等离子处理该半导体衬底;
其中该上部电极包括根据权利要求6所述的电极总成。
14.根据权利要求13所述的方法,其中该喷头电极的温度通过该等离子室的温度受控顶壁、热控制板和衬板控制,该热控制板包括环形突出部,其在该热控制板和该衬板之间形成集气室,该集气室与该衬板中的气体通道流体连通,该衬板中的气体通道对齐该喷头电极中的气体通道,该衬板提供该喷头电极和该热控制板之间的热路径。
15.根据权利要求13所述的方法,其中该半导体衬底包括半导体晶片,该处理步骤包括利用该等离子蚀刻该半导体晶片。
16.根据权利要求13所述的方法,其中在处理步骤期间,该上部电极接地,该下部电极通电。
17.根据权利要求13所述的方法,包括加热该喷头电极和衬板至导致该喷头电极和该衬板不同热膨胀的高温,以及通过该锁紧销的移动容纳热膨胀。
18.一种替换根据权利要求6所述的电极总成的喷头电极的方法,包括释放该凸轮锁以从该锁紧销拆卸该凸轮锁、去除该喷头电极、将新的或者翻新的喷头电极的锁紧销与该衬板中的轴孔对齐以及转动该凸轮锁以啮合该锁紧销的头部。
CN200980118489.XA 2008-07-07 2009-07-06 卡紧的整体喷头电极 Active CN102037790B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/216,524 2008-07-07
US12/216,524 US8221582B2 (en) 2008-07-07 2008-07-07 Clamped monolithic showerhead electrode
PCT/US2009/003952 WO2010005540A2 (en) 2008-07-07 2009-07-06 Clamped monolithic showerhead electrode

Publications (2)

Publication Number Publication Date
CN102037790A CN102037790A (zh) 2011-04-27
CN102037790B true CN102037790B (zh) 2014-04-16

Family

ID=41464714

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980118489.XA Active CN102037790B (zh) 2008-07-07 2009-07-06 卡紧的整体喷头电极

Country Status (8)

Country Link
US (3) US8221582B2 (zh)
EP (1) EP2301308B1 (zh)
JP (1) JP5409778B2 (zh)
KR (1) KR101183509B1 (zh)
CN (1) CN102037790B (zh)
MY (1) MY159992A (zh)
TW (1) TWI536871B (zh)
WO (1) WO2010005540A2 (zh)

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
KR100801711B1 (ko) * 2007-02-27 2008-02-11 삼성전자주식회사 반도체 식각 및 증착 공정들을 수행하는 반도체 제조장비들 및 그를 이용한 반도체 소자의 형성방법들
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
KR101553422B1 (ko) 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
JP5567494B2 (ja) * 2007-12-19 2014-08-06 ラム リサーチ コーポレーション 半導体真空処理装置用のコンポーネント・アセンブリ、アセンブリを結合する方法、及び、半導体基板を処理する方法
CN101971321B (zh) * 2008-03-14 2012-05-23 朗姆研究公司 凸轮锁电极夹具
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
JP5879069B2 (ja) 2011-08-11 2016-03-08 東京エレクトロン株式会社 プラズマ処理装置の上部電極の製造方法
US8545639B2 (en) * 2011-10-31 2013-10-01 Lam Research Corporation Method of cleaning aluminum plasma chamber parts
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8532353B2 (en) 2011-11-23 2013-09-10 Vital Images, Inc. Synthetic visualization and quantification of perfusion-related tissue viability
US20130240142A1 (en) * 2012-03-15 2013-09-19 Globalfoundries Singapore Pte. Ltd. Isolation between a baffle plate and a focus adapter
US10537013B2 (en) 2012-04-23 2020-01-14 Applied Materials, Inc. Distributed electro-static chuck cooling
US9058960B2 (en) * 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
JP5798140B2 (ja) * 2013-02-15 2015-10-21 株式会社東芝 プラズマ処理装置
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US10391526B2 (en) * 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
FI126491B (en) 2014-09-09 2017-01-13 Suunto Oy A system and method for opening a wireless device to communicate with a laptop computer via an inductive connection
US20160177544A1 (en) * 2014-12-19 2016-06-23 Caterpillar Inc. Lock for ground engaging tool
JP1545606S (zh) * 2015-08-26 2016-03-14
US10607817B2 (en) 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10801106B2 (en) 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
USD834686S1 (en) * 2016-12-15 2018-11-27 Asm Ip Holding B.V. Shower plate
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10190216B1 (en) * 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11600517B2 (en) 2018-08-17 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Screwless semiconductor processing chambers
CN110838458B (zh) * 2018-08-17 2022-08-09 台湾积体电路制造股份有限公司 半导体制程系统以及方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
KR102168313B1 (ko) * 2019-09-09 2020-10-21 김홍석 플라즈마챔버 전극 체결부재
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
CN112908819B (zh) * 2019-12-03 2022-04-01 长鑫存储技术有限公司 气体分布器及其加工方法
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US20220102117A1 (en) 2020-09-25 2022-03-31 Applied Materials, Inc. Thread profiles for semiconductor process chamber components
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN113078045B (zh) * 2021-03-25 2022-06-21 重庆臻宝实业有限公司 一种14nm干刻设备用超大型上部电极的制作方法
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
WO2023283375A1 (en) * 2021-07-08 2023-01-12 Applied Materials, Inc. Showerhead assembly with recursive gas channels
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN115388278B (zh) * 2022-08-12 2024-05-14 贵州电网有限责任公司 一种用于变电站伸缩旋转支架的旋转限位机构

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5590975A (en) * 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6702503B2 (en) * 2000-12-15 2004-03-09 Ejot Verbindungstechnik Gmbh & Co. Kb Attachment device for a component to be attached to a plate
CN1977068A (zh) * 2003-12-23 2007-06-06 兰姆研究公司 用于等离子加工装置的喷淋头电极组件

Family Cites Families (140)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) * 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JPH0766180A (ja) * 1993-08-30 1995-03-10 Sony Corp プラズマ処理装置およびそのメンテナンス方法
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3959745B2 (ja) 1995-04-07 2007-08-15 セイコーエプソン株式会社 表面処理装置
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JPH0913172A (ja) * 1995-06-28 1997-01-14 Ulvac Japan Ltd 真空装置用昇降機構
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
JPH09245994A (ja) * 1996-03-08 1997-09-19 Nagano Keiki Seisakusho Ltd プラズマ利用の加工装置用電極およびその電極の製造方法
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
JP2001525997A (ja) 1997-05-20 2001-12-11 東京エレクトロン株式会社 処理装置
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
WO2000045425A1 (en) 1999-02-01 2000-08-03 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6899786B2 (en) 2000-05-17 2005-05-31 Tokyo Electron Limited Processing device and method of maintaining the device, mechanism and method for assembling processing device part, and lock mechanism and method for locking the lock mechanism
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
JP3775987B2 (ja) 2000-12-26 2006-05-17 松下電器産業株式会社 プラズマ処理装置
US7211170B2 (en) 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) * 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
WO2003100817A1 (en) * 2002-05-23 2003-12-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
WO2005052414A2 (en) * 2003-11-25 2005-06-09 Garlock Sealing Technologies, Llc Corrugated gasket core with profiled surface
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
EP1772429A4 (en) * 2004-06-22 2010-01-06 Shin Etsu Film Co Ltd METHOD FOR PRODUCING POLYCRYSTALLINE SILICON AND POLYCRYSTALLINE SILICON FOR A SOLAR CELL PRODUCED BY THE METHOD
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7875824B2 (en) 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
KR101519684B1 (ko) * 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101553422B1 (ko) * 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
CN101971321B (zh) 2008-03-14 2012-05-23 朗姆研究公司 凸轮锁电极夹具
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
CN102484940B (zh) 2009-08-31 2015-11-25 朗姆研究公司 局部等离子体约束和压强控制装置及其方法
KR20170125419A (ko) 2009-08-31 2017-11-14 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
CA2821282C (en) 2010-12-13 2019-02-19 Altria Client Services Inc. Process of preparing printing solution and making patterned cigarette wrappers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5590975A (en) * 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6702503B2 (en) * 2000-12-15 2004-03-09 Ejot Verbindungstechnik Gmbh & Co. Kb Attachment device for a component to be attached to a plate
CN1977068A (zh) * 2003-12-23 2007-06-06 兰姆研究公司 用于等离子加工装置的喷淋头电极组件

Also Published As

Publication number Publication date
EP2301308A4 (en) 2013-08-07
US20130337654A1 (en) 2013-12-19
MY159992A (en) 2017-02-15
TW201016079A (en) 2010-04-16
US20120258603A1 (en) 2012-10-11
JP2011521472A (ja) 2011-07-21
US8221582B2 (en) 2012-07-17
WO2010005540A3 (en) 2010-04-22
US20100003829A1 (en) 2010-01-07
US8796153B2 (en) 2014-08-05
EP2301308B1 (en) 2014-09-03
TWI536871B (zh) 2016-06-01
CN102037790A (zh) 2011-04-27
US8414719B2 (en) 2013-04-09
WO2010005540A2 (en) 2010-01-14
JP5409778B2 (ja) 2014-02-05
WO2010005540A4 (en) 2010-06-24
KR20100118997A (ko) 2010-11-08
EP2301308A2 (en) 2011-03-30
KR101183509B1 (ko) 2012-09-21

Similar Documents

Publication Publication Date Title
CN102037790B (zh) 卡紧的整体喷头电极
CN201919233U (zh) 夹紧的单体喷头电极和喷头电极组件
CN202025711U (zh) 喷头电极总成和用于喷头电极总成的垫圈
TWI504317B (zh) 受夾固之單晶噴淋頭電極組件
US10262834B2 (en) Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US8573152B2 (en) Showerhead electrode
US8402918B2 (en) Showerhead electrode with centering feature
CN202695373U (zh) 凸轮锁定的网状电极及其组件
US7939778B2 (en) Plasma processing chamber with guard ring for upper electrode assembly
KR200462655Y1 (ko) 클램프형 모놀리식 샤워헤드 전극

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant