KR20100118997A - 클램핑된 모놀리식 샤워헤드 전극 - Google Patents

클램핑된 모놀리식 샤워헤드 전극 Download PDF

Info

Publication number
KR20100118997A
KR20100118997A KR1020107021125A KR20107021125A KR20100118997A KR 20100118997 A KR20100118997 A KR 20100118997A KR 1020107021125 A KR1020107021125 A KR 1020107021125A KR 20107021125 A KR20107021125 A KR 20107021125A KR 20100118997 A KR20100118997 A KR 20100118997A
Authority
KR
South Korea
Prior art keywords
electrode
backing plate
showerhead electrode
plasma
locking pin
Prior art date
Application number
KR1020107021125A
Other languages
English (en)
Other versions
KR101183509B1 (ko
Inventor
로저 패트릭
그레고리 알 베텐코트
마이클 씨 켈로그
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100118997A publication Critical patent/KR20100118997A/ko
Application granted granted Critical
Publication of KR101183509B1 publication Critical patent/KR101183509B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S403/00Joints and connections
    • Y10S403/12Furniture type having a rotatable fastener or fastening element that tightens connection
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S403/00Joints and connections
    • Y10S403/13Furniture type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/32Articulated members
    • Y10T403/32254Lockable at fixed position
    • Y10T403/32426Plural distinct positions
    • Y10T403/32442At least one discrete position
    • Y10T403/32451Step-by-step adjustment
    • Y10T403/32459Retainer extends through aligned recesses
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/70Interfitted members
    • Y10T403/7005Lugged member, rotary engagement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/70Interfitted members
    • Y10T403/7009Rotary binding cam or wedge
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T403/00Joints and connections
    • Y10T403/70Interfitted members
    • Y10T403/7041Interfitted members including set screw

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 반도체 기판 프로세싱 시에 사용되는 플라즈마 반응 챔버에 대한 전극 어셈블리에 관한 것이다. 전극 어셈블리는 일련의 이격된 캠 록에 의해 백킹 플레이트에 기계적으로 부착되는 상부 샤워헤드 전극을 포함한다. 가드 링은 백킹 플레이트를 둘러싸고 가드 링 내의 개구가 백킹 플레이트 내의 개구와 정렬하는 위치로 이동가능하여 캠 록은 전극의 상부면으로부터 연장되는 로킹 핀을 릴리징하기 위해 툴에 의해 회전될 수 있다.

Description

클램핑된 모놀리식 샤워헤드 전극{CLAMPED MONOLITHIC SHOWERHEAD ELECTRODE}
본 발명은 반도체 컴포넌트들이 제조될 수 있는 플라즈마 프로세싱 챔버의 샤워헤드 전극 어셈블리에 관한 것이다.
일 실시형태에 따르면, 샤워헤드 전극 어셈블리는 백킹 플레이트에 클램핑된 모놀리식 단차부가 형성된 전극 (monolithic stepped electrode) 을 포함하며, 샤워헤드 전극 어셈블리는 용량성 커플링된 플라즈마 프로세싱 챔버의 상부 전극을 포함한다. 단차부가 형성된 전극은 그 하부면 상에 플라즈마 노출면을 갖고 그 상부면 상에 탑재면을 갖는 원형 플레이트이다. 탑재면은 백킹 플레이트 내의 정렬 핀 홀과 매칭하는 패턴으로 배열된 정렬 핀을 수용하도록 구성된 복수의 정렬 핀 리세스를 포함하며, 그 백킹 플레이트에 대하여 원형 플레이트는 캠 록 (cam lock) 에 의해 유지되며, 상기 원형 플레이트는 백킹 플레이트 내의 가스 공급 홀과 매칭하는 패턴으로 배열된 프로세스 가스 유출구를 포함한다. 상부면은 내부 평면을 둘러싸는 외부 리세스면을 포함하며, 플라즈마 노출면은 내부 및 외부 경사면을 포함한다. 외부 리세스면 내의 복수의 원주방향으로 이격된 포켓이 캠 록과 협력하여 단차부가 형성된 전극을 백킹 플레이트에 클램핑하도록 구성된 로킹 핀을 내부에 수용하도록 구성된다.
다른 실시형태에 따르면, 용량성 커플링된 플라즈마 프로세싱 챔버의 샤워헤드 전극 어셈블리는 열 제어 플레이트, 백킹 플레이트, 가드 링 및 단차부가 형성된 전극을 포함한다. 열 제어 플레이트는 플라즈마 프로세싱 챔버의 온도 제어된 벽에 의해 지지되며, 열 제어 플레이트는 플라즈마 프로세싱 챔버에서 프로세싱될 웨이퍼보다 큰 직경을 가지며, 그 하부측의 환상 돌출부를, 그 환상 돌출부 사이의 가스 플레넘과 함께 포함한다. 백킹 플레이트는 열 제어 플레이트에 의해 지지되며 열 제어 플레이트, 그들을 통한 가스 통로, 및 수평으로 연장되는 보어 (bore) 내의 캠 록보다 작은 직경을 갖는다. 차폐링은 그 차폐링을 통과하는 적어도 하나의 수평으로 연장되는 액세스 보어 및 백킹 플레이트의 외주부 (outer periphery) 의 두께와 같은 높이를 가지며, 그 차폐링은 액세스 보어를 캠 록 중 적어도 하나의 캠 록과 정렬시키기 위해 백킹 플레이트 주위에서 회전가능하다. 단차부가 형성된 전극은 백킹 플레이트 내의 가스 통로와 유체 소통하는 가스 통로를 갖는다. 단차부가 형성된 전극은 캠 록과 맞물리는 수직으로 연장되는 로킹 핀을 포함하며, 단차부가 형성된 전극은 차폐링을 지지하고 로킹 핀을 캠 록으로부터 릴리징함으로써 제거가능하다.
도 1 은 가드 링을 갖는 기판을 에칭하기 위한 용량성 커플링된 플라즈마 리액터의 상부 전극을 형성하는 샤워헤드 전극 어셈블리의 단면도를 도시한다.
도 2a 는 도 1 에 도시된 리액터에서 단차부가 형성된 전극을 클램핑하기 위한 일 예시적인 캠 록의 3 차원 표현이다.
도 2b 는 도 2a 의 예시적인 캠 록 전극 클램프의 단면도이다.
도 3 은 도 2a 및 도 2b 의 캠 록 클램프에 이용되는 일 예시적인 로킹 핀의 측면 및 조립도이다.
도 4a 는 도 2a 및 도 2b 의 캠 록 클램프에 이용되는 일 예시적인 캠샤프트의 측면 및 조립도이다.
도 4b 는 도 4a 의 캠샤프트의 일부의 일 예시적인 커터-경로 에지의 단면도이다.
도 5 는 단차부가 형성된 전극, 백킹 플레이트, 열 제어 플레이트, 가드 링 및 최상부 플레이트를 가진 샤워헤드 전극 어셈블리를 도시한 도면이다.
도 6a 및 도 6b 는 단차부가 형성된 전극의 투시도이다.
도 7 은 백킹 플레이트의 투시도이다.
도 8 은 가드 링이 없는 샤워헤드 전극 어셈블리의 투시도이다.
집적 회로 칩의 제작은 통상적으로 "웨이퍼" 라 불리는 (실리콘 또는 게르마늄과 같은) 고순도, 단결정 반도체 재료 기판의 얇고 폴리싱된 슬라이스에서 시작된다. 각 웨이퍼에는 그 웨이퍼 상에 다양한 회로 구조를 형성하는 물리적 및 화학적 프로세싱 단계들의 시퀀스가 실시된다. 제작 프로세스 동안, 다양한 타입의 얇은 막들이 실리콘 이산화물 막을 생성하기 위한 열 산화, 실리콘 막, 실리콘 이산화물 막 및 실리콘 질화물 막을 생성하기 위한 화학 기상 증착, 및 다른 금속 막들을 생성하기 위한 스퍼터링 또는 다른 기술들과 같은 다양한 기술들을 이용하여 웨이퍼 상에 증착될 수도 있다.
반도체 웨이퍼 상에 막을 증착한 후에, 고유한 전기적 특성의 반도체가 도핑이라 불리는 프로세스를 이용하여 선택된 불순물을 반도체 결정 격자 내에 치환함으로써 생성된다. 그 후, 도핑된 실리콘 웨이퍼에는 "레지스트" 라 불리는, 감광성, 또는 감방사선성 재료의 얇은 층이 균일하게 코팅될 수도 있다. 그 후, 레지스트 상에는 리소그래피로 알려진 프로세스를 이용하여 회로 내의 전자 경로를 정의하는 작은 기하학적 패턴이 전사될 수도 있다. 리소그래픽 프로세스 동안, 집적 회로 패턴이 "마스크" 라 불리는 유리 플레이트 상에 묘화된 후 감광성 코팅에 광학적으로 축소, 투영 및 전사될 수도 있다.
그 후, 리소그래피된 레지스트 패턴은 에칭으로 알려진 프로세스를 통하여 반도체 재료의 기저의 결정면 (crystalline surface) 에 전사된다. 진공 프로세싱 챔버는 일반적으로 에칭 또는 증착 가스를 진공 챔버에 공급하고 그 가스에 무선 주파수 (RF) 필드를 인가하여 그 가스를 플라즈마 상태로 에너자이징함으로써 기판 상에의 재료의 에칭 및 화학 기상 증착 (CVD) 을 위해 사용된다.
반응성 이온 에칭 시스템은 통상적으로 상부 전극 또는 애노드 및 하부 전극 또는 캐소드가 내부에 위치하는 에칭 챔버로 이루어진다. 캐소드는 애노드 및 컨테이너 벽에 대하여 네거티브로 바이어싱된다. 에칭될 웨이퍼는 적절한 마스크에 의해 커버되고 캐소드 바로 위에 배치된다. CF4, CHF3, CClF3, HBr, Cl2 및 SF6 또는 이들의 O2, N2, He 또는 Ar 과의 혼합물과 같은 화학적 반응성 가스가 에칭 챔버 내로 도입되고 통상적으로 밀리토르 범위에 있는 압력으로 유지된다. 상부 전극에는 그 전극을 통하여 가스가 챔버 내로 균일하게 소산되는 것을 허용하는 가스 홀(들)이 제공된다. 애노드와 캐소드 사이에 확립되는 전계는 플라즈마를 형성하는 반응성 가스를 해리시킬 것이다. 웨이퍼의 표면은 활성 이온과의 화학적 상호작용에 의해 및 웨이퍼의 표면에 부딪치는 이온들의 운동량 전달 (momentum transfer) 에 의해 에칭된다. 전극들에 의해 생성되는 전계는 이온들을 캐소드로 끌어당겨, 그 이온들이 대부분 수직 방향으로 표면에 부딪치도록 하여, 프로세스는 명확한 (well-defined) 수직으로 에칭된 측벽을 생성한다. 에칭 리액터 전극은 종종 기계적 순응 (compliant) 및/또는 열 전도성 접착제로 2 개 이상의 다른 부재들을 본딩함으로써 제작될 수도 있어, 기능의 다양성을 허용한다.
도 1 은 기판을 에칭하기 위한 플라즈마 프로세싱 시스템의 샤워헤드 전극 어셈블리 (100) 의 일부의 단면도를 도시한다. 도 1 에 도시한 바와 같이, 샤워헤드 전극 어셈블리 (100) 는 단차부가 형성된 전극 (110), 백킹 플레이트 (140) 및 가드 링 (또는 외부 링) (170) 을 포함한다. 또한, 샤워헤드 전극 어셈블리 (100) 는 상부 전극 (110) 및 백킹 플레이트 (140) 의 외주부를 둘러싸는 플라즈마 한정 어셈블리 (또는 웨이퍼 영역 압력 (WAP) 어셈블리) (180) 를 포함한다.
또한, 샤워헤드 전극 어셈블리 (100) 는 열 제어 플레이트 (102), 및 액체 플로우 채널을 내부에 가지며 챔버의 온도 제어된 벽을 형성하는 상부 (최상부) 플레이트 (104) 를 포함한다. 단차부가 형성된 전극 (110) 은 원통형 플레이트인 것이 바람직하며 단결정 실리콘, 다결정 실리콘, 실리콘 탄화물 또는 다른 적절한 재료 (이를 테면, 알루미늄 또는 알루미늄의 합금, 양극처리된 알루미늄, 산화이트륨 코팅된 알루미늄) 와 같은 전도성의 고순도 재료로 제조될 수도 있다. 백킹 플레이트 (140) 는 후술되는 기계적 패스너 (fastener) 를 이용하여 전극 (110) 에 기계적으로 고정된다. 가드 링 (170) 은 백킹 플레이트 (140) 를 둘러싸고 후술되는 바와 같이 캠 로킹 부재에 액세스를 제공한다.
샤워헤드 전극 어셈블리 (100) 는 도 1 에 도시한 바와 같이 통상적으로 상부 전극 (110) 하부의 약 1cm 내지 2cm 의 거리에 웨이퍼가 지지되는 편평한 하부 전극을 통합하는 정전척 (미도시) 으로 사용된다. 이러한 플라즈마 프로세싱 시스템의 일 예는 캘리포니아주, 프레몬트 소재의 Lam Research Corporation 에 의해 제조된 Exelan® 유전체 에칭 시스템과 같은 평행 플레이트 타입 리액터이다. 이러한 척킹 장치는 후면측 헬륨 (He) 압력을 공급함으로써 웨이퍼의 온도 제어를 제공하는데, 이는 웨이퍼와 척 사이의 열 전달의 레이트를 제어한다.
상부 전극 (110) 은 주기적으로 교체되어야 하는 소모성 부품이다. 웨이퍼와 상부 전극 사이의 갭에 프로세스 가스를 공급하기 위해, 상부 전극 (110) 에는, 전극에 의해 에너자이징되고 상부 전극 (110) 바로 밑의 반응 구역 내에 플라즈마를 형성하는 프로세스 가스를 공급하기에 적합한 사이즈 및 분포의 가스 배출 통로 (106) 가 제공된다.
또한, 샤워헤드 전극 어셈블리 (100) 는 상부 전극 (110) 및 백킹 플레이트 (140) 의 외주부를 둘러싸는 플라즈마 한정 어셈블리 (또는 웨이퍼 영역 플라즈마 (WAP) 어셈블리) (180) 를 포함한다. 플라즈마 한정 어셈블리 (180) 는 스택 또는 복수의 이격된 석영 링 (190) 으로 구성되는 것이 바람직한데, 이는 상부 전극 (110) 및 백킹 플레이트 (140) 의 외주부를 둘러싼다. 프로세싱 동안, 플라즈마 한정 어셈블리 (180) 는 반응 구역 내에 압력차를 야기하며 반응 챔버 벽과 플라즈마 사이의 전기 저항을 증가시켜 상부 전극 (110) 과 하부 전극 (미도시) 사이에 플라즈마를 한정한다.
이용 중에, 한정 링 (190) 은 플라즈마를 챔버 볼륨에 한정하며 반응 챔버 내의 플라즈마의 압력을 제어한다. 반응 챔버에 대한 플라즈마의 한정은 한정 링 (190) 간의 스페이싱, 플라즈마에서의 및 한정 링 외부의 반응 챔버 내의 압력, 가스의 타입 및 플로우 레이트는 물론 RF 전력의 레벨 및 주파수를 포함하는 다수의 팩터들의 함수이다. 플라즈마의 한정은 한정 링 (190) 간의 스페이싱이 매우 작은 경우에 보다 쉽게 달성된다. 통상적으로, 0.15 인치 이하의 스페이싱이 한정을 위해 요구된다. 그러나, 한정 링 (190) 의 스페이싱은 또한 플라즈마의 압력을 결정하며, 그 스페이싱이 플라즈마를 유지하는 동안 최적의 프로세스 성능을 위해 요구되는 압력을 달성하도록 조정될 수 있는 것이 바람직하다. 가스 공급부로부터의 프로세스 가스는 그 프로세스 가스가 웨이퍼의 상방의 단일의 구역 또는 다수의 구역으로 공급되는 것을 허용하는 상부 플레이트 (104) 내의 하나 이상의 통로를 통하여 전극 (110) 에 공급된다.
전극 (110) 은 중심 (미도시) 으로부터, 외부 에지로부터 내부로 연장되는 플라즈마 노출면 상에 단차를 형성하는 증가된 두께의 영역까지 균일한 두께를 갖는 평면 디스크 또는 플레이트인 것이 바람직하다. 전극 (110) 은 프로세싱될 웨이퍼보다 큰, 예를 들어 300mm 넘는 직경을 갖는 것이 바람직하다. 상부 전극 (110) 의 직경은 300mm 웨이퍼를 프로세싱하기 위해 약 15 인치 내지 약 17 인치일 수 있다. 상부 전극 (110) 은 프로세스 가스를 상부 전극 (110) 하방의 플라즈마 반응 챔버 내의 공간으로 주입하기 위해 다수의 가스 통로 (106) 를 포함하는 것이 바람직하다.
단결정 실리콘 및 다결정 실리콘은 전극 (110) 의 플라즈마 노출면에 대한 바람직한 재료이다. 고순도, 단결정 또는 다결정 실리콘은, 단지 최소량의 바람직하지 않은 엘리먼트들만을 반응 챔버 내로 도입하기 때문에 플라즈마 프로세싱 동안 기판의 오염을 최소화시키며, 또한 플라즈마 프로세싱 동안 스무스하게 마모시켜, 입자를 최소화한다. 상부 전극 (110) 의 플라즈마 노출면을 위해 사용될 수 있는 재료들의 복합물을 포함하는 대안의 재료로는 예를 들어, 알루미늄 (본원에 이용되는 바와 같이, "알루미늄" 은 순수한 Al 및 그 Al 의 합금을 지칭한다), 산화이트륨 코팅된 알루미늄, SiC, SiN 및 AlN 을 들 수 있다.
백킹 플레이트 (140) 는 플라즈마 프로세싱 챔버에서 반도체 기판을 프로세싱하는데 이용되는 프로세스 가스와 화학적으로 양립가능한 재료로 제조되는 것이 바람직하며, 전극 재료의 열팽창 계수와 근접하게 매칭하는 열팽창 계수를 가지며, 및/또는 전기 및 열 전도성이 있다. 백킹 플레이트 (140) 를 제조하는데 사용될 수 있는 바람직한 재료로는 그래파이트, SiC, 알루미늄 (Al) 또는 다른 적절한 재료를 포함하지만, 이들로 제한되지는 않는다.
상부 전극 (110) 은 전극과 백킹 플레이트 사이에 임의의 접착제 본딩 없이 백킹 플레이트 (140) 에 기계적으로 부착되며, 즉, 열 및 전기 전도성 엘라스토머 본딩 재료가 전극을 백킹 플레이트에 부착시키는데 사용되지 않는다.
백킹 플레이트 (140) 는 나사산이 있는 볼트 (threaded bolt), 스크류 등일 수 있는 적절한 기계적 패스너를 이용하여 열 제어 플레이트 (102) 에 부착되는 것이 바람직하다. 예를 들어, 볼트 (미도시) 는 열 제어 플레이트 (102) 내의 홀들 내에 삽입될 수 있고 백킹 플레이트 (140) 내의 나사산이 있는 개구 내로 스크류될 수 있다. 열 제어 플레이트 (102) 는 굴곡부 (flexure portion; 184) 를 포함하며 알루미늄, 알루미늄 합금 등과 같은 머시닝된 (machined) 금속성 재료로 제조되는 것이 바람직하다. 상부 온도 제어된 플레이트 (104) 는 알루미늄 또는 알루미늄 합금으로 제조되는 것이 바람직하다. 플라즈마 한정 어셈블리 (또는 웨이퍼 영역 플라즈마 (WAP) 어셈블리) (180) 는 샤워헤드 전극 어셈블리 (100) 의 외부에 위치된다. 복수의 수직으로 조정가능한 플라즈마 한정 링 (190) 을 포함하는 적절한 플라즈마 한정 어셈블리 (180) 는 본원에 참조에 의해 완전히 포함되는 공동 소유의 미국 특허 제5,534,751호에 기재되어 있다.
상부 전극은 개시물이 본원에 참조에 의해 포함되는 2008년 3월 14일자로 출원된 공동 소유의 미국 출원 제61/036,862호에 기재된 바와 같이 캠 록 메커니즘에 의해 백킹 플레이트에 기계적으로 부착될 수 있다. 도 2a 와 관련하여, 일 예시적인 캠 록 전극 클램프의 3 차원 도면은 전극 (201) 및 백킹 플레이트 (203) 의 부분들을 포함한다. 전극 클램프는 도 1 에 도시된 플라즈마 에칭 챔버와 같은 다양한 팹 (fab)-관련 툴에서 소모성 전극 (201) 을 백킹 플레이트에 신속하게, 깨끗하게, 그리고 정확하게 부착시킬 수 있다.
전극 클램프는 소켓 (213) 내에 탑재되는 스터드 (로킹 핀) (205) 를 포함한다. 스터드는 예를 들어 스테인레스 강 벨빌 와셔 (Belleville washer) 와 같은 디스크 스프링 스택 (215) 에 의해 둘러싸이게 될 수도 있다. 스터드 (205) 및 디스크 스프링 스택 (215) 은 그 때 압입 끼워맞춤 (press-fit) 될 수도 있으며 또는 다른 방법으로 접착제 또는 기계적 패스너의 이용을 통하여 소켓 (213) 내에 고정될 수도 있다. 스터드 (205) 및 디스크 스프링 스택 (215) 은 제한된 양의 측방 이동이 전극 (201) 과 백킹 플레이트 (203) 사이에서 가능하도록 소켓 (213) 내에 배열된다. 측방 이동량을 제한하는 것은 전극 (201) 과 백킹 플레이트 (203) 사이에 타이트한 끼워맞춤을 허용하여 우수한 열 접촉을 보장하는 동시에, 여전히 2 개의 부품들 사이의 열팽창의 차이를 설명하기 위해 약간의 이동을 제공한다. 제한된 측방 이동 피쳐에 대한 추가적인 상세는 이하 더 상세하게 논의된다.
특정 예시적인 실시형태에서, 소켓 (213) 은 베어링-그레이드 Torlon® 으로부터 제작된다. 대안으로, 소켓 (213) 은 우수한 강도와 같은 소정의 기계적 특성들을 지닌 다른 재료들로부터 제작될 수도 있으며, 내충격성, 크립 저항성 (creep resistance), 치수 안정성, 내방사선 (radiation resistance) 및 내화학성이 쉽게 이용될 수도 있다. 폴리아미드, 폴리이미드, 아세탈 및 초고분자량 폴리에틸렌 재료들과 같은 다양한 재료들이 모두 적합할 수도 있다. 230℃ 가 에칭 챔버와 같은 애플리케이션에서 접하게 되는 통상의 최대 온도이기 때문에, 고온 특정 플라스틱 및 다른 관련 재료들이 소켓 (213) 을 형성하기 위해 요구되지 않는다. 일반적으로, 통상의 동작 온도는 130℃ 에 가깝다.
전극 클램프의 다른 부분들은 각 단에서 한 쌍의 캠샤프트 베어링 (209) 에 의해 둘러싸이게 되는 캠샤프트 (207) 로 구성된다. 캠샤프트 (207) 및 캠샤프트 베어링 어셈블리는 백킹 플레이트 (203) 에 머시닝된 백킹 플레이트 보어 (211) 내에 탑재된다. 300mm 반도체 웨이퍼를 위해 설계된 에칭 챔버에 대한 통상의 애플리케이션에서는, 8 개 이상의 전극 클램프가 전극 (201)/백킹 플레이트 (203) 조합의 주변부 주위에 간격을 두고 배치될 수도 있다.
캠샤프트 베어링 (209) 은 Torlon®, Vespel®, Celcon®, Delrin®, Teflon®, Arlon®, 또는 낮은 마찰 계수 및 낮은 입자 박리 (particle shedding) 를 갖는 다른 재료들, 이를 테면 플루오로폴리머, 아세탈, 폴리아미드, 폴리이미드, 폴리테트라플루오로에틸렌 및 폴리에테르에테르케톤 (PEEK) 을 포함하는 다양한 재료로부터 머시닝될 수도 있다. 스터드 (205) 및 클램프샤프트 (207) 는 스테인레스 강 (예를 들어, 316, 316L, 17-7 등) 또는 우수한 강도 및 내식성을 제공하는 임의의 다른 재료로부터 머시닝될 수도 있다.
이제, 도 2b 를 참조하면, 전극 캠 클램프의 단면도는 또한, 전극 (201) 을 백킹 플레이트 (203) 에 밀접하게 잡아당김으로써 캠 클램프가 어떻게 동작하는지를 예시한다. 스터드 (205)/디스크 스프링 스택 (215)/소켓 (213) 어셈블리는 전극 (201) 내에 탑재된다. 도시한 바와 같이, 상기 어셈블리는 소켓 (213) 상의 외부 나사산에 의하여 전극 (201) 내의 나사산이 있는 포켓 안으로 스크류될 수도 있다. 그러나, 소켓은 접착제 또는 다른 타입의 기계적 패스너에 의해서도 탑재될 수도 있다.
도 3 에서, 확장 헤드 (enlarged head) 를 갖는 스터드 (205), 디스크 스프링 스택 (215) 및 소켓 (213) 의 정면 및 조립도 (300) 는 캠 록 전극 클램프의 일 예시적인 설계에 대해 추가적인 상세를 제공한다. 특정 예시적인 실시형태에서, 스터드/디스크 스프링 어셈블리 (301) 는 소켓 (213) 내에 압입 끼워맞춤된다. 소켓 (213) 은 가벼운 토크 (torque) (예를 들어, 특정 예시적인 실시형태에서는, 약 20inch-pounds) 로 전극 (201) (도 2a 및 도 2b 참조) 내로의 용이한 삽입을 허용하는 6 각형의 최상부 부재 및 외부 나사산을 갖는다. 상술한 바와 같이, 소켓 (213) 은 다양한 타입의 플라스틱으로부터 머시닝될 수도 있다. 플라스틱을 이용하는 것은 입자 생성을 최소화하며 소켓 (213) 의 전극 (201) 상의 결합 (mating) 포켓 내로의 골이 없는 (gall-free) 설치를 허용한다.
스터드/소켓 어셈블리 (303) 는 소켓 (213) 의 상부 부분에서의 내부 직경이 스터드 (205) 의 중간 부분의 외부 직경보다 크다는 것을 나타낸다. 2 개의 부분들 사이의 직경의 차이는 상기 논의한 바와 같이 어셈블링된 전극 클램프에 있어서 제한된 측방 이동을 허용한다. 스터드/디스크 스프링 어셈블리 (301) 는 직경의 차이가 약간의 측방 이동을 허용하는 동안 (또한, 도 2b 참조) 소켓 (213) 의 기저부에서 소켓 (213) 과 단단하게 접촉하여 유지된다.
도 4a 와 관련하여, 캠샤프트 (207) 및 캠샤프트 베어링 (209) 의 분해 조립도 (400) 는 또한 키잉 핀 (401) 을 나타낸다. 키잉 핀 (401) 을 갖는 캠샤프트 (207) 의 단이 먼저 백킹 플레이트 보어 (211) (도 2b 참조) 내로 삽입된다. 백킹 플레이트 보어 (211) 의 원단 (a far end) 에 있는 한 쌍의 작은 결합 홀 (미도시) 이 캠샤프트 (207) 의 백킹 플레이트 보어 (211) 내로의 정확한 정렬을 제공한다. 캠샤프트 (207) 의 측면도 (420) 는 캠샤프트 (207) 의 일단의 6 각형 개구 (403) 및 타단의 키잉 핀 (401) 의 가능한 배치를 명확하게 나타낸다.
예를 들어, 계속 도 4a 및 도 2b 와 관련하여, 전극 캠 클램프는 캠샤프트 (207) 를 백킹 플레이트 보어 (211) 내로 삽입함으로써 어셈블링된다. 키잉 핀 (401) 은 한 쌍의 작은 결합 홀 중 하나와 상호작용함으로써 백킹 플레이트 보어 (211) 에서의 캠샤프트 (207) 의 회전 이동을 제한한다. 캠샤프트는 먼저 6 각형 개구 (403) 의 이용을 통하여 일 방향으로, 예를 들어 반시계 방향으로 터닝되어 스터드 (205) 의 캠샤프트 (207) 로의 진입을 허용한 후, 시계방향으로 터닝되어 스터드 (205) 를 완전히 맞물리게 하여 로킹할 수도 있다. 전극 (201) 을 백킹 플레이트 (203) 에 유지하기 위해 요구되는 클램프력은 디스크 스프링 스택 (215) 을 그들의 자유 스택 높이를 넘어 압축함으로써 공급된다. 캠샤프트 (207) 는 샤프트 (205) 의 확장 헤드와 맞물리는 내부 편심 내부 컷아웃을 갖는다. 디스크 스프링 스택 (215) 이 압축됨에 따라, 클램프력은 디스크 스프링 스택 (215) 내의 개별 스프링으로부터 소켓 (213) 으로 및 전극 (201) 을 통하여 백킹 플레이트 (203) 로 전달된다.
예시적인 동작 모드에서, 일단 캠샤프트 베어링이 캠샤프트 (207) 에 부착되고 백킹 플레이트 보어 (211) 내로 삽입되면, 캠샤프트 (207) 는 완전 회전 이동으로 반시계 방향으로 회전된다. 스터드/소켓 어셈블리 (303) (도 3) 는 그 후 전극 (201) 으로 약간 토크된다. 스터드 (205) 의 헤드는 그 후 수평으로 연장되는 백킹 플레이트 보어 (211) 하방의 수직으로 연장되는 스루 홀 내로 삽입된다. 키잉 핀이 2 개의 작은 결합 홀 (미도시) 중 두번째 홀까지 드롭할 때까지 또는 (이하 더 상세하게 논의되는) 딸각하는 소리 (audible click) 가 들리게 될 때까지 둘 중 하나에서 전극 (201) 은 백킹 플레이트 (203) 에 대하여 유지되고 캠샤프트 (207) 는 시계 방향으로 회전된다. 예시적인 동작 모드는 전극 (201) 을 백킹 플레이트 (203) 로부터 분해하도록 역전될 수도 있다. 그러나, 딸깍하는 소리와 같은 피쳐는 캠 록 장치에 있어서 선택적이다.
도 4b 와 관련하여, 도 4a 의 캠샤프트 (207) 의 측면도 (420) 의 단면도 A-A 는 스터드 (205) 의 헤드가 완전히 고정되는 커터 경로 에지 (440) 를 나타낸다. 특정 예시적인 실시형태에서, 2 개의 반경 (R1 및 R2) 은 상술된 선택적인 딸각하는 소리 잡음을 스터드 (205) 의 헤드가 만들어 스터드 (205) 가 완전히 고정되는 경우를 나타내도록 선택된다.
도 5 는 다음의 피쳐들 : (a) 캠-로킹된 비-본딩 전극 (502); (b) 백킹 플레이트 (506); 및 (c) 전극을 백킹 플레이트 (506) 에 유지하는 캠 록에 액세스를 허용하는 가드 링 (508) 을 포함하는 용량성 커플링된 플라즈마 챔버에 대한 상부 전극 어셈블리 (500) 를 나타낸다.
상부 전극 어셈블리 (500) 는 챔버 외부로부터 챔버의 온도 제어된 최상부 벽 (512) 까지 볼트된 열 제어 플레이트 (510) 를 포함한다. 전극 (502) 은 도 2 내지 도 4 를 참조하여 선행 기술되는 캠 록 메커니즘 (514) 에 의해 챔버 내부로부터 백킹 플레이트에 릴리즈하게 부착된다.
바람직한 실시형태에서, 전극 어셈블리 (500) 의 전극 (502) 은 (a) 가드 링 (508) 을, 그 가드 링 내의 4 개의 홀을 백킹 플레이트의 외부 부분에 간격을 두고 배치된 위치에 위치하는 4 개의 캠 록 (514) 과 정렬시키는 제 1 위치로 회전시킴으로써; (b) 가드 링 내의 각 홀을 통하여 앨렌 렌치 (allen wrench) 와 같은 툴을 삽입하고 각각의 캠 록의 수직으로 연장되는 로킹 핀을 릴리징하기 위해 각 캠 록을 회전시킴으로써; (c) 가드 링을, 그 가드 링 내의 4 개의 홀을 다른 4 개의 캠 록과 정렬시키는 제 2 위치로 90°회전시킴으로써; 및 (d) 가드 링 내의 각 홀을 통하여 앨렌 렌치와 같은 툴을 삽입하고 각각의 캠 록의 로킹 핀을 릴리징하기 위해 각각의 캠 록을 회전시킴으로써 분해될 수 있으며; 이로써 전극 (502) 은 플라즈마 챔버로부터 하강 및 제거될 수 있다.
도 5 는 또한 회전가능한 캠 록 (514) 이 백킹 플레이트 (506) 의 외부 부분 내의 수평으로 연장되는 보어 (560) 내에 위치되는 캠 록 장치 중 하나의 단면도를 도시한다. 원통형 캠 록 (514) 은 앨렌 렌치와 같은 툴에 의해 (a) 로킹 핀 (562) 의 확장 단이 그 로킹 핀의 확장 헤드를 리프팅하는 캠 록 (514) 의 캠 표면에 의해 맞물리게 되는 록 위치로 또는 (b) 로킹 핀 (562) 이 캠 록 (514) 에 의해 맞물리게 되지 않는 릴리즈 위치로 회전가능하다. 백킹 플레이트는 그 하부면 내에 수직으로 연장되는 보어를 포함하며, 그 하부면을 통하여 로킹 핀이 캠 록과 맞물리게 하기 위해 삽입된다.
도 6a 및 도 6b 는 전극 (502) 의 상세를 도시한다. 전극 (502) 은 정렬 핀 (524) 을 수용하는 상부면 (탑재면; 522) 에 정렬 핀 홀 (520) 을 가진 고순도 (10ppm 미만의 불순물) 저저항율 (0.005 내지 0.02ohm-cm) 단결정 실리콘의 플레이트인 것이 바람직하다. 가스 홀 (528) 은 상부면으로부터 하부면 (플라즈마 노출면; 530) 까지 연장되며, 임의의 적절한 패턴으로 배열될 수 있다. 도시된 실시형태에서, 가스 홀은 13 개의 원주방향으로 연장되는 로우들로 배열되는데, 제 1 로우의 3 개의 가스 홀은 전극의 중심으로부터 약 0.5 인치 떨어져 위치하고, 제 2 로우의 13 개의 가스 홀은 중심으로부터 약 1.4 인치 떨어져 위치하고, 제 3 로우의 23 개의 가스 홀은 중심으로부터 약 2.5 인치 떨어져 위치하고, 제 4 로우의 25 개의 가스 홀은 중심으로부터 약 3.9 인치 떨어져 위치하고, 제 5 로우의 29 개의 가스 홀은 중심으로부터 약 4.6 인치 떨어져 위치하고, 제 6 로우의 34 개의 가스 홀은 중심으로부터 약 5.4 인치 떨어져 위치하고, 제 7 로우의 39 개의 가스 홀은 중심으로부터 약 6 인치 떨어져 위치하고, 제 8 로우의 50 개의 가스 홀은 중심으로부터 약 7.5 인치 떨어져 위치하고, 제 9 로우의 52 개의 가스 홀은 중심으로부터 약 8.2 인치 떨어져 위치하고, 제 10 로우의 53 개의 가스 홀은 중심으로부터 약 9 인치 떨어져 위치하고, 제 11 로우의 57 개의 가스 홀은 중심으로부터 약 10.3 인치 떨어져 위치하고, 제 12 로우의 59 개의 가스 홀은 중심으로부터 약 10.9 인치 떨어져 위치하며, 제 13 로우의 63 개의 가스 홀은 중심으로부터 약 11.4 인치 떨어져 위치한다.
전극의 상부면은 중심 근방의 3 개의 핀 홀, 환상 리세스의 내부의 3 개의 핀 홀 및 전극의 외부 에지 근방의 환상 리세스 내의 3 개의 핀 홀을 가진 9 개의 정렬 핀 홀을 포함한다. 3 개의 중앙 핀 홀은 방사상으로 정렬되며 내부 전극의 중심에 하나의 핀 홀 및 제 3 및 제 4 로우의 가스 홀 사이에 2 개의 핀 홀을 포함한다. 환상 리세스 근방의 중간 핀 홀은 중앙 핀 홀과 방사상으로 정렬된 하나의 핀 홀 및 120°이격된 2 개의 다른 핀 홀을 포함한다. 외부의 3 개의 핀 홀은 인접한 포켓들 사이의 위치에서 120°이격된다.
도 6a 는 13 개의 로우의 가스 홀을 가진 전극 (502) 의 플라즈마 노출면 (530) 을 도시하는 전면 투시도이다. 도 6b 는 13 개의 로우의 가스 홀을 가진 상부면의 투시도를 도시한다.
전극 (502) 은 가드 링 (508) 을 지지하는 외부 단차 (레지 (ledge); 536), 백킹 플레이트 (506) 의 하부면과 맞물리는 상부면 (탑재면; 522), 내부 테이퍼링면 (544), 수평면 (546), 및 외부 테이퍼링면 (548) 을 포함하는 하부면 (단차부가 형성된 플라즈마 노출면; 530) 및 로킹 핀이 탑재되는 상부면 (540) 내의 8 개의 포켓 (550) 을 포함한다.
도 7 은 백킹 플레이트 (506) 의 투시도이다. 백킹 플레이트는 샤워헤드 전극 (502) 내의 통로 (528) 와 정렬되는 13 개의 로우의 가스 통로 (584) 를 포함한다. 백킹 플레이트의 상부면 (586) 은 열 제어 플레이트 (510) 의 환상 돌출부와 접촉하는 3 개의 환상 영역 (588a, 588b, 588c) 을 포함한다. 열 제어 플레이트는 개시물이 본원에 참조에 의해 완전히 포함되는 공동 양도된 미국 특허 공보 제2005/0133160호, 미국 특허 공보 제2007/0068629호, 미국 특허 공보 제2007/0187038호, 미국 특허 공보 제2008/0087641호 및 미국 특허 공보 제2008/0090417호에 개시한 바와 같이 최상부 벽을 통하여 열 제어 플레이트 내로 연장되는 패스너에 의해 플라즈마 챔버의 최상부 벽에 부착될 수 있다. 나사산이 있는 개구 (590) 는 백킹 플레이트 (506) 를 열 제어 플레이트 (510) 와 접촉하여 유지하기 위해 열 제어 플레이트 (510) 및 최상부 플레이트 (512) 내의 개구를 통하여 연장되는 패스너를 수용하도록 환상 영역 (588a, 588b, 588c) 및 상부면 (586) 의 외주부에 위치된다. 예를 들어, 열 순환을 수용할 수 있는 패스너의 설명을 위해 공동 양도된 미국 특허 공보 제2008/0087641호를 참조한다. 상부면 (586) 내의 그루브 (592) 는 백킹 플레이트 (506) 와 열 제어 플레이트 (510) 사이에 가스 밀봉을 제공하는 O-링을 수용한다. 상부면 (586) 내의 정렬 핀 보어 (594) 는 열 제어 플레이트 내의 정렬 핀 보어로 끼워맞추는 정렬 핀을 수용한다. 보어들 (560) 사이의 위치에 있는 수평으로 연장되는 나사산이 있는 개구 (561) 는 가드 링이 회전하는 것을 막고 샤워헤드 전극의 어셈블리 후에 가드 링 내의 액세스 보어를 플러깅하는데 이용되는 유전체 패스너를 수용한다.
도 8 은 가드 링이 제거된 샤워헤드 전극 어셈블리 (500) 의 투시도이다. 전술한 바와 같이, 가드 링은 캠 록들이 맞물려지게 될 수 있는 하나 이상의 어셈블리 위치로 회전될 수 있고 백킹 플레이트의 외주부와의 접촉 없이 가드 링을 유지하고 따라서 백킹 플레이트의 열팽창을 허용하기 위해 유전체 패스너가 개구 (561) 내로 삽입될 수 있는 록 위치로 회전될 수 있다. 열 제어 플레이트는 액츄에이터가 플라즈마 한정 링을 지지하는 개구 (596) 를 가진 플랜지 (595) 를 포함한다. 플라즈마 한정 링 어셈블리의 탑재 장치의 상세는 개시물이 본원에 참조에 의해 완전히 포함되는 공동 양도된 미국 특허 공보 제2006/0207502호 및 미국 특허 공보 제2006/0283552호에서 확인될 수 있다.
전극의 탑재면 (522) 은 백킹 플레이트 내의 8 개의 캠 록에 의해 유지되는 8 개의 로킹 핀에 의해 가해지는 클램핑력의 결과로서 백킹 플레이트 (506) 의 대향면과 인접하다. 가드 링 (508) 은 백킹 플레이트 (506) 내의 탑재 홀을 커버하고 가드 링 내의 액세스 개구는 내플라즈마성 폴리머 재료, 이를 테면, Torlon®, Vespel®, Celcon®, Delrin®, Teflon®, Arlon®, 또는 낮은 마찰 계수 및 낮은 입자 박리를 갖는 다른 재료, 이를 테면 플루오로폴리머, 아세탈, 폴리아미드, 폴리이미드, 폴리테트라플루오로에틸렌, 및 폴리에테르에테르케톤 (PEEK) 으로 제조된 제거가능한 삽입물로 충진된다.
도 5 와 관련하여, 백킹 플레이트 (506) 와 전극 (502) 사이의 전기적 접촉은 전극의 외주부에 그리고 중앙 정렬 핀과 외부 Q-패드 사이의 하나 이상의 위치에 위치한 하나 이상의 Q-패드 (556) 에 의해 제공된다. 예를 들어, 약 4 인치 내지 12 인치의 직경을 갖는 Q-패드가 사용될 수 있다. 2007년 8월 31일자로 출원된 공동 소유의 미국 출원 제11/896,375호는 Q-패드의 상세를 포함하는데, 이의 개시물은 본원에 참조에 의해 포함된다. 상이한 프로세스 가스 혼합물 및/또는 플로우 레이트를 제공하기 위해, 중심 정렬 핀과 외부의 Q-패드 사이에는 하나 이상의 선택적 가스 파티션 밀봉이 제공될 수 있다. 예를 들어, 내부 가스 분배 구역을 외부 가스 분배 구역과 분리하기 위해 내부 Q-패드와 외부 Q-패드 사이의 위치에서 전극 (502) 과 백킹 플레이트 (506) 사이에는 단일의 O-링이 제공될 수 있다. 외부 Q-패드의 내주부를 따라 전극 (502) 과 백킹 플레이트 (506) 사이에 위치한 O-링 (558) 은 전극과 백킹 플레이트 사이에 가스 및 입자 밀봉을 제공할 수 있다.
본 발명이 본 발명의 특정 실시형태들을 참조하여 상세히 설명되었지만, 첨부된 특허청구범위로부터 벗어남 없이 다양한 변경 및 변형이 행해질 수 있고 등가물이 사용될 수 있다는 것이 당업자에게 명백할 것이다.

Claims (19)

  1. 플라즈마 반응 챔버에서 이용하기 위한 샤워헤드 전극으로서,
    상기 샤워헤드 전극의 상부면 및 하부면에 의해 정의되는 중앙부 및 주변부로서, 상기 상부면은 상기 중앙부에 걸쳐 연장되는 평면 (planar surface) 을 포함하고, 상기 하부면은 상기 중앙부에 걸쳐 연장되는 내부 평면 및 상기 주변부에 걸쳐 연장되는 단차부가 형성된 외부면에 의해 정의되며, 상기 단차부가 형성된 외부면은 상기 샤워헤드 전극의 증가된 두께의 영역을 정의하는 환상 평면을 포함하는, 상기 중앙부 및 주변부;
    상기 샤워헤드 전극과 웨이퍼가 지지되는 하부 전극 사이의 갭으로 프로세스 가스가 전달될 수 있는 상기 샤워헤드 전극의 상기 중앙부 내의 복수의 가스 유출구; 및
    상기 샤워헤드 전극을 백킹 플레이트에 클램핑하도록 구성된 캠 록을 내부에 수용하도록 구성되는, 상기 주변부에서의 상기 상부면 내의 복수의 원주방향으로 이격된 포켓을 포함하는, 샤워헤드 전극.
  2. 제 1 항에 있어서,
    상기 상부면 내에 정렬 핀 보어를 더 포함하며, 상기 정렬 핀 보어는 상기 백킹 플레이트 내로 연장되는 정렬 핀과 정렬하도록 구성된, 샤워헤드 전극.
  3. 제 1 항에 있어서,
    압력계 유닛과 협력하여 상기 플라즈마 반응 챔버 내의 진공 압력 측정을 제공하도록 구성된 상기 단차부가 형성된 외부면 내에 한정된 패턴의 가스 홀을 더 포함하는, 샤워헤드 전극.
  4. 제 1 항에 있어서,
    상기 샤워헤드 전극의 상기 상부면은 그 외부 에지에 환상 레지 (annular ledge) 를 포함하며, 상기 환상 레지는 상기 샤워헤드 전극의 상기 외부면과 가드 링의 외부면이 동일 평면이 되도록 상기 가드 링을 지지하도록 구성된, 샤워헤드 전극.
  5. 제 1 항에 있어서,
    상기 주변부에서의 상기 상부면 상에 12 인치를 넘는 직경을 갖는 환상 리세스를 더 포함하며, 상기 환상 리세스는 0.25 인치 미만의 깊이 및 적어도 0.5 인치의 폭을 갖고, 상기 포켓은 상기 환상 리세스 내에 적어도 0.3 인치의 깊이 및 적어도 0.5 인치의 직경을 가지며, 상기 단차부가 형성된 외부면은 상기 내부 평면으로부터 적어도 0.15 인치 연장되고, 상기 환상 평면은 적어도 1.5 인치의 폭을 갖는, 샤워헤드 전극.
  6. 제 1 항에 있어서,
    상기 단차부가 형성된 외부면은 내부 경사면 및 외부 경사면을 포함하며, 상기 내부 경사면은 상기 내부 평면과 상기 환상 평면 사이에서 연장되고, 상기 외부 경사면은 상기 환상 평면과 상기 샤워헤드 전극의 외부 에지 사이에서 연장되며, 상기 외부 경사면은 상기 환상 평면의 면과 30 도 미만의 각도를 이루고, 상기 내부 경사면은 상기 환상 평면의 면과 30 도보다 큰 각도를 이루는, 샤워헤드 전극.
  7. 제 1 항에 기재된 샤워헤드 전극;
    상기 샤워헤드 전극 내의 포켓과 정렬된 축방향으로 연장되는 보어 및 상기 축방향으로 연장되는 보어와 소통하는 방사상으로 연장되는 보어를 포함하는 백킹 플레이트;
    상기 방사상으로 연장되는 보어 내에 탑재되는 회전가능한 캠샤프트; 및
    상기 샤워헤드 전극 내의 상기 포켓 내에 위치한 로킹 핀을 포함하며,
    상기 로킹 핀은 그 자유단에 확장 헤드를 포함하고, 상기 캠샤프트는 상기 샤워헤드 전극을 상기 백킹 플레이트에 기계적으로 클램핑하기 위해 상기 로킹 핀의 상기 확장 헤드와 맞물리고 로킹하도록 구성된 컷아웃을 포함하는, 전극 어셈블리.
  8. 제 7 항에 있어서,
    상기 로킹 핀의 베이스가 소켓 내에 위치되며, 상기 소켓은 그 외부면 상에 상기 포켓의 내부면 상의 나사산과 맞물려지는 나사산을 포함하고, 상기 소켓은 상기 샤워헤드 전극의 상기 상부면과 맞물리는 플랜지를 포함하며, 상기 백킹 플레이트 내의 상기 축방향으로 연장되는 보어는 와이드 부분 및 내로우 부분을 포함하며, 상기 와이드 부분은 상기 플랜지를 수용하고 상기 내로우 부분은 상기 로킹 핀을 수용하는, 전극 어셈블리.
  9. 제 8 항에 있어서,
    상기 로킹 핀은 상기 백킹 플레이트 및 상기 샤워헤드 전극의 차별적인 열팽창 (differential thermal expansion) 을 수용하기 위해 상기 소켓에서 축방향으로 및 측방향으로 이동가능한, 전극 어셈블리.
  10. 제 7 항에 있어서,
    상기 샤워헤드 전극은 다결정 실리콘, 단결정 실리콘, 실리콘 탄화물, 알루미늄, 양극처리된 알루미늄 또는 산화이트륨 코팅된 알루미늄의 플레이트이며, 상기 백킹 플레이트는 알루미늄의 플레이트인, 전극 어셈블리.
  11. 제 7 항에 있어서,
    상기 백킹 플레이트에는 열 제어 냉각제 통로 및 가열 엘리먼트들이 없는, 전극 어셈블리.
  12. 제 7 항에 있어서,
    상기 백킹 플레이트에 부착된 열 제어 플레이트를 더 포함하며,
    상기 열 제어 플레이트는 그 하부면 상에 상기 백킹 플레이트 내의 가스 통로와 소통하는 가스 플레넘을 정의하는 환상 돌출부를 가지는, 전극 어셈블리.
  13. 제 7 항에 있어서,
    상기 백킹 플레이트와 상기 샤워헤드 전극 사이에 가스 밀봉 (gas seal) 을 더 포함하며, 상기 가스 밀봉은 가스 통로의 외부에 위치되는, 전극 어셈블리.
  14. 플라즈마 챔버에서 반도체 기판을 처리하는 방법으로서,
    상기 플라즈마 챔버 내의 하부 전극 상에 상기 반도체 기판을 지지하는 단계;
    상기 플라즈마 챔버에 프로세스 가스를 공급하는 단계;
    상부 전극의 노출면에 인접하여 플라즈마를 형성하는 단계; 및
    상기 반도체 기판을 상기 플라즈마로 프로세싱하는 단계를 포함하며,
    상기 상부 전극은 제 1 항에 기재된 샤워헤드 전극을 포함하는, 반도체 기판의 처리 방법.
  15. 제 14 항에 있어서,
    상기 샤워헤드 전극의 온도가 백킹 플레이트, 열 제어 플레이트 및 상기 플라즈마 챔버의 온도 제어된 최상부 벽에 의해 제어되며, 상기 열 제어 플레이트는 상기 열 제어 플레이트와 상기 백킹 플레이트 사이에 플레넘을 형성하는 환상 돌출부를 포함하고, 상기 플레넘은 상기 샤워헤드 전극 내의 가스 통로와 정렬된 상기 백킹 플레이트 내의 가스 통로와 유체 소통하며, 상기 백킹 플레이트는 상기 샤워헤드 전극과 상기 열 제어 플레이트 사이에 열 경로를 제공하는, 반도체 기판의 처리 방법.
  16. 제 14 항에 있어서,
    상기 반도체 기판은 반도체 웨이퍼를 포함하며, 상기 프로세싱하는 단계는 상기 반도체 웨이퍼를 상기 플라즈마로 에칭하는 단계를 포함하는, 반도체 기판의 처리 방법.
  17. 제 14 항에 있어서,
    상기 프로세싱하는 단계 동안, 상기 상부 전극은 접지되고 상기 하부 전극은 전력공급되는, 반도체 기판의 처리 방법.
  18. 제 14 항에 있어서,
    상기 샤워헤드 전극 및 백킹 플레이트를 상기 샤워헤드 전극 및 상기 백킹 플레이트의 차별적인 열팽창을 야기하는 상승 온도 (elevated temperature) 로 가열하고, 상기 로킹 핀의 이동에 의해 상기 열팽창을 수용하는 단계를 포함하는, 반도체 기판의 처리 방법.
  19. 제 7 항에 기재된 샤워헤드 전극 어셈블리의 샤워헤드 전극을 교체하는 방법으로서,
    캠 록을 릴리징하여 상기 캠 록을 상기 로킹 핀으로부터 맞물림해제하는 단계, 상기 샤워헤드 전극을 제거하는 단계, 새로운 또는 재설비된 샤워헤드 전극의 로킹 핀을 상기 백킹 플레이트 내의 축방향 보어와 정렬시키는 단계, 및 상기 로킹 핀의 상기 확장 헤드와 맞물리도록 상기 캠 록을 회전시키는 단계를 포함하는, 샤워헤드 전극의 교체 방법.
KR1020107021125A 2008-07-07 2009-07-06 클램핑된 모놀리식 샤워헤드 전극 KR101183509B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/216,524 2008-07-07
US12/216,524 US8221582B2 (en) 2008-07-07 2008-07-07 Clamped monolithic showerhead electrode
PCT/US2009/003952 WO2010005540A2 (en) 2008-07-07 2009-07-06 Clamped monolithic showerhead electrode

Publications (2)

Publication Number Publication Date
KR20100118997A true KR20100118997A (ko) 2010-11-08
KR101183509B1 KR101183509B1 (ko) 2012-09-21

Family

ID=41464714

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107021125A KR101183509B1 (ko) 2008-07-07 2009-07-06 클램핑된 모놀리식 샤워헤드 전극

Country Status (8)

Country Link
US (3) US8221582B2 (ko)
EP (1) EP2301308B1 (ko)
JP (1) JP5409778B2 (ko)
KR (1) KR101183509B1 (ko)
CN (1) CN102037790B (ko)
MY (1) MY159992A (ko)
TW (1) TWI536871B (ko)
WO (1) WO2010005540A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140051280A (ko) * 2011-08-11 2014-04-30 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치

Families Citing this family (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
KR100801711B1 (ko) * 2007-02-27 2008-02-11 삼성전자주식회사 반도체 식각 및 증착 공정들을 수행하는 반도체 제조장비들 및 그를 이용한 반도체 소자의 형성방법들
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
KR101553422B1 (ko) 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
JP5567494B2 (ja) * 2007-12-19 2014-08-06 ラム リサーチ コーポレーション 半導体真空処理装置用のコンポーネント・アセンブリ、アセンブリを結合する方法、及び、半導体基板を処理する方法
CN101971321B (zh) * 2008-03-14 2012-05-23 朗姆研究公司 凸轮锁电极夹具
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8545639B2 (en) * 2011-10-31 2013-10-01 Lam Research Corporation Method of cleaning aluminum plasma chamber parts
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8532353B2 (en) 2011-11-23 2013-09-10 Vital Images, Inc. Synthetic visualization and quantification of perfusion-related tissue viability
US20130240142A1 (en) * 2012-03-15 2013-09-19 Globalfoundries Singapore Pte. Ltd. Isolation between a baffle plate and a focus adapter
US10537013B2 (en) 2012-04-23 2020-01-14 Applied Materials, Inc. Distributed electro-static chuck cooling
US9058960B2 (en) * 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
JP5798140B2 (ja) * 2013-02-15 2015-10-21 株式会社東芝 プラズマ処理装置
KR102127715B1 (ko) * 2013-08-09 2020-06-29 에스케이실트론 주식회사 에피텍셜 반응기
US10391526B2 (en) * 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US9911579B2 (en) 2014-07-03 2018-03-06 Applied Materials, Inc. Showerhead having a detachable high resistivity gas distribution plate
JP6375163B2 (ja) * 2014-07-11 2018-08-15 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
JP6298373B2 (ja) * 2014-07-11 2018-03-20 東京エレクトロン株式会社 プラズマ処理装置および上部電極アセンブリ
FI126491B (en) 2014-09-09 2017-01-13 Suunto Oy A system and method for opening a wireless device to communicate with a laptop computer via an inductive connection
US20160177544A1 (en) * 2014-12-19 2016-06-23 Caterpillar Inc. Lock for ground engaging tool
JP1545606S (ko) * 2015-08-26 2016-03-14
US10607817B2 (en) 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10801106B2 (en) 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
USD834686S1 (en) * 2016-12-15 2018-11-27 Asm Ip Holding B.V. Shower plate
KR101855654B1 (ko) * 2016-12-23 2018-05-08 주식회사 테스 대면적 샤워헤드 어셈블리
US10190216B1 (en) * 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10964514B2 (en) * 2017-10-17 2021-03-30 Lam Research Corporation Electrode for plasma processing chamber
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10889894B2 (en) * 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
US11600517B2 (en) 2018-08-17 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Screwless semiconductor processing chambers
CN110838458B (zh) * 2018-08-17 2022-08-09 台湾积体电路制造股份有限公司 半导体制程系统以及方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
WO2020154310A1 (en) 2019-01-22 2020-07-30 Applied Materials, Inc. Feedback loop for controlling a pulsed voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
CN111524775B (zh) * 2019-02-01 2023-03-10 中微半导体设备(上海)股份有限公司 一种等离子处理器以及用于等离子处理器的上电极组件
KR102168313B1 (ko) * 2019-09-09 2020-10-21 김홍석 플라즈마챔버 전극 체결부재
TW202115815A (zh) * 2019-10-04 2021-04-16 美商應用材料股份有限公司 用於易碎板以防止破裂的氣體分配組件安裝
CN112908819B (zh) * 2019-12-03 2022-04-01 长鑫存储技术有限公司 气体分布器及其加工方法
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US20220102117A1 (en) 2020-09-25 2022-03-31 Applied Materials, Inc. Thread profiles for semiconductor process chamber components
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN113078045B (zh) * 2021-03-25 2022-06-21 重庆臻宝实业有限公司 一种14nm干刻设备用超大型上部电极的制作方法
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
WO2023283375A1 (en) * 2021-07-08 2023-01-12 Applied Materials, Inc. Showerhead assembly with recursive gas channels
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN115388278B (zh) * 2022-08-12 2024-05-14 贵州电网有限责任公司 一种用于变电站伸缩旋转支架的旋转限位机构

Family Cites Families (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH04355917A (ja) * 1990-10-12 1992-12-09 Seiko Epson Corp 半導体装置の製造装置
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
USD363464S (en) 1992-08-27 1995-10-24 Tokyo Electron Yamanashi Limited Electrode for a semiconductor processing apparatus
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
JPH0766180A (ja) * 1993-08-30 1995-03-10 Sony Corp プラズマ処理装置およびそのメンテナンス方法
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5590975A (en) * 1994-12-06 1997-01-07 Horntvedt; Earl Fastening assembly
JP3959745B2 (ja) 1995-04-07 2007-08-15 セイコーエプソン株式会社 表面処理装置
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JPH0913172A (ja) * 1995-06-28 1997-01-14 Ulvac Japan Ltd 真空装置用昇降機構
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
JPH09245994A (ja) * 1996-03-08 1997-09-19 Nagano Keiki Seisakusho Ltd プラズマ利用の加工装置用電極およびその電極の製造方法
USD411516S (en) 1996-03-15 1999-06-29 Tokyo Electron Limited Gas diffusion plate for electrode of semiconductor wafer processing apparatus
JP3728021B2 (ja) 1996-06-28 2005-12-21 日清紡績株式会社 プラズマエッチング電極及びその製造方法
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5740009A (en) 1996-11-29 1998-04-14 Applied Materials, Inc. Apparatus for improving wafer and chuck edge protection
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
USD412513S (en) 1997-01-29 1999-08-03 Tokyo Electron Limited Upper electrode for manufacturing semiconductors
JP2001525997A (ja) 1997-05-20 2001-12-11 東京エレクトロン株式会社 処理装置
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3480271B2 (ja) 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
USD420022S (en) 1997-12-24 2000-02-01 Applied Materials, Inc. Electrostatic chuck with improved spacing and charge migration reduction mask
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6550126B1 (en) 1998-07-30 2003-04-22 Sony Electronics, Inc Method for mounting electrode assembly
US6228208B1 (en) 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6050216A (en) 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
WO2000045425A1 (en) 1999-02-01 2000-08-03 Tokyo Electron Limited Etching system and etching chamber
US20020179245A1 (en) 1999-03-17 2002-12-05 Toshio Masuda Plasma processing apparatus and maintenance method therefor
US6305677B1 (en) * 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6200415B1 (en) 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP4323021B2 (ja) 1999-09-13 2009-09-02 株式会社エフオーアイ プラズマ処理装置
USD441348S1 (en) 1999-11-30 2001-05-01 Applied Materials, Inc. Process chamber lid
US6350317B1 (en) 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP4437351B2 (ja) 2000-01-14 2010-03-24 キヤノンアネルバ株式会社 プラズマエッチング装置
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6170432B1 (en) 2000-01-24 2001-01-09 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6237528B1 (en) 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
JP3411539B2 (ja) 2000-03-06 2003-06-03 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6899786B2 (en) 2000-05-17 2005-05-31 Tokyo Electron Limited Processing device and method of maintaining the device, mechanism and method for assembling processing device part, and lock mechanism and method for locking the lock mechanism
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
DE20021221U1 (de) * 2000-12-15 2001-03-22 Ejot Verbindungstech Gmbh & Co Befestigungsvorrichtung für an einer Platte zu befestigendes Bauteil
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
JP3775987B2 (ja) 2000-12-26 2006-05-17 松下電器産業株式会社 プラズマ処理装置
US7211170B2 (en) 2001-04-02 2007-05-01 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
KR100400044B1 (ko) 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20030127806A1 (en) * 2001-12-27 2003-07-10 Belchuk Mark A. Alignment feature for a fuel cell seal
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6936135B2 (en) 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
USD490450S1 (en) 2002-05-20 2004-05-25 Tokyo Electron Limited Exhaust ring for semiconductor equipment
WO2003100817A1 (en) * 2002-05-23 2003-12-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
USD493873S1 (en) 2002-05-24 2004-08-03 Tokyo Electron Limited Heating gas supplier for semiconductor manufacturing equipment
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
JP4753276B2 (ja) 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US20040173313A1 (en) 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7083702B2 (en) 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
WO2005052414A2 (en) * 2003-11-25 2005-06-09 Garlock Sealing Technologies, Llc Corrugated gasket core with profiled surface
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
JP4403919B2 (ja) 2004-04-01 2010-01-27 株式会社Sumco 耐久性に優れたプラズマエッチング用シリコン電極板
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
EP1772429A4 (en) * 2004-06-22 2010-01-06 Shin Etsu Film Co Ltd METHOD FOR PRODUCING POLYCRYSTALLINE SILICON AND POLYCRYSTALLINE SILICON FOR A SOLAR CELL PRODUCED BY THE METHOD
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP2006128000A (ja) 2004-10-29 2006-05-18 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置
US20060108069A1 (en) 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100621778B1 (ko) 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8679252B2 (en) 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US8789493B2 (en) 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US7829468B2 (en) 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US7740736B2 (en) 2006-06-08 2010-06-22 Lam Research Corporation Methods and apparatus for preventing plasma un-confinement events in a plasma processing chamber
US7854820B2 (en) 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US20080087641A1 (en) 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7875824B2 (en) 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US8398778B2 (en) * 2007-01-26 2013-03-19 Lam Research Corporation Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
KR101519684B1 (ko) * 2007-09-25 2015-05-12 램 리써치 코포레이션 플라즈마 프로세싱 장치용 샤워헤드 전극 어셈블리를 위한 온도 제어 모듈
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101553422B1 (ko) * 2007-12-19 2015-09-15 램 리써치 코포레이션 플라즈마 처리 장치를 위한 복합 샤워헤드 전극 어셈블리
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
CN101971321B (zh) 2008-03-14 2012-05-23 朗姆研究公司 凸轮锁电极夹具
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
CN102484940B (zh) 2009-08-31 2015-11-25 朗姆研究公司 局部等离子体约束和压强控制装置及其方法
KR20170125419A (ko) 2009-08-31 2017-11-14 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
CA2821282C (en) 2010-12-13 2019-02-19 Altria Client Services Inc. Process of preparing printing solution and making patterned cigarette wrappers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140051280A (ko) * 2011-08-11 2014-04-30 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치

Also Published As

Publication number Publication date
EP2301308A4 (en) 2013-08-07
US20130337654A1 (en) 2013-12-19
MY159992A (en) 2017-02-15
TW201016079A (en) 2010-04-16
US20120258603A1 (en) 2012-10-11
JP2011521472A (ja) 2011-07-21
CN102037790B (zh) 2014-04-16
US8221582B2 (en) 2012-07-17
WO2010005540A3 (en) 2010-04-22
US20100003829A1 (en) 2010-01-07
US8796153B2 (en) 2014-08-05
EP2301308B1 (en) 2014-09-03
TWI536871B (zh) 2016-06-01
CN102037790A (zh) 2011-04-27
US8414719B2 (en) 2013-04-09
WO2010005540A2 (en) 2010-01-14
JP5409778B2 (ja) 2014-02-05
WO2010005540A4 (en) 2010-06-24
EP2301308A2 (en) 2011-03-30
KR101183509B1 (ko) 2012-09-21

Similar Documents

Publication Publication Date Title
KR101183509B1 (ko) 클램핑된 모놀리식 샤워헤드 전극
US8419959B2 (en) Clamped monolithic showerhead electrode
KR101168847B1 (ko) 클램핑된 샤워헤드 전극 어셈블리
US8536071B2 (en) Gasket with positioning feature for clamped monolithic showerhead electrode
US10262834B2 (en) Edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
US8573152B2 (en) Showerhead electrode
KR200481236Y1 (ko) 캠-로킹된 샤워헤드 전극 및 어셈블리
US7875824B2 (en) Quartz guard ring centering features
KR200462655Y1 (ko) 클램프형 모놀리식 샤워헤드 전극

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150824

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160829

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170830

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190829

Year of fee payment: 8